You are on page 1of 2

module FF_JK_be(

input j,

input k,

input clk,

input pr,

input clr,

output q,

output qn);

reg q,qn,a;

initial a=1;

always @ (posedge clk or pr==0 or clr==0)

begin

if(pr==0)

begin

q=1;

qn=0;

end

else if (clr==0)

begin

q=0;

qn=1;

end

else

case({j,k})

2'b00:
begin

q=a;

qn=~q;

end

2'b01:

begin

q=0;qn=1;

end

2'b10:

begin

q=1;qn=0;

end

2'b11:

begin

q=~a;qn=~q;

end

endcase

a=q;

end

endmodule

You might also like