Professional Documents
Culture Documents
use IEEE.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.std_logic_unsigned.all;
entity my_example is
port (led : out std_logic;
CLK: in std_logic);
end my_example;
-- architecture
architecture my_soln_exam of my_example is
signal AB: std_logic_vector(1 downto 0);
signal NK: std_logic:='0';
signal A : std_logic:='0';
signal B : std_logic:='0';
signal pulso : std_logic :='0';
signal contador: integer range 0 to 99999999:=0;
signal contwo : integer range 0 to 5:=0;
begin