You are on page 1of 27

“AÑO DEL BUEN SERVICIO AL CIUDADANO”

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS


FACULTAD DE INGENIERÍA ELECTRÓNICA Y ELÉCTRICA

CURSO:
LABORATORIO DE CIRCUITOS DIGITALES II

TEMA:
INFORME FINAL DE LA EXPERIENCIA N° 2:
CIRCUITOS LATCH Y FLIP FLOP

ALUMNOS:
PEÑALOZA MENDOZA, GERSON ALBERTO
ROMERO LÁZARO, CÉSAR

PROFESOR:
ING. CASIMIRO PARIASCA, OSCAR

HORARIO:
GRUPO 6: MIÉRCOLES 02:00 P.M. – 05:00 P.M.

2017
I. LABORATORIO 2: CIRCUITOS LATCH Y FLIP FLOP

Profesor: Ing. Oscar Casimiro Pariasca.

II. OBJETIVO:
1. Analizar el principio de funcionamiento de los flip-flops RS, D, JK y T utilizados
comercialmente
2. Identificar las diferencias entre un Flip-Flop y un Latch de tipo D.
3. Observar el efecto del reloj en los Flip-Flop temporizados y la sincronía de las
entradas y salidas.
4. Implementar circuitos utilizando estos dispositivos de almacenamiento.

III. MATERIALES y EQUIPO:


 Protoboard, cables de conexión.
 CI. TTL :7400, 7402, 7404, 7408, 7474, 7475, 7476 , otros flip-flops ( 74112)
 Resistencia (4 x 120 ohm ¼ Watt; 4 x 1K ohm; LEDs x 4).
 Fuente de C.C. +5 voltios, VOM.

IV. MARCO TEÓRICO

Un biestable (flip-flop en inglés), es un multivibrador capaz de permanecer en


uno de dos estados posibles durante un tiempo indefinido en ausencia de
perturbaciones.1 Esta característica es ampliamente utilizada en electrónica digital
para memorizar información. El paso de un estado a otro se realiza variando sus
entradas. Dependiendo del tipo de dichas entradas los biestables se dividen en:

 Asíncronos: sólo tienen entradas de control. El más empleado es el


biestable RS.
 Síncronos: además de las entradas de control posee una entrada de
sincronismo o de reloj. Si las entradas de control dependen de la de
sincronismo se denominan síncronas y en caso contrario asíncronas. Por lo
general, las entradas de control asíncronas prevalecen sobre las síncronas.
La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco
(de subida o de bajada). Dentro de los biestables síncronos activados por nivel
están los tipos RS y D, y dentro de los activos por flancos los tipos JK, T y D.

Los biestables se crearon para eliminar las deficiencias de los latches.

Biestable RS

Dispositivo de almacenamiento no temporal de 14 estados (alto y bajo), cuyas


entradas principales permiten al ser activadas:

 R: el borrado (reset en inglés), puesta a 0 ó nivel bajo de la salida.


 S: el grabado (set en inglés), puesta a 1 ó nivel alto de la salida

Si no se activa ninguna de las entradas, el biestable permanece en el estado que


poseía tras la última operación de borrado o grabado. En ningún caso deberían
activarse ambas entradas a la vez, ya que esto provoca que las salidas directa
(Q) y negada (Q') queden con el mismo valor bajo, si el flip-flop está construido
con puertas NOR, o a alto, si está construido con puertas NAND. El problema de
que ambas salidas queden al mismo estado está en que al desactivar ambas
entradas no se podrá determinar el estado en el que quedaría la salida. Por eso,
en las tablas de verdad, la activación de ambas entradas se contempla como
caso no deseado (N. D.).

Biestable D (Delay)

El flip-flop D resulta útil cuando se necesita almacenar un único bit de datos (1 o


0). Si se añade un inversor a un flip-flop S-R obtenemos un flip-flop D básico. El
funcionamiento de un dispositivo activado por el flanco negativo es, por supuesto,
idéntico, excepto que el disparo tiene lugar en el flanco de bajada del impulso del
reloj. Recuerde que Q sigue a D en cada flanco del impulso de reloj.

Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y


bajo), cuya salida adquiere el valor de la entrada D cuando se activa la entrada de
sincronismo, C. En función del modo de activación de dicha entrada de
sincronismo, existen dos tipos:
 Activo por nivel (alto o bajo), también denominado registro o cerrojo (Latch
en inglés).
 Activo por flanco (de subida o de bajada).

La ecuación característica del biestable D que describe su comportamiento es:

Biestable T (Toggle)

Dispositivo de almacenamiento temporal de dos estados (alto y bajo). El biestable


T cambia de estado ("toggle" en inglés) cada vez que la entrada de sincronismo o
de reloj se dispara mientras la entrada T está a nivel alto. Si la entrada T está a
nivel bajo, el biestable retiene el nivel previo. Puede obtenerse al unir las entradas
de control de un biestable JK, unión que se corresponde a la entrada T.

La ecuación característica del biestable T que describe su comportamiento es:

Biestable JK (Jump Keep)

Es versátil y es uno de los tipos de flip-flop mas usados. Su funcionamiento es


idéntico al del flip-flop S-R en las condiciones SET, RESET y de permanencia de
estado. La diferencia está en que el flip-flop J-K no tiene condiciones no validas
como ocurre en el S-R.

Este dispositivo de almacenamiento es temporal que se encuentra dos estados


(alto y bajo), cuyas entradas principales, J y K, a las que debe el nombre,
permiten al ser activadas:

 J: El grabado (set en inglés), puesta a 1 ó nivel alto de la salida.


 K: El borrado (reset en inglés), puesta a 0 ó nivel bajo de la salida.
Si no se activa ninguna de las entradas, el biestable permanece en el estado que
poseía tras la última operación de borrado o grabado. A diferencia del biestable
RS, en el caso de activarse ambas entradas a la vez, la salida adquirirá el estado
contrario al que tenía.

La ecuación característica del biestable JK que describe su comportamiento es:

V. PARTE EXPERIMENTAL:
1. Latch SR con puertas NOR y NAND – Completar la tabla de verdad y el
diagrama de señales para los circuitos mostrados. Utilizar compuertas 74LS02
y 74LS00

Compare los resultados de la tabla 1.b. con los obtenidos en la tabla 1.a.
2. Obtenga la tabla de verdad, para este circuito. Simule el pulso de reloj con el
interruptor CLK. Anote los resultados en la siguiente tabla 1.2. Compare las
dos tablas: ¿Cuál es la diferencia? Concluya
En el siguiente circuito Latch SR con puertas NAND, verificar que responde a
la misma tabla de verdad:
3. Verificar la tabla de verdad de un Flip Flop tipo D - (Implementado a partir
de un S-R)
Tenga cuidado de establecer en el circuito las condiciones iníciales correctas.
Observe que se tiene una entrada de reloj activa por nivel “1”
Anote los resultados en la tabla. ¿Tenemos en este caso algún estado de
indeterminación?

En este caso no hay indeterminación.

4. Latch “D” y Flip-Flop “D”


Los conceptos de “Latch” y Flip-Flop a veces son tomados (erróneamente)
como sinónimos. La principal diferencia radica en que un “Latch” responde al
nivel (ya sea alto o bajo) de una señal de reloj, mientras que un Flip-Flop solo
lo hace únicamente en las transiciones (ascendentes o descendentes).

- Verificar la operación del flip flop 74LS74 - tipo D. Verificar las entradas de
PRESET y CLEAR. Mostrar un Diagrama de tiempos para las señales D, CK
y Q.
- Verificar la operación del Latch 74LS75 - tipo D. Mostrar un diagrama de
tiempos para las señales D, EN y Q.

5. Flip-Flop “JK”
- Verificar la operación del flip flop 74LS76 y del 74LS112. ¿Cómo es la
frecuencia de la señal de salida respecto a la señal de entrada?

Observamos que el periodo de la salida es el doble, por lo tanto:

1 1 𝑓𝑐𝑙𝑜𝑐𝑘
𝑓𝑄 = = =
𝑇𝑄 2𝑇𝑐𝑙𝑜𝑐𝑘 2

VI. CUESTIONARIO FINAL:

1. ¿Que es un circuito secuencial?


Un circuito cuya salida depende no solo de la combinación de entrada, sino también
de la historia de las entradas anteriores se denomina Circuito Secuencial. Es decir
aquellos circuitos en que el contenido de los elementos de memoria sólo puede
cambiar en presencia de un pulso delreloj . Entre pulso y pulso de reloj, la información
de entrada puede cambiar y realizarse operaciones lógicas en el circuito
combinacional, pero no hay cambio en la información contenida en las células de
memoria.
Funcionalidad:
El circuito secuencial debe ser capaz de mantener su estado durante algún tiempo,
para ello se hace necesario el uso de dispositivos de memoria. Los dispositivos de
memoria utilizados en circuitos secuenciales pueden ser tan sencillos como un simple
retardador (inclusive, se puede usar el retardo natural asociado a las compuertas
lógicas) o tan complejos como un circuito completo de memoria denominado
multivibrador biestable o Flip Flop.
La salida del elemento de retraso es una copia de la señal de entrada retraso un
determinado tiempo; mientras que la salida del elemento de memoria copia los
valores de la entrada cuando la señal de control tiene una transición de subida, por lo
que la copia no es exacta, sino que sólo copia lo que interesa. Por lo tanto, el modelo
clásico de un sistema secuencial consta de un bloque combinacional,que generará la
función lógica que queramos realizar, y un grupo de elementos de memoria con una
serie de señales realimentadas.
2. Analizar el circuito generador de combinaciones. ¿Qué tipo de circuitos
utiliza?, ¿En qué estado trabaja?.
Es un circuito secuencial.

3. Explique el funcionamiento de un latch como eliminador de rebote de


los contactos de un interruptor.
Eliminador de rebote con un latch:
4. Cual es la finalidad de un latch? Y un flip-flop?.
El latch (cerrojo) es un dispositivo de almacenamiento temporal de dos estados
(biestable), almacenan información en forma asíncrona, sirve para hacer circuitos
secuenciales o se pueden usar para crear flip- flops, usado para almacenar
información en sistemas lógicos digitales. Un latch puede almacenar un bit de
información, asimismo los latches se pueden agrupar de tal manera que logren
almacenar más de 1 bit, por ejemplo el 'latch quad ' (capaz de almacenar cuatro bits)
y el 'latch octal' (capaz de almacenar ocho bits). Los latches son
dispositivos biestables asíncronos que no tienen entrada de reloj y cuyo cambio en
los estados de salida es función del estado presente en las entradas y de los
estados previos en las salidas (retroalimentación). Los latches a diferencia de
los flip-flops no necesitan una señal de reloj para su funcionamiento.

EL Flip-flop es un dispositivo síncrono (cambia de estado únicamente en un instante


especifico de una entrada de disparo denominado reloj), los cambios de salida se
producen sincronizadamente con el reloj, los flip-flops son sensitivos a la transición
del pulso de reloj mas que a la duración.
Los circuitos secuenciales básicos que funcionan también como unidades de memoria
elementales se denominan multivibradores biestables (por tener dos estados estables
alto y bajo)
Son capaces de memorizar 1 bit de información, existen varios tipos de flip-flops y
variaciones de estos que permiten realizar funciones específicas, dependiendo de la
aplicación.
Determinar la forma de onda Q, si se aplican las entradas mostradas a un flip-flop
disparado por flanco negativo. Suponer que inicialmente se encuentra en estado
RESET.
Para un Flip-Flop tipo D cuyas entradas son las que se muestran. Suponer disparo
por flanco positivo y que Q se encuentre inicialmente en bajo.
La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (de
subida o de bajada). Dentro de los biestables síncronos activados por nivel están los
tipos RS y D, y dentro de los activos por flancos los tipos JK, T y D.
Los biestables se crearon para eliminar las deficiencias de los latches.

5. Explique el funcionamiento de los flip-flops como divisores de frecuencia.


Se dice divisor de frecuencia un circuito que recibe en entrada una señal de una
frecuencia determinada f y da una señal de salida de frecuencia f/n donde n es un
número entero. La necesidad de un divisor de frecuencia, ya que tiene tanto con una
y la misma señal de clock debe conducir circuitos en diferentes frecuencias, y porque
es más fácil para estabilizar por medio de un circuito en el cuarzo un circuito dado a
una tasa superior y luego obtener una frecuencia más baja, que también se
estabilizado, aunque no es un cristal de cuarzo a la frecuencia deseada.
Conectando en cascada múltiples flip flops de tipo T se puede obtener divisores de
frecuencia múltiplos de 2 de acuerdo con la siguiente fórmula:
f n = f / 2n
donde n es un número entero. Deseando obtener un divisor de 4, podemos utilizar el
siguiente esquema:

Deseando obtener un divisor de 8 podemos utilizar el siguiente esquema:


Deseando, en su lugar, obtener un divisor que no sea el poder de 2, debe dejar de
contar los impulsos, cuando se ha alcanzado el número deseado. Como vemos en la
siguiente tabla:
CLOCK Q2 Q1 Q0
0 0 0 0
1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
7 1 1 1
8 0 0 0
9 0 0 1
si paro el divisor de frecuencia, cuando llegué a la combinación 101 igual al número 5,
me sale un divisor de a 5. Para realizar el circuito debe poner uno o más puertas
AND a cuyas entradas son para ser aplicado las salidas de los correspondientes flip
flop de tipo T, como en el siguiente esquema:

Para que la combinación 101 sea verdad es necesario que Q0 = 1; Q1 = 0; Q2 = 1; la


puerta AND da en la salida 1, sólo cuando la combinación requerida es cierta,
ponendo a cero todos los tres flip-flops de tipo T.
Queriendo obtener un divisor de 10 puede ser conectado en cascada a un divisor
para un divisor de 5 un divisor de 2obtenendo 10 = 5 x 2. Cómo en el siguiente
diagrama:

6. Muestre una tabla de resumen con las ecuaciones características obtenidas a


partir de las tablas de verdad para los biestables SR, JK, D, T.

 Flip Flop tipo RS:


Tabla de verdad

R S 𝑸𝒏 𝑸𝒏+𝟏
0 0 0 0
0 0 1 1
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 0
1 1 0 NP
1 1 1 NP

Mapa de Karnaught:

̅̅̅̅
𝑸𝒏 𝑸𝒏
̅̅
𝑹 𝑺 0 1
̅𝑺
𝑹 1 1
𝑹𝑺 x X
̅
𝑹𝑺 0 0

Ecuación característica:

𝑄𝑛+1 = 𝑠 + 𝑄𝑛 𝑅̅

 Flip Flop tipo JK:


Tabla de verdad:

J K 𝑸𝒏 𝑸𝒏+𝟏
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0

Mapa de Karnaught:
̅̅̅̅
𝑸𝒏 𝑸𝒏
𝑱̅𝑲
̅ 0 1
𝑱̅𝑲 0 0
𝑱𝑲 1 0
̅
𝑱𝑲 1 1

Ecuación característica:

𝑸𝒏+𝟏 = ̅̅̅̅ ̅
𝑸𝒏 𝑱 + 𝑸𝒏 𝑲

 Flip Flop D:
Tabla de verdad:

D 𝑸𝒏 𝑸𝒏+𝟏
0 0 0
0 1 0
1 0 1
1 1 1

Mapa de Karnaught

̅̅̅̅
𝑸𝒏 𝑸𝒏
̅
𝑫 0 0
D 1 1

Ecuación característica:
𝑸𝒏+𝟏 = 𝑫
- Flip Flop T:
Tabla de verdad:
T 𝑸𝒏 𝑸𝒏+𝟏
0 0 0
0 1 1
1 0 1
1 1 0
Mapa de Karnaught:
̅̅̅̅
𝑸𝒏 𝑸𝒏
̅
𝑻 0 1
T 1 0
Ecuación característica:
̅
̅𝑸 + 𝑻𝑸
𝑸𝒏+𝟏 = 𝑻
7. ¿Cómo construiría un flip-flop J-K utilizando flip-flop T y otras
compuertas lógicas?. Explique
Por lo que creo saber, el Flip-Flop JK de hecho es Tipo T si conectas la J con la Q
negada y La K con La Q.
Y si conectas la J y La K al Positivo entonces es tipo T.
T = Palanca(Toggle) como si fuera un Switch de palanca.
8. Utilize los manuales de especificaciones de TTL y CMOS y haga una
lista de dos flip -flops con señal de reloj disparado por flanco de subida
y otros dos flip-flops con señal de reloj disparado por flanco de bajada.
Dibuje el diagrama de terminales de estos circuitos.

9. Se prueba el flip flop de la figura bajo todas las posibles combinaciones


de entrada. ¿Está funcionando correctamente?. Si no es así, ¿cuál es la
causa de fallo más probable?

10. Analizar los resultados obtenidos en la parte experimental


a. Latch SR con puertas NOR y NAND:
 Latch SR con puertas NOR
Realizando la simulación:

XSC1

Tektronix

P 1 2 3 4 T
LED1 G
R 7 U1A Q
2 R1 5
VCC
5V 150Ω
74LS02N
J1
VCC
LED2
0 1 U2A
1 R2 6
3 0
Q' 150Ω
S 74LS02N
S R Q (t) Q’ (t)

entradas teórico laboratorio simulación


S R Q(t+1) Q’(t+1) Q(t+1) Q’(t+1) Q(t+1) Q’(t+1)
0 0 Q(t) Q’(t) Q(t) Q’(t) Q(t) Q’(t)
0 1 0 1 0 1 0 1
1 0 1 0 1 0 1 0
1 1 x x x x x x

 Latch SR con puertas NAND


Realizando la simulación:

XSC1

Tektronix

P 1 2 3 4 T
LED1 G
S 12 U1A Q
7 R1 9
VCC
5V 150Ω
74LS00D
J1
VCC
LED2
0 1 U2A
8 R2 10
11 0
Q' 150Ω
R 74LS00D
S R Q (t) Q’ (t)

entradas teórico laboratorio simulación


S R Q(t+1) Q’(t+1) Q(t+1) Q’(t+1) Q(t+1) Q’(t+1)
0 0 x x x x x x
0 1 1 0 1 0 1 0
1 0 0 1 0 1 0 1
1 1 Q(t) Q’(t) Q(t) Q’(t) Q(t) Q’(t)

b. Flip Flop SR
Comparamos los resultados teóricos con los obtenidos experimentalmente:

Teórico CLK = 0 CLK = 1


Q(t) R S Q(t+1) Q’(t+1) Q(t+1) Q’(t+1)
0 0 0 Q(t) Q(t) 0 1
0 0 1 Q(t) Q(t) 1 0
0 1 0 Q(t) Q(t) 0 1
0 1 1 Q(t) Q(t) x x
1 0 0 Q(t) Q(t) 1 0
1 0 1 Q(t) Q(t) 1 0
1 1 0 Q(t) Q(t) 0 1
1 1 1 Q(t) Q(t) x x

Laboratorio CLK = 0 CLK = 1


Q(t) R S Q(t+1) Q’(t+1) Q(t+1) Q’(t+1)
0 0 0 Q(t) Q(t) 0 1
0 0 1 Q(t) Q(t) 1 0
0 1 0 Q(t) Q(t) 0 1
0 1 1 Q(t) Q(t) x x
1 0 0 Q(t) Q(t) 1 0
1 0 1 Q(t) Q(t) 1 0
1 1 0 Q(t) Q(t) 0 1
1 1 1 Q(t) Q(t) x x

Realizando la simulación:
XSC1

Tektronix

P 1 2 3 4 T
G

VCC U3A LED1


S 8
5V 5 U1A
Q1 R1 3
74LS00D 150Ω
J2 74LS00D
7
VCC
LED2
0 1 9 U2A
U4A 2 R2 4 0
6
Q' 150Ω
74LS00D
R 74LS00D

CLOCK S R Q(t)

simulación CLK = 0 CLK = 1


Q(t) R S Q(t+1) Q’(t+1) Q(t+1) Q’(t+1)
0 0 0 Q(t) Q(t) 0 1
0 0 1 Q(t) Q(t) 1 0
0 1 0 Q(t) Q(t) 0 1
0 1 1 Q(t) Q(t) x x
1 0 0 Q(t) Q(t) 1 0
1 0 1 Q(t) Q(t) 1 0
1 1 0 Q(t) Q(t) 0 1
1 1 1 Q(t) Q(t) x x
c. Flip Flop tipo D a partir de un S-R.

Tipo D:

Aplicando Karnaugh:

𝑸(𝒕+𝟏) = 𝑫

6
XSC1

VCC Tektronix
5V
VCC D U1A LED1 P 1 2 3 4 T
G
J1 2 U3A Q
4 R1 8
10
74LS00D 150Ω
1 74LS00D
0 1

LED2
U4A
U5A U2A 5 R2 9 0
74LS04N 3
7 Q' 150Ω
74LS00D
74LS00D
CLOCK D Q(t) Q’(t)
teórico laboratorio simulación
CLK Q(t) D Q(t+1) Q’(t+1) Q(t+1) Q’(t+1) Q(t+1) Q’(t+1)
0 0 0 Q(t) Q(t) Q(t) Q(t) Q(t) Q(t)
0 0 1 Q(t) Q(t) Q(t) Q(t) Q(t) Q(t)
0 1 0 Q(t) Q(t) Q(t) Q(t) Q(t) Q(t)
0 1 1 Q(t) Q(t) Q(t) Q(t) Q(t) Q(t)
1 0 0 0 1 0 1 0 1
1 0 1 1 0 1 0 1 0
1 1 0 0 1 0 1 0 1
1 1 1 1 0 1 0 1 0

d. Latch D y Flip Flop D

 Preset y clear en 74LS74-tipo D.

XSC1

Tektronix

VCC 4 LED1 P 1 2 3 4 T
G
5V Q
VCC 4 U1A R1 5
~1PR
J1 12 7 150Ω
1D 1Q 5

23 1CLK ~1Q 6
0 1 LED2
~1CLR
0 1 8 R2 6
1 74LS74N 0
3 150Ω
Q'
CLOCK D Q(t)
Activando el clear:

Observamos la salida Q=0

Activando el Preset:
Observamos la salida Q=1.

 Latch 74LS75-tipo D.

XSC1
VCC LED1
5V Tektronix
U1A Q4 R1 3
VCC
J1 2 1D1 1Q1 16 150Ω P 1 2 3 4 T
1 ~1Q1 1
G

2 3 1D2 1Q2 15
13 1EN1 14
5
~1Q2

0 1 R2
74LS75N 8 0
Q' 150Ω
LED2
D EN Q(t)
Observamos que el Latch solo funciona para el nivel alto del EN.

e. Flip Flop JK (74LS76)

XSC1

VCC
5V 2 LED1 Tektronix
VCC 2 Q R1
U1A 3 P 1 2 3 4 T
J1 ~1PR
1 7 150Ω G

4 1J 1Q 15
9 1 1CLK
5 16 1K ~1Q 14
LED2
0 1 ~1CLR
R2
3
4 8 0
74LS76N
6 150Ω
Q'
Flip Flop T (Toggle)

Dispositivo de almacenamiento temporal de dos estados (alto y bajo). El


biestable T cambia de estado ("Toggle" en inglés) cada vez que la entrada de
sincronismo o de reloj se dispara mientras la entrada T está a nivel alto. Si la
entrada T está a nivel bajo, el biestable retiene el nivel previo. Puede obtenerse
al unir las entradas de control de un biestable JK, unión que se corresponde a
la entrada T.La ecuación característica del biestable T que describe su
comportamiento es:
𝑄(𝑡+1) = 𝑇 ⊕ 𝑄(𝑡)

FLIPFLOP TIPO D (DELAY)


El flip-flop D resulta útil cuando se necesita almacenar un único bit de datos (1
o 0). Si se añade un inversor a un flip-flop S-R obtenemos un flip-flop D básico.
El funcionamiento de un dispositivo activado por el flanco negativo es, por
supuesto, idéntico, excepto que el disparo tiene lugar en el flanco de bajada del
impulso del reloj. Recuerde que Q sigue a D en cada flanco del impulso de
reloj.
Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y
bajo), cuya salida adquiere el valor de la entrada D cuando se activa la entrada
de sincronismo, C. En función del modo de activación de dicha entrada de
sincronismo, existen dos tipos:
Activo por nivel (alto o bajo), también denominado registro o cerrojo (Latch en
inglés).
Activo por flanco (de subida o de bajada).
La ecuación característica del biestable D que describe su comportamiento es:
𝑄(𝑡+1) = 𝐷

VII. CONCLUSIONES
 Un biestable (flip-flop en inglés), es un multivibrador capaz de permanecer en
uno de dos estados posibles durante un tiempo indefinido en ausencia de
perturbaciones.
 Todos los diseñadores usan el nombre de flip-flop para un dispositivo
secuencial que muestre sus entradas y cambia sus salidas en tiempos
determinados por una señal de reloj.
 En los sistemas asíncronos, las salidas de los circuitos lógicos pueden
cambiar de estado en cualquier momento en que una o mas de las entradas
cambie.
 En los sistemas síncronos los tiempos exactos en que alguna salida puede
cambiar de estado se determinan por medio de una señal denominada reloj o
clock.
 Existen dos entradas adicionales en el biestable JK muy importantes: La
entrada PRESET (poner), que sirve para poner directamente en el biestable
un “1” en la salida Q y la entrada CLEAR (borrar), que sirve para poner en “0”
en la salida Q.
 Estas entradas son asincrónicas, lo que significa que tendrán efecto sin
importar el estado del reloj y/o las entradas J y K. Es importante no activar
simultáneamente estas dos entradas.
VIII. BIBLIOGRAFIA
http://members.fortunecity.com/jhilrdz/flipflop.htm
http://www.kumbaya.name/ci1210/leccion%209%20circuitos%20secuenciales
/Circuitos%20Secuenciales%20s%C3%ADncronos.htm
http://www.forosdeelectronica.com/tutoriales/flip-flops.htm
http://ladelec.com/teoria/electronica-digital/195-flip-flop-jk
http://www.unicrom.com/dig_biestable_JK_SET_CLEAR_tabla_verdad.asp

You might also like