You are on page 1of 1

library IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

You might also like