Professional Documents
Culture Documents
Contador VHDL
Contador VHDL
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.numeric_std.all;
entity contador is
Port(
frek : in std_logic;
clk : in STD_LOGIC;
end contador;
begin
499999;
--Contador
bin<=std_logic_vector(to_unsigned(cont2,14));
end Behavioral;