You are on page 1of 1

Conversion/Casting of data types with numeric_std

package
library IEEE;
use IEEE.std_logic_1164.all; U
use IEEE.NUMERIC_STD.all; unsigned std_logic_vector(U)

to_integer(U)

unsigned(V)

to_unsigned(I, U’length)
I V
integer std_logic_vector

to_signed(I, S’length) signed(V)

to_integer(S)
std_logic_vector(S)
S

signed

Fakultät für ET/IT



Prof. U. Heinkel 1
Professur Schaltkreis-
und Systementwurf

You might also like