You are on page 1of 663

Handbook of Advanced Plasma Processing Techniques

Springer-Verlag Berlin Heidelberg GmbH


ONLINE LlBRARY
Physics and Astronomy
http://www.springer.de/phys/
R. J. Shul S. J. Pearton (Eds.)

Handbook
of Advanced
Plasma Proeessing
Teehniques
With 413 Figures
Including 10 in Color

i Springer
Dr. Randy J. Shul
Sandia National Laboratories
Dept. 1313, MS 0603
PO Box 5800
NM 87185 Albuquerque, USA

Professor Stephen J. Pearton


University of Florida
Dept. Mat. Sci. Eng.
132 Rhines Hali, PO Box 116400
FL 32611 Gainesville, USA

ISBN 978-3-642-63096-5 ISBN 978-3-642-56989-0 (eBook)


DOI 10.1007/978-3-642-56989-0
Library of Congress Cataloging-in-Publication Data. Handbook of advanced plasma processing tech-
niques / R.). Shul, S.). Pearton (eds.). p.cm. Includes bibliographical references and index. ISBN
3540667725 (alk. paper) 1. Plasma engineering. 2. Electronic circuits-Design and construction. 3.
Plasma etching. 4. Plasma spraying. 1. Shul, R.). II. Pearton, S.).
TA2020.H35 2000 621.044-dc21 00-038824

This work is subject to copyright. AlI rights are reserved, whether the whole or part of the material
is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broad-
casting, reproduction on microfilm or in any other way, and storage in data banks. Duplication of
this publication or parts thereof is permitted only under the provisions of the German Copyright Law
of September 9, 1965, in its current version, and permission for use must always be obtained from
Springer-Verlag. Violations are liable for prosecution under the German Copyright Law.

© Springer-Verlag Berlin Heidelberg 2000


Originally published by Springer-Verlag Berlin Heidelberg New York in 2000
Softcover reprint of the hardcover 1st edition 2000
The use of general descriptive names, registered names, trademarks, etc. in this publication does not
imply, even in the absence of a specific statement, that such names are exempt from the relevant
protective laws and regulations and therefore free for general use.
Typesetting: Data conversion by Frank Herweg, Leutershausen
Cover design: Erich Kirchner, Heidelberg
Printed on acid-free paper
SPIN: 10749494 57/3141lba - 5 43210
Preface

Pattern transfer by dry etching and plasma-enhanced chemical vapor de-


position are two of the cornerstone techniques for modern integrated cir-
cuit fabrication. The success of these methods has also sparked interest in
their application to other techniques, such as surface-micromachined sen-
sors, read/write heads for data storage and magnetic random access memory
(MRAM). The extremely complex chemistry and physics of plasmas and their
interactions with the exposed surfaces of semiconductors and other materi-
als is often overlooked at the manufacturing stage. In this case, the process
is optimized by an informed "trial-and-error" approach which relies heavily
on design-of-experiment techniques and the intuition of the process engineer.
The need for regular cleaning of plasma reactors to remove built-up reaction
or precursor gas products adds an extra degree of complexity because the
interaction of the reactive species in the plasma with the reactor walls can
also have a strong effect on the number of these species available for etching
or deposition. Since the microelectronics industry depends on having high
process yields at each step of the fabrication process, it is imperative that a
full understanding of plasma etching and deposition techniques be achieved.
In this volume, we have enlisted experts in the field of plasma physics,
plasma and process modeling, plasma diagnostics, plasma-induced damage
and various applications such as creation of photomasks, Si MEMS, com-
pound semiconductor etching, high-density plasma deposition and magnetic
materials etching, to give detailed overviews of these topics. The coverage
should appeal to process engineers, researchers in both industry and acad-
emia and those entering the field who need a single-source review of particular
subjects.

Albuquerque and Gainesville, R.J. Shut


February 2000 S.J. Pearion
Contents

1 Some Fundamental Aspects of Plasma-Assisted Etching


J.W. Coburn. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . 1
1.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 The Evolution of Plasma Etching Equipment ................. 4
1.2.1 The "Barrel" Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.2.2 Planar and Cylindrical Diode Systems ................. 5
1.2.3 Planar Triode Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.2.4 Dual Frequency Planar Triode Systems. . . . . . . . . . . . . . . . . 9
1.2.5 Inductively Coupled Plasmas,
Wave Generated Plasmas, etc. ........................ 9
1.3 The Role of Ions in Reactive Ion Etching. . . . . . .. . . . . . . . . . . . .. 12
1.3.1 Ion-Assisted Gas-Surface Chemistry
and the Resulting Etching Anisotropy. . . . . . . . . . . . . . . . .. 12
1.3.2 Mechanistic Aspects of Ion-Assisted Gas-Surface Chemistry 15
1.3.3 Other Factors That Influence Etching Anisotropy. . . . . . .. 18
1.4 The Influence of the Reactor Walls and Other Surfaces. . . . . . . .. 22
1.4.1 The Etching Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 22
1.4.2 Polymer Deposition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 24
1.4.3 Surface-Catalyzed Atom-Atom Recombination. . . . . . . . .. 25
1.5 Ion Beam-Based Methods .................................. 27
1.6 Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 31
References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 31

2 Plasma Fundamentals for Materials Processing


J.E. Stevens. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 33
2.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 33
2.2 Single Particle Motion ..................................... 36
2.3 Collision Processes ........................................ 38
2.4 Velocity Distributions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 43
2.5 Sheaths. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 45
2.6 Plasma Transport. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 51
2.7 Dielectric Properties ..................................... " 55
2.8 Plasma Sources for Thin Films Processing. . . . . . . . . . . . . . . . . . .. 57
2.8.1 Capacitive Sources .................................. 58
VIII Contents

2.8.2 High Density Sources .... . . . . . . . . . . . . . . . . . . . . . . . . . . .. 59


2.8.3 Inductive Sources ................................... 60
2.8.4 ECR Sources ....................................... 61
2.8.5 Helicon Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
2.8.6 Wave Sources ...................................... . 63
2.8.7 Downstream Sources ................................ . 63
References .................................................... . 65
3 Plasma Modeling
E. Meeks and P. Ho . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 69
3.1 Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 69
3.2 Historical Perspective. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 70
3.3 Plasma Modeling Issues. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 71
3.3.1 Well Mixed Reactor Models and Applications (O-D). . . . .. 73
3.3.2 One-Dimensional Models and Applications. . . .. . . . . . . . .. 76
3.3.3 Two-Dimensional Models and Applications. . .. . . . . . . . .. 79
3.3.4 Three-Dimensional Models and Applications. . . . . . . . . . .. 83
3.3.5 2-D and 3-D Profile Evolution Models and Applications.. 84
3.4 Chemical Reaction Mechanisms. . . . . . . . . . . . . . . . . . . . . . . . . . . .. 84
3.4.1 Gas-Phase Kinetic and Transport Processes. . . . . . . . . . . .. 86
3.4.2 Surface Chemistry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 92
3.4.3 Reaction Mechanism Validation, Tuning, and Reduction.. 96
3.4.4 Sample Reaction Mechanism. . . . . . . . . . . . . . . . . . . . . . . . .. 98
3.5 Examples of Application of Plasma Modeling
to Design or Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 103
3.5.1 Optimization of Plasma Cleaning Process
to Reduce Reactor Emissions ......................... 103
3.5.2 Optimization of Chemical Downstream Etch
Process Conditions ... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
3.5.3 Reactor Design: Scaling-Up from 200 to 300mm Wafers .. 111
3.5.4 Mapping Pressure Gradients in Reactor Pump Port
and Inlet Regions ................................... 114
3.6 Future Directions of Plasma Modeling ....................... 114
References ..................................................... 117
4 Plasma Reactor Modeling
M. Meyyappan ................................................. 123
4.1 Introduction .............................................. 123
4.2 Reactor Scale Model ....................................... 124
4.2.1 A Review of Various Approaches ...................... 124
4.2.2 Global Model ....................................... 125
4.2.3 Continuum Reactor Model ............................ 127
4.2.4 Hybrid Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 134
4.3 Feature Level Modeling ...... . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 137
Contents IX

4.4 Database Needs ........................................... 141


4.5 Concluding Remarks ....................................... 141
References ..................................................... 143

5 Overview of Plasma Diagnostic Techniques


G.A. Hebner, P.A. Miller, and J.R. Woodworth ..................... 145
5.1 Introduction .............................................. 145
5.2 Plasma Electrical Characterization .......................... 146
5.2.1 Electrical Diagnostics ................................ 146
5.2.2 Microwave Diagnostic Techniques ...................... 167
5.2.3 Ion-Energy Analyzers ................................ 171
5.3 Optical Diagnostic Techniques .............................. 177
5.3.1 Optical Emission .................................... 177
5.3.2 Optical Absorption Techniques ........................ 185
5.3.3 Laser-Induced Fluorescence ........................... 190
5.3.4 Negative Ion Photo detachment ........................ 197
5.3.5 Optogalvanic Spectroscopy ........................... 198
5.3.6 Thomson Scattering ................................. 199
References ..................................................... 200

6 Mass Spectrometric Characterization


of Plasma Etching Processes
C.R. Eddy, Jr ................................................... 205
6.1 Introduction .............................................. 205
6.2 Application to Fundamental Studies ......................... 208
6.2.1 Silicon/Fluorine ..................................... 209
6.2.2 Silicon/Chlorine ..................................... 210
6.2.3 Gallium Arsenide/Chlorine ........................... 211
6.3 Application in Etch Processing Reactors ..................... 212
6.3.1 General Description of Experiments .................... 212
6.3.2 IV-IV Semiconductors ............................... 212
6.3.3 III-V Semiconductors ................................ 219
6.3.4 II-VI Semiconductors ................................ 232
6.3.5 Metals and Perovskites ............................... 239
6.3.6 Issues in Application and Interpretation ................ 244
6.4 Summary and Future Directions ............................. 248
References ..................................................... 254

7 Fundamentals of Plasma Process-Induced Charging


and Damage
K.P. Giapis .................................................... 257
7.1 Introduction .............................................. 257
7.2 The Origin of Pattern-Dependent Charging ................... 260
7.2.1 Differences in Ion and Electron Angular Distributions .... 260
X Contents

7.2.2 Charging as a Result of Current Imbalance ............. 263


7.2.3 Electron Shading Effects ............................. 264
7.3 The Notching Effect ....................................... 268
7.3.1 Observations and Mechanisms ........................ 268
7.3.2 Phenomena that Influence Notching ................... 270
7.3.3 Results from Self-Consistent Charging Simulations ....... 275
7.3.4 Validation .......................................... 279
7.4 Other Profile Effects Influenced by Charging .................. 282
7.4.1 Reactive Ion Etching Lag ............................. 282
7.4.2 Microtrenching ...................................... 285
7.5 Gate Oxide Degradation ................................... 290
7.5.1 The Driving Force for Current Injection ................ 290
7.5.2 Tunneling Current Transients ......................... 292
7.5.3 The Influence of Electron and Ion Temperature ......... 295
7.6 Charging Reduction Methodology ........................... 300
7.7 Concluding Remarks ....................................... 303
7.7.1 Historical Perspective ................................ 303
7.7.2 Will Charging Problems Persist? ...................... 304
References ..................................................... 305

8 Surface Damage Induced by Dry Etching


S.W. Pang ..................................................... 309
8.1 Introduction .............................................. 309
8.2 Surface Damage in Si ...................................... 309
8.2.1 Changes in Electrical Characteristics due to Dry Etching. 310
8.2.2 Defects Evaluated by Surface Analysis ................. 315
8.2.3 Modeling of Etch-Induced Damage .................... 319
8.3 Surface Damage in III-V Semiconductors ..................... 325
8.3.1 Damage Dependence on Etch Conditions ............... 326
8.3.2 Effects of Etch Time and Materials on Defect Generation. 335
8.3.3 Changes in Electrical and Optical Characteristics ........ 338
8.4 Damage Removal .......................................... 344
8.4.1 Wet Etching, Dry Etching, Thermal Annealing,
and Two-Step Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344
8.4.2 Passivation by Low-Energy Reactive Species ............ 353
8.5 Summary ................................................. 357
References ..................................................... 357

9 Photomask Etching
D.J. Resnick ................................................... 361
9.1 Introduction .............................................. 361
9.2 Optical Lithography ....................................... 364
9.2.1 Photomask Basics ................................... 364
9.2.2 Chrome Photomasks ................................. 364
Contents XI

9.2.3 MoSi Photomasks ................................... 372


9.2.4 Phase Shift Mask Technology ......................... 379
9.3 X-Ray Lithography ........................................ 383
9.3.1 X-Ray Lithography Basics ............................ 383
9.3.2 Gold Absorber-Based Masks .......................... 385
9.3.3 Refractory Masks .................................... 388
9.3.4 Amorphous Refractory-Based Masks ................... 389
9.3.5 Thermal Characteristics of a Mask Etch Process ........ 395
9.3.6 Hard Mask Materials ................................ 400
9.4 SCALPEL ................................................ 402
9.4.1 SCALPEL Basics .................................... 402
9.4.2 SCALPEL Mask Blank Processing ..................... 404
9.4.3 SCALPEL Mask Pattern Transfer ..................... 405
9.5 EUVL ................................................... 407
9.5.1 EUVL Basics ....................................... 407
9.5.2 EUVL Masks ....................................... 408
9.5.3 EUV Mask Pattern Transfer .......................... 409
9.6 Ion Projection Lithography ................................. 411
9.6.1 Ion Projection Lithography Basics ..................... 411
9.6.2 IPL Masks ......................................... 411
9.6.3 IPL Mask Pattern Transfer ........................... 413
9.7 IPL Mask Distortion Issues ................................. 414
9.8 Conclusion ............................................... 415
References ..................................................... 416

10 Bulk Si Micromachining for Integrated Microsystems


and MEMS Processing
R.J. Shul and J.G. Fleming ...................................... 419
10.1 Introduction .............................................. 419
10.2 Etch Technologies ......................................... 421
10.2.1 Wet Chemical Etching ............................... 421
10.2.2 Plasma Etching ..................................... 421
10.2.3 Reactive Ion Etching ................................. 423
10.2.4 High-Density Plasma Etching ......................... 424
10.2.5 Deep Reactive Ion Etching ........................... 425
10.3 ECR Results .............................................. 426
10.3.1 ECR Experimental .................................. 427
10.3.2 ECR Process Parameters ............................. 427
10.3.3 ECR Process Applications ............................ 433
10.4 DRIE Results ............................................. 439
10.4.1 DRIE versus ICP Etch Comparison .................... 439
10.4.2 Etch Rates and Selectivity to Masking Materials ........ 441
10.4.3 Aspect Ratio Dependent Etching (ARDE) in DRIE ...... 445
10.4.4 Etch Selectivities .................................... 446
XII Contents

10.5 DRIE Applications ........................................ 448


10.5.1 Chemical Sensing Devices ............................ 448
10.5.2 Advanced Packaging ................................. 453
10.5.3 SOl DRIE Etching .................................. 455
10.6 Conclusions ............................................... 457
References ..................................................... 457

11 Plasma Processing of 111- V Materials


C. Youtsey and I. Adesida ....................................... 459
11.1 Introduction .............................................. 459
11.2 Dry Etching Techniques .................................... 459
11.2.1 Ion Beam Etching ................................... 459
11.2.2 Reactive Ion Etching ................................. 462
11.2.3 High-Density Plasma Reactive Ion Etching ............. 464
11.3 Masking Materials and Methods ............................. 466
11.4 Dry Etching Chemistries ................................... 469
11.5 Dry Etching of GaAs and Related Materials .................. 474
11.6 Dry Etching of InP and Related Materials .................... 477
11. 7 Dry Etching of GaN and Related Materials ................... 483
11.8 Selective Dry Etching of III-V Materials ...................... 490
11.8.1 GaAs on AIGaAs .................................... 490
11.8.2 InGaAs on InA lAs ................................... 492
11.8.3 GaN on AIGaN ..................................... 493
11.9 Conclusion ............................................... 494
References ..................................................... 496

12 Ion Beam Etching of Compound Semiconductors


G.A. Vawter ................................................... 507
12.1 Introduction .............................................. 507
12.2 Definitions ............................................... 507
12.2.1 Ion Beam Etching ................................... 507
12.2.2 Reactive Ion Beam Etching ........................... 508
12.2.3 Chemically Assisted Ion Beam Etching ................. 508
12.2.4 Sputter Yield ....................................... 510
12.3 Ion Sources ............................................... 510
12.4 Historic Development ...................................... 512
12.5 Grid Design, Beam Uniformity, and Divergence ............... 513
12.6 Brief Overview of Etching Kinetics and Chemistry ............. 515
12.7 Surface Quality and Etch Masking ........................... 518
12.8 RIBE Etch Technology ..................................... 522
12.8.1 RIBE of GaAs and AIGaAs ........................... 522
12.8.2 RIBE of InP ........................................ 526
12.8.3 RIBE of InGaAsP and InP ........................... 528
12.8.4 RIBE of AIGaInP, GalnP and AIGalnAs ............... 528
Contents XIII

12.8.5 RIBE of (Al,Ga)Sb, (In,Ga)Sb and InAsSb ............. 529


12.8.6 RIBE of GaP and GaN .............................. 530
12.8.7 RIBE of ZnSe and ZnS ............................... 530
12.9 CAIBE Etch Technology ................................... 530
12.9.1 CAIBE of GaAs ..................................... 531
12.9.2 CAIBE of AlGaAs ................................... 532
12.9.3 CAIBE oflnP and InGaAsP .......................... 533
12.9.4 CAIBE of AlGalnP and AlGalnAs .................... 534
12.9.5 CAIBE of (Al,Ga)Sb and InSb ........................ 535
12.9.6 CAIBE of (Al,Ga)N ................................. 535
12.10 Endpoint Detection ........................................ 535
12.11 Damage .................................................. 538
References ..................................................... 539

13 Dry Etching of InP Vias


S. Thomas III and J.J. Brown .................................... 549
13.1 Introduction .............................................. 549
13.2 Past Difficulties in Obtaining High Rate Etching for InP ....... 553
13.2.1 High Bias CH4-based Etching of InP ................... 553
13.2.2 Elevated Temperature Cl-based Etching of InP .......... 554
13.3 High Density Plasma Sources for High InP Etch Rate .......... 554
13.3.1 Reduced Bias CH 4-Based ECR Etching of InP .......... 555
13.3.2 Addition of Cl to CH 4-Based ECR Etching of InP ....... 556
13.3.3 Low Temperature Cl-Based Etching ................... 556
13.4 Measurement of Plasma Heating for InP Etching .............. 557
13.4.1 Wafer Heating During High-Density Plasma Etching ..... 557
13.4.2 Impact of Plasma Heating for InP Etching .............. 560
13.4.3 Effects of Chamber Pressure and Wafer Temperature
on Etch Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 563
13.5 Application to Via Hole Etching ............................. 564
13.5.1 Etch Mask and Etch Characteristics ................... 565
13.5.2 Etching Slot Vias Using a Photoresist Mask ............ 567
13.5.3 OES for Endpoint ................................... 569
13.6 Summary ................................................. 570
References ..................................................... 571

14 Device DaIllage During Low TeIllperature High-Density


PlasIlla CheIllical Vapor Deposition
J. Lee and F. Ren ............................................... 575
14.1 Introduction .............................................. 575
14.2 Experimental ............................................. 576
14.3 Results and Discussion ..................................... 579
14.4 Summary and Conclusions .................................. 601
References ..................................................... 602
XIV Contents

15 Dry Etching of Magnetic Materials


K.B. Jung, H. Cho, and S.J. Peart on .............................. 607
15.1 Introduction .............................................. 607
15.2 Ion Milling ............................................... 608
15.3 Cl 2 -Based ICP Etching of NiFe and Related Materials ......... 609
15.4 Copper Dry Etching in Chi Ar .............................. 620
15.5 CO INH3 Etching of Magnetic Materials ...................... 628
15.6 ECR and ICP Etching of NiMnSb ........................... 635
15.7 Dry Etching of LaCaMnOx and SmCo ....................... 640
15.8 Summary and Conclusions .................................. 644
References ..................................................... 644

Subject Index . ............................................... 649


List of Contributors

I. Adesida K. Giapis
Microelectronics Laboratories Chemical Engineering 210-41
University of Illinois California Institute of
208 N. Wright St. Technology
Urbana, IL 61801, USA 1200 E. California Blvd.
Pasadena, CA 91125 USA
J.J. Brown
Princeton University G.A. Hebner
Princeton, NJ 08544, USA Sandia National Laboratories
P.O. Box 5800
H. Cho Albuquerque, NM 87185, USA
Department of Materials Science
and Engineering P.Ho
P.O. Box 116400
Sandia National Laboratories
University of Florida
Albuquerque,
Gainesville, FL 32611, USA NM 87185-0601, USA
J.W. Coburn
6122 Franciscan Way K.B. Jung
San Jose, CA 95120-4416, USA Department of Materials Science
and Engineering
C.R. Eddy, Jr. P.O. Box 116400
Boston University University of Florida
Electrial & Computer Engineering Gainesville, FL 32611, USA
Department
8 Saint Marys Street J. Lee
Boston, MA 02215-2421, USA Plasma-Therm, Inc.
10050 16th Street, North
J.G. Fleming St. Petersburg, FL 33716, USA
Center for Compound Semicon-
ductor Science and Technology E. Meeks
MS 0603 Reaction Design, Inc.
Sandia National Laboratories 440 Lusk Blvd.
P.O. Box 5800 Scite D-209
Albuquerque, NM 87185-0603, USA San Diego, CA 9214, USA
XVI List of Contributors

M. Meyyappan R.J. Shul


NASA Ames Research Center Center for Compound
Mail Stop 229-3 Semiconductor Science
Moffett Field, CA 94035, USA and Technology
MS 0603
P.A. Miller Sandia National
Sandia National Laboratories Laboratories
P.O. Box 5800 P.O. Box 5800
Albuquerque, NM 87185, USA Albuquerque, NM 87185-0603, USA

S.W. Pang J.E. Stevens


University of Michigan Microelectronics Development
Department of Electrical Laboratory
Engineering & Computer Science Sandia National Laboratories
2304 EECS Bldg. P.O. Box 5800
1301 Beal A venue Albuquerque, NM 87185, USA
Ann Arbor, MI 48109-2122, USA
S. Thomas III
S.J. Pearton Microelectronics Lab
Department of Materials Science and HRL Laboratories, LLC
Engineering 3011 Malibu Canyon Road
P.O. Box 116400 Malibu, CA 90265, USA
University of Florida
Gainesville, FL 32611, USA G.A. Vawter
Sandia National Laboratories
F. Ren P.O. Box 5800
Department of Chemical Albuquerque, NM 87185-0603, USA
Engineering
P.O. Box 116005 J .R. Woodworth
University of Florida Sandia National Laboratories
Gainesville, FL 32611, USA P.O. Box 5800
Albuquerque, NM 87185, USA
D. Resnick
Motorola, Inc. c. Youtsey
2100 E. Elliot Road N anovation Technologies
Tempe, AZ 85284, USA 1801 Maple Ave.
Evanston, IL 60201, USA
1 Some Fundamental Aspects
of Plasma-Assisted Etching

J.W. Coburn

1.1 Introduction

Industrial applications of glow discharges have increased tremendously during


the last third of the 20th century. Although some of this growth has been in
the use of rare gas glow discharges, probably the most rapidly growing area
has involved the use of reactive gas glow discharges. It is this latter area which
is the focus of both this chapter and this book. Reactive gas glow discharges
are used in materials processing primarily for etching, deposition or surface
modification. The greatest range of applications as well as the most stringent
requirements on reactive gas glow discharge processes are probably found in
the manufacturing of integrated circuits. Much of the work described in this
book was stimulated by the demands of "very-large-scale" integrated circuit
manufacturing.
Glow discharges are very complex entities. Even a rare gas glow discharge,
which ideally consists of chemically unreactive species, can be very difficult to
understand. A molecular gas glow discharge is at least an order of magnitude
more complicated in that it consists of many different kinds of neutral and
ionized species. For example, a glow discharge of tetrafluoromethane (CF 4 or
Freon 14) can be expected to contain F atoms, CF, CF 2 , CF 3 radicals, CFt,
CFt, CF+ ions, F-, CF; ions as well as smaller quantities of C 2 F x radicals,
C 2 F;;- ions and other gases associated with the surface process (SiF4 in the
etching of Si). The situation is further complicated by the large number of ex-
perimental variables associated with glow discharge equipment. Consequently
most of the progress in this field up to this time has been accomplished em-
pirically, guided by experience and intuition.
Even the terminology associated with materials processing using glow dis-
charges is complicated and somewhat confusing. For example, in the area of
etching with reactive gas glow discharges the following terms are used: plasma
etching, plasma-assisted etching, reactive ion etching (RIE), reactive sputter
etching, chemical dry etching or chemical downstream etching, plasma ashing
as well as other less frequently used terms. lon-beam-based chemical etching
methods add to this list with reactive ion beam etching (RIBE), chemically-
assisted ion beam etching (CAIBE) and ion beam-assisted etching. Many of
these terms are intended to describe a specific experimental arrangement.
For example the term Reactive Ion Etching originally was used to describe

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
2 J.W. Coburn

a process in which the surface to be etched was placed on the powered elec-
trode in a capacitively coupled diode etching system. A capacitively coupled
system is one in which a large rf voltage (hundreds or even thousands of
volts) is applied to an electrode that is either immersed in the plasma or is
capacitively well-connected to it. Electrons in the vicinity of this rf-powered
electrode can acquire energy from the time-varying electric fields caused by
the rf voltage. Some confusion arises when a capacitively coupled system is
used in which the area of the powered electrode is comparable to the area
of other surfaces in contact with the plasma (symmetric system). In such a
system the ion bombardment on the powered electrode is about the same
as the ion bombardment on a grounded surface and the etching behavior of
materials placed on these two surfaces would be very similar. This is in con-
trast to the situation prevailing in a system in which the area of the powered
electrode is much less than the area of other surfaces in contact with the
plasma (asymmetric system). In an asymmetric system, a material placed on
the powered electrode will usually etch faster than the same material placed
on a grounded surface. This topic will be discussed later in this chapter.
Furthermore, the term reactive ion etching suggests that the chemical
species needed to accomplish the etching are provided by the ions. However,
in most situations, the chemistry is provided by the neutral species. Unfor-
tunately the terminology is further confused by the use of the term reactive
sputter etching as a synonym for reactive ion etching. A further complication
is the use of the term "reactive ion etching" to describe etching processes in
which volatile products are not expected. For example, the etching of both
Si and Pt in chlorine glow discharges are described as reactive ion etching. In
the Si case, the volatile products SiC1 4 and SiC1 2 dominate the Si etch process
whereas the chlorides of Pt do not have a sufficiently high vapor pressure to
contribute significantly to the etching of Pt. Consequently, the etching char-
acteristics of these two materials are very different. It would seem that the
term reactive sputter etching would be appropriate for the Pt etching if this
term had not been used previously as a synonym for reactive ion etching.
There are a few characteristics of a reactive gas glow discharge-based
etching process that should be understood for efficient process development:

1. Do species in the glow discharge react exothermically with the surface


to be etched to form an etch product that is volatile at the wafer tem-
perature? (Volatility might be somewhat arbitrarily defined as a vapor
pressure larger than 10- 4 Torr).
2. What is the approximate energy (eV) and current density (mA/cm- 2 )
of the positive ion flux bombarding the surface being etched and what
is the approximate flux of the neutral etching species (atomscm- 2 s- 1 )
arriving at the etched surface? This ratio of these quantities (neutral
flux/ion energy flux) is a useful characterization of a plasma-assisted
etching process.
1 Some Fundamental Aspects of Plasma-Assisted Etching 3

The volatility question is an extremely important one. If any of the ele-


ments in a surface being etched do not react with species in the reactive gas
glow discharge to form volatile products, the etch rate will be controlled by
physical sputtering. Not only will this cause the etch rate to be lower but
also the involatile reaction products sputtered from the surface being etched
will have a large sticking (condensation) coefficient upon subsequent colli-
sions with surfaces. If the etching is taking place at the bottom of a small
feature, these involatile etch products will tend to deposit on the sidewalls of
the feature. This phenomenon is known as redeposition and it greatly lim-
its the fidelity of the pattern transfer process. In high aspect ratio features
(depth larger than diameter or width) most of the involatile material etched
from the bottom surface will be redeposited on the sidewalls. If all the etched
material is volatile, it will not condense on the sidewalls and it will even-
tually escape from the feature even after having collided many times with
the sidewalls. If the etching is taking place on a fiat surface, the involatile
species can be returned to the etched surface, after undergoing numerous
collisions with species in the gas phase, where they will probably redeposit.
This phenomenon is known as backscattering and it can be the cause of severe
microroughness (grass) on etched surfaces. Some of the volatile products will
also be returned to the etched surface by this backscattering process but, in
general, they will not condense (redeposit) on the etched surface because of
their high vapor pressure.
The need for an exothermic (thermodynamically "downhill") reaction is
also a critical requirement for a plasma-assisted etching process. Endother-
mic (thermodynamically "uphill") reactions proceed very slowly if at all and
again the etch rate will be determined primarily by physical sputtering. Re-
actions of elemental solids with reactive atoms are always exothermic but
reactions of some compounds with reactive atoms are sometimes endother-
mic. Consider, for example, Si0 2: Si0 2 + 4F => SiF 4 + O 2 is exothermic and
proceeds rapidly with ion bombardment whereas Si0 2 + 4Cl=> SiCl4 + O 2 is
endothermic and proceeds rapidly in the reverse direction. In the patterning
of gate electrodes and associated wiring, it is important to be able to etch
poly-Si (or a silicide-poly-Si stack) down to Si0 2 without etching the Si0 2 ap-
preciably, because in certain areas the Si0 2 is very thin (gate oxide regions).
This can be accomplished by using chlorine- or bromine-based chemistries
that etch Si rapidly, but do not etch Si0 2 because of the endothermic nature
of the reaction. Another endothermic reaction of great importance is Ab03
+ 6Cl => Al2Cl6 + ~02. In most aluminum etch processes, the aluminum is
oxidized on the top surface and sometimes in grain boundaries, and in or-
der to etch the oxidized aluminum, BCl3 is added to the Cb feed gas. BCb
acts as a reducing agent by forming either BOCl or B 20 3, thus making the
reaction to form aluminum chloride thermodynamically more favorable.
Energetic positive ion bombardment of the etched surface is essential for
many of the applications of reactive gas glow discharges. This is particularly
4 J.W. Coburn

true for pattern transfer applications of plasma etching and, of course, for
physical sputter etching processes. As will be shown later in this chapter,
energetic positive ion bombardment is required to obtain anisotropic etch
profiles. Consequently, the need to know and control the extent of the en-
ergetic ion bombardment (both ion energy and ion flux) has been a major
emphasis in etching equipment design. In fact this focus on controlled ion
bombardment can be used to follow the evolution of plasma etching equip-
ment.

1.2 The Evolution of Plasma Etching Equipment

1.2.1 The "Barrel" Systems

Plasma etching first appeared in semiconductor processing in the late 1960s


with the development of so-called "barrel" etchers [1] illustrated schematically
in Fig. 1.1.

rf

Gas ~Pump
In~

~ Tube
Dielectric
rf
Fig. 1.1. Barrel geometry used for plasma processing of surfaces in situations where
energetic ion bombardment is not required.

Initially these machines were used with oxygen gas to remove residues re-
maining after photoresist processing. Oxygen glow discharges easily convert
most organic materials to volatile products (CO, CO 2 and H 2 0) and this
process is often referred to as plasma ashing or sometimes plasma stripping.
Later, these machines were used to etch silicon [2] by using glow discharges
of fluorine-containing compounds such as CF 4 , the volatile product being
primarily SiF 4 . The surfaces to be etched in these systems were inserted
into the reactor in "boats" or "racks" with no electrical connection to the
surfaces. That is, the surfaces were at the floating potential of the plasma
which typically is about 10-20 V negative with respect to the plasma po-
tential. Therefore the ion bombardment energy is very low (1O-20eV) and
is uncontrollable. Consequently, the etching process is essentially a chemical
reaction between the neutral atoms created in the glow discharge and the
surface atoms of the material being etched and these machines are not capa-
ble of anisotropic pattern transfer. However, there are many plasma etching
1 Some Fundamental Aspects of Plasma-Assisted Etching 5

applications that do not require anisotropic etching and this purely chemical
approach is used extensively in integrated circuit manufacture to etch away
layers of material which have served their purpose in the process and are no
longer needed (e.g., masking layers of photoresist, silicon nitride or even poly-
crystalline silicon). The "barrel" etcher shown in Fig. 1.1 has been replaced
by "chemical downstream etchers" in which the glow discharge chamber is
separated from the wafer chamber by some tubulation which efficiently trans-
ports the neutral atoms but which greatly reduces the fluxes of electrons, ions
and photons arriving at the etched surface. These latter particles are known
to introduce damage into sensitive electronic components in some situations.

1.2.2 Planar and Cylindrical Diode Systems

During the 1970s, the importance of energetic ion bombardment in acceler-


ating the etch rates was recognized [3-5] and this led to the widespread use
of the capacitively coupled "planar diode" or "parallel plate" system shown
in Fig. 1.2.

Feed ___ Pump


Gas--

rf
Fig. 1.2. Planar diode geometry used for many plasma etching applications through
the 1980s. The surface to be processed is placed on the lower powered electrode.
The gas injection and pumping ports are for illustration only and do not represent
actual gas admission and pumping geometries.

In this apparatus, the surface to be etched is placed on the electrode that


is coupled to an rf generator through a blocking capacitor. This blocking ca-
pacitor does not allow real current (i.e., electrons) to flow from the electrode
to the rf generator thus forcing the plasma to find a condition in which the
electron current reaching the electrode from the plasma must exactly bal-
ance the ion current averaged over one Tf cycle. Since electrons are much
more mobile than ions, the electrode must acquire a negative potential to
6 J.W. Coburn

limit the electron current and encourage the positive ion current. This neg-
ative potential is called the self-bias voltage (across the blocking capacitor)
and this results in energetic ion bombardment of the surface being etched.
Furthermore, the ion bombardment energy can be controlled by changing
the applied rf power. Also, the current balance requirement eliminates (in
principle) electrical charging of insulating surfaces. This capacitively coupled
planar diode and its equivalent in cylindrical geometry dominated plasma
etching technology in the late 1970s and through most of the 1980s. In spite
of this success, these systems have some problems and limitations.
One of the problems is the influence of the reactor geometry on the elec-
trical behavior of a capacitively coupled glow discharge. It was well known in
rf sputter-deposition technology that the plasma potential depended strongly
on the area of the powered electrode relative to the area of all other surfaces
in contact with the glow discharge [6]. If the area of the powered electrode
is much less than the area of other surfaces in contact with the plasma (i.e.,
an asymmetric geometry), the potential of the plasma will be relatively low
(a few tens of volts). However, if the areas of the powered electrode and all
other surfaces are comparable (i.e., symmetric geometry), the plasma poten-
tial will approach the amplitude of the applied rf voltage which can be a few
hundred volts at low pressures. This phenomenon is illustrated tutorially in
Fig. 1.3 in which the time-averaged potential between the powered electrode
and the grounded electrode is shown for an asymmetric geometry (left) and
a symmetric geometry (right).
Note that in the asymmetric case, the ion bombardment energy on the
wafer (located on the powered electrode) is very large (approximately Vp +
Vdc ) whereas the energy of ions arriving at the grounded electrode is low (ap-
proximately V p ). However, in the symmetric case, both the powered electrode
and the grounded electrode are subjected to high energy ion bombardment.
Therefore the wafer could be placed on either electrode in the symmetric
system. However, energetic ion bombardment of walls and fixtures will cause
sputtering of these surfaces and some of the sputtered material can diffuse
across the plasma to the wafer surface where it may condense and cause dif-
ficulties. This is particularly troublesome at low pressures where the voltages
(i.e., ion energies) are larger and where the sputtered material is more likely to
reach the wafer before being pumped away. One might then think that asym-
metric geometries would be preferable because the low-energy ions arriving
at the wall have zero or very low sputtering yields. However, asymmetric
systems tend to have a nonuniform plasma density, peaking in the center,
because of the large losses of electrons and ions at the walls. This results in
nonuniform etch rates (material at the center of the electrode etches more
rapidly than material at the edges); an unacceptable condition for plasma
processing. Consequently, symmetric planar diode geometries are used ex-
tensively in semiconductor manufacturing but the pressures used are high
enough (> 100 mTorr) so that sputtering of the grounded surfaces is not a
1 Some Fundamental Aspects of Plasma-Assisted Etching 7

Asymmetric Symmetric

Fig. 1.3. The time-averaged potentials in both asymmetric (left) and symmetric
(right) planar diode systems. The upper sketches show the cross sections through
the center of these cylindrically symmetric systems. The lower figures are plots
of the time-averaged voltage from the powered electrode across to the grounded
electrode. Vp is the plasma potential and Vdc is the self-bias voltage. The voltage
profiles have been drawn assuming that the amplitude of the voltage applied to the
powered electrode (approximately Vrf = Vdc + 2Vp) is the same for both systems.

problem. The use of these machines at low pressures is likely to be problem-


atic.
A geometry which was very popular during this period was cylindrical
geometry [7]. The problem of affixing planar wafers to a cylindrical surface
was solved by using a hexagonal inner powered electrode and a cylindrical
vacuum chamber (so-called hexode system). Since the area of the inner hex-
ode is less than the area of the outer vacuum wall, the plasma potential
will remain low and wall sputtering should not be a concern, even at low
pressures. In addition, the axial plasma density between the hexode (pow-
ered electrode) and the grounded wall is uniform (well way from the open
ends) and therefore this geometry provides a nice solution to the COncernS
discussed above for the planar geometry. However, the advent of single wafer
processing resulted in the demise of the cylindrically geometric systems in
semiconductor manufacturing.
A second major limitation of both the planar and the cylindrical diode
geometries is the inability to independently control the ion energy and the ion
flux (i.e., current density) at a fixed pressure and rf frequency. For example,
8 J.W. Coburn

suppose the etch task was to etch a GaAs wafer at a pressure of 5 mTorr
of chlorine (for profile control) and with less than 100 eV ions (for wafer
damage or selectivity reasons). However, when a glow discharge is established
adhering to these restrictions, the plasma density probably will be much
too low for any significant etching to be obtained. One could increase the
frequency and find a condition which allows the etching to be accomplished
but it would be preferable to do this without changing the frequency. This
leads to the planar triode geometry.

1.2.3 Planar Triode Systems

Planar triode glow discharges were used frequently in sputter-deposition


processes in the 1960s and 70s [8] before the introduction of magnetron
sources. A large rf power was applied to the target electrode (argon dis-
charge) and this was the source of the sputtered material. A much smaller
rf power was applied to the substrates to introduce relatively low energy ion
bombardment during the deposition process. This ion bombardment allowed
beneficial modification of the properties of the sputter-deposited thin films [9].
This triode approach was introduced into commercial plasma etching equip-
ment in the mid-1980s and still finds a place today (1999) in semiconductor
manufacturing. A schematic of the triode geometry is shown in Fig. 1.4.
This approach solves the problem described in the etching of GaAs above
in that the density of the 5 mTorr chlorine plasma can be increased to what-
ever density is needed by applying a large rf power to the top electrode while

rf

Feed ___
u- ___ Pump
Gas

Fig. 1.4. Planar triode geometry in which rf power is applied to two electrodes and
in which the grounded wall serves as the third electrode (see Fig. 1.2 caption).
1 Some Fundamental Aspects of Plasma-Assisted Etching 9

maintaining 100 eV ion bombardment of the wafer with a small rf power ap-
plied to the lower electrode. That is, the upper electrode power generates the
plasma (i.e., source power) whereas the lower electrode power controls the
ion energy (i.e., bias power). The problem is that applying a large rf power
capacitively to the top electrode causes very high energy ion bombardment
of the top electrode. What kind of material should be used to fabricate this
top electrode? If a material that forms volatile products with the etch gas
is used, the electrode will etch rapidly consuming an unacceptable quantity
of the etching species. If a material that forms involatile products with the
etch gas is used, the electrode will be sputtered by the high energy ions;
a situation similar to but worse than the symmetric diode discussed above.
Consequently, single frequency planar triode etching machines are usually
operated at pressures that are high enough to avoid significant sputtering of
the top electrode.

1.2.4 Dual Frequency Planar Triode Systems


One solution to the problem described above is to use two rf frequencies
combined with a planar triode geometry [10]. It is well known that if the
rf power delivered to an electrode is kept constant as the rf frequency is
increased, the voltage applied to the electrode must be decreased. This results
from the fact that a certain amount of energy is transmitted to the plasma
electrons per rf cycle and if the number of cycles is increased, the energy
transmitted per cycle must decrease if the total power (i.e., energy per unit
time) is to be kept constant. Therefore less voltage is required. If a very high
frequency rf voltage (typically tens of MHz) is applied to the top electrode,
a large power can be delivered to the plasma while keeping the energy of
the ions bombarding the top electrode low enough that physical sputtering
of the electrode is not a problem. Then a relatively low frequency rf voltage
(typically hundreds of kHz up to about 10 MHz) is applied to the lower
electrode on which the surface to be etched is located. With low frequency rf
excitation, quite large voltages are generated even when the power delivered
to the electrode is relatively low. In this way, one can control the plasma
density and subsequently the ion current density with the high frequency rf
power and the ion bombardment energy on the etched surface is established
by the low frequency power.

1.2.5 Inductively Coupled Plasmas,


Wave Generated Plasmas, etc.
During the late 1980s and early 90s it became clear that relatively low energy
ion bombardment (rv 100 eV or less) was required in some sensitive etching
processes. This limitation was caused by a need for very high selectivities in
some cases and less ion-induced damage in others. In addition, it was im-
portant that the etch rates not be reduced as the ion energy was decreased.
10 J.W. Coburn

Since the ion etch yield increases monotonically with increasing ion energy,
it was necessary to increase the ion flux to maintain the etch rate as the ion
energy is decreased. In fact, usually, the etch rate depends roughly on the ion
power incident on the wafer, at least for the parameter ranges encountered in
conventional plasma etching processes. However, the ion current density that
can be extracted from the low density (10 9 to 1010 electronscm- 3 ) plasmas
provided by capacitively coupled diode or triode systems is usually less than
about 1mAcm- 2 . The requirements of the process engineers were for sub-
stantially higher ion fluxes approaching lOmAcm- 2 or more. Such high ion
currents require much higher density plasmas (1011 to 10 12 electrons cm- 3 )
and new kinds of plasma sources were needed to generate such high density
plasmas.
The plasma density achievable by 13.56 MHz rf capacitively coupled plas-
mas is usually limited by the power dissipated in the electrode by the high
energy ion bombardment and by the accompanying sputter-erosion of the
electrode. The use of high frequency rf power as discussed in the previous
section on dual frequency planar triode systems provides a solution to this
problem. A second solution is the use of inductively coupled plasma sources.
A discussion of the operation of the various high density plasma sources which
have been used in plasma etching is beyond the scope of this brief historical
discussion of plasma etching equipment but this topic is discussed in de-
tail elsewhere [11,12]. The most common sources are the inductively coupled
sources (ICP) with coils having a planar, cylindrical or dome-shaped geome-
try. The so-called helical resonator is a cylindrical inductively coupled plasma
in which a movable tap on the coil is used to optimize tuning and power trans-
fer into the plasma. The helicon source uses an antenna to launch a wave
along an externally applied magnetic field and this wave can couple energy
into the plasma electrons. High frequency electromagnetic radiation is also
used to generate high density plasma sources with the 2.45 GHz microwave
radiation being the most popular. The plasma density that can be obtained
with microwave radiation by itself is limited to < 1011 electrons cm -3 but in
combination with large magnetic fields (875 G for 2.45 GHz) a resonance con-
dition can be found (electron cyclotron resonance; ECR) with which plasma
densities in the 10 13 cm -3 range can be achieved.
In summary, there are now many commercially available plasma sources
which can be used to generate high density plasmas (> 1011 electrons cm- 3 )
at relatively low pressures « 10 mTorr) without requiring the application of
high voltages. The plasma density in these sources usually is sufficiently uni-
form for semiconductor processing and in most cases there are no surfaces
which are subjected to high energy ion bombardment. In every case, these
sources are used in combination with a capacitively coupled, rf-powered elec-
trode on which the wafer is placed. This arrangement is shown schematically
in Fig. 1.5 using a microwave source as an example. It should be emphasized
1 Some Fundamental Aspects of Plasma-Assisted Etching 11

[]
Microwave

....-- Feed Gas

~Plasma
Chamber

Fig. 1.5. Concept of the sep-


aration of the plasma genera-
tion (microwave) from the wafer
bias (rf). Many different kinds
of plasma sources are used for
the plasma generation (source)
power. The microwave in this fig-
ure is shown just as an example.
However, the wafer bias is always
supplied by a capacitively cou-
t-
rf
pled rf source through a blocking
capacitor.

that all of the high density sources described above have been used for plasma
etching process development and semiconductor manufacturing.
In these systems, independent control of the ion energy and ion flux can be
obtained. Typically the power used in the high density source (source power)
is much larger than the power applied to the wafer-bearing electrode (bias
power). If this is the case, the bias power does not contribute significantly
to the plasma density and the ion flux is essentially independent of the bias
power (this is not the case in many dielectric etching processes which tend
to use very large bias powers). That is, increasing the bias power (with the
source power constant) increases the ion energy without changing the ion
current density. It should be noted that increasing the source power (with
the bias power constant) results in both an increase in the ion flux and a
decrease in the ion energy. This is essentially a forced situation in that the
bias power is approximately equal to the product of the ion energy and the
ion current and therefore if the ion current is increased, keeping the bias
power constant, the ion energy must decrease. These parameter effects are
shown qualitatively in Fig. 1.6.
12 J.W. Coburn

Source Power = Constant> Bias Power

Bias Power

Bias Power = Constant

Fig. 1.6. Qualitative changes in ion flux


and ion energy as the bias power (upper
figure) and the source power (lower figure)
Source Power are varied.

1.3 The Role of Ions in Reactive Ion Etching

1.3.1 Ion-Assisted Gas-Surface Chemistry


and the Resulting Etching Anisotropy
Several key plasma etching studies in the mid-to-Iate 1970s showed the impor-
tance of energetic ion bombardment in influencing the etch rates and etched
profile shapes when surfaces are exposed to reactive gas plasmas in which
volatile products are formed [3-5J. Subsequently, many studies were per-
formed with the aim of obtaining a better understanding of the fundamental
phenomena responsible for the large effect of ion bombardment on radical-
surface chemistry. Many of these studies were carried out using directed
beams in long mean free path environments in order to eliminate some of the
experimental difficulties associated with performing surface-science-related
studies in reactive gas glow discharges. A typical experimental arrangement
is shown tutorially in Fig. 1. 7.
This experimental approach allows independent control of the neutral
reactive gas flux and the ion flux (and energy); an experimental condition
that is very hard to achieve in a reactive gas glow discharge. This capability
allows a more direct observation of the role of energetic ion bombardment
in influencing gas-surface reaction kinetics as is shown in Fig. 1.8a [13J. In
this figure, the etch rate of Si (measured with a quartz crystal microbalance)
is shown as a function of time as a 450 eV Ar+ beam and a beam of XeF 2
neutral molecules are independently directed onto the Si surface. Ar+ ions
were chosen in order to eliminate any direct contribution to the chemistry by
the ion beam and XeF 2 was selected to be somewhat representative of atomic
fluorine. Results similar to those shown in Fig. 1.8a have been observed using
1 Some Fundamental Aspects of Plasma-Assisted Etching 13

Reactive
Neutral
Gas (F, Ion Beam
(CI, CI2, (1 keV Ar+)

/
XeF2,··)

---~?r)ooo Etch Products


(Mass Spectrometer)

Etch Rate Fig. 1.7. Schematic diagram of


Measurement directed beam approach to the
(Quartz Crystal study of plasma-surface inter-
Microbalance) actions.

F atoms and Ar+ ions. In Fig. lo8a, during the time t < 200 s, only the
XeF 2 gas is directed onto the Si surface and the etch rate is quite low as
the XeF 2 reacts with the Si surface to form the gaseous species SiF 4 and
Xe. At t = 200 s the Ar+ beam is turned on and the Si etch rate increases
dramatically. At t = 660 s the XeF 2 flux is shut off and the Si etch rate
decreases to a very low value characteristic of physical sputtering.
It is important to note that the Si etch rate with both beams simultane-
ously incident on the Si surface is much larger than the sum of the etch rates
observed with the two beams separately. Note also that since inert gas ions
can provide no chemically active species, the chemistry must be provided by
the neutral beam.
The phenomenon depicted in Fig. lo8a (or some variation of it) is re-
sponsible for the etch anisotropy that can be obtained with plasma etching
processes. This can be illustrated using Fig. lo8b which is an idealized rep-
resentation of ions incident on a feature where the dimensions of the feature
are much smaller than the thickness of the sheath through which the ions are
being accelerated. Note that the sidewalls of the feature are not subjected to
ion bombardment whereas the bottom of the feature and the top surface of
the mask are subjected to extensive ion bombardment. The neutral species
(not shown in Fig. lo8b) are not influenced by the electric field in the sheath
and therefore arrive at the feature with random angles of incidence. Further-
more, the reaction probability of the neutral species with the surface is often
quite low and therefore the neutrals are reflected from surfaces in the fea-
ture greatly reducing any shadowing which might occur. It is important to
relate Figs. lo8a, b to each other. In Fig. lo8a, the conditions prevailing with
both beams on (200 < t < 660 s) correspond to the conditions prevailing at
the bottom of the feature in Fig. lo8b (i.e., ions and neutrals both arriving
together). Also the conditions prevailing during the period 0 < t < 200 s
14 J.W. Coburn

(a) r-XeF2Gas-+-Ar'" Ion Beam + XeF 2 Gas--+-- Ar'" Ion Beam-1


Only Only
70
......
60
·E
c:
...................................
-..
<><l:: 50
~
'" 40
a::
.c
B
w 30
c:
0
.~ 20
Vi
10
................... ....
OL-__-L____~____L___~_____L____~__~L__·_··_··~·_··_··~··~··~·~···
100 200 300 400 500 600 700 800 900
Time (sec)

b) Positive Ions

1 11 11 1111

Fig.1.8. (a) Etch rate of poly-Si subjected to a XeF 2 flux and a 450eV Ar+
beam as measured with a quartz crystal microbalance. Estimated fluxes are 1.6 x
10 14 ionscm 2 s - 1 and 6 x 10 15 XeF 2 moleculescm - 2 s - 1 . From [13] . (b) Pictorial
representation of an ion flux incident on a feature with dimensions much smaller
than the plasma-surface sheath thickness and the collisional mean free path in the
plasma.
1 Some Fundamental Aspects of Plasma-Assisted Etching 15

in Fig. 1.8a (neutral beam only) correspond to conditions prevailing on the


sidewalls of the feature in Fig. 1.8b. That is, the etch rate downward from the
surface proceeds at a rate determined by ion-assisted chemistry whereas the
lateral or undercut etch rate proceeds at a rate determined by spontaneous
(no ion assistance) chemistry. Since the former is usually substantially larger
than the latter, anisotropic etching can be achieved in most situations.

1.3.2 Mechanistic Aspects


of Ion-Assisted Gas-Surface Chemistry

Quite aside from the technological importance of ion-assisted gas-surface


chemistry as shown in Fig. 1.8, it is also important to discuss the physi-
cal/ chemical mechanisms which are causing this phenomenon. First of all,
it should be pointed out that, in the F-Si system, this is a large effect. In
Fig. 1.9 [14], the etch yields (Si atoms removed per incident ion) are shown
as a function of the XeF 2 flux for 1 keY Ar+, Ne+ and He+ ions.
Note in Fig. 1.9 that the etch yield for 1 keY Ar+ is about 25 Si atoms/ion
for high XeF 2 fluxes. If the Si leaves the surface predominantly as SiF 4 , one
incident Ar+ ion can result in the ejection of approximately 100 F atoms from

C 20
0

"E
B
§'" 15
"0
a;
>
.r:
u
W 10
iii

XeF 2 Flow Rate (1015 molecules/secl

Fig. 1.9. Etch yield of poly-Si as a function of the XeF 2 flow rate for 1 keY Ar+,
Ne+ and He+ ions. Ion current density:::::: 3 x 10 13 ionscm- 2 S-l and the XeF 2 flux
is about twice the flow rate (from [14]).
16 J.W. Coburn

the surface (as SiF 4 molecules). These large yields eliminate mechanisms such
as ion-induced dissociation of physisorbed XeF 2 or phenomena caused by ion-
induced secondary electrons. It has been pointed out that an etching reaction
must consist of at least three sequential steps [15]:

1. Chemisorption of the reactant atom or radical on the surface.


2. Formation of a product molecule having a high vapor pressure.
3. Desorption of the product molecule.

If the etch rate increases by a factor of ten, then the rate at which each
of these steps proceeds must also increase by a factor of ten. The question is:
"Which of these three steps is directly increased by the ion bombardment?"
The directed beam studies have provided information relevant to this
question by isolating the chemisorption step. That is, the Si surface is first
exposed to a saturation dose of XeF 2 , F2 or F atoms without any simultane-
ous ion bombardment. The fluorine source is then shut off and the system is
pumped back to the base pressure (typically 10- 8 Torr). Now Ar+ bombard-
ment is initiated on this fluorinated Si surface (with no resupply of fluorine)
and the result ofthis experiment is shown in Fig. 1.10 [16]. Note the very large
mass removal yield when the ion bombardment is initiated (3000 amu/ion
corresponds to about 29 SiF 4 molecules/ion). Furthermore, analyses of flu-
orinated Si surfaces [17] have shown primarily SiF x species (x = 0-3) with
relatively little SiF 4 entrapped below the top surface (SiF4 on the surface
would desorb at room temperature). These results point strongly to the sec-
ond step (product formation) as being the step which is directly accelerated
by the ion bombardment (e.g., SiF 3 + SiF 2 + ion =? SiF 4 + SiF or some
similar disproportionation reaction). Presumably for etch products with high
vapor pressures (e.g., SiF 4), the third step is not rate limiting and the first
step (chemisorption) is accelerated by the more rapid removal of fl uorine from
the top monolayer (i.e., reduced fluorine coverage creating more empty sites
for chemisorption). This process is often referred to as chemical sputtering
[18].
This explanation requires that the ion bombardment causes a decrease
in the reactant concentration in the top monolayer of the surface in order
to increase the reactant adsorption or sticking probability. This does not
require that the reactant concentration in the near-surface region (i.e., top 5
or 10 monolayers) be decreased. For example, chlorine, because of its larger
size, does not penetrate a silicon lattice (in the absence of ion bombardment)
as rapidly as fluorine. A surface of undoped Si that has been exposed to a
large dose of Cl atoms will have a saturation coverage of Cl adsorbed on
the top monolayer with relatively little diffusion of Cl into the subsurface
layers. Fluorine, on the other hand, diffuses readily into a Si lattice partially
fluorinating Si atoms to a depth of several monolayers. Consequently the
spontaneous etch rate (i.e., no ion bombardment) of Si at room temperature
is much more rapid with F atoms than with Cl atoms. Furthermore, the Si
1 Some Fundamental Aspects of Plasma-Assisted Etching 17

5000~--~-----r----~--~~--~----~---'

3000r
•\
1000
\•
\.
"'-.
300
~.
100 ~.,
30L---~----~----~----~--~----~--~
o 2 3 4 5 6
t (min.)

Fig. 1.10. The mass yield per 1 keY Ar+ ion, determined using quartz crystal
microbalance methods, as a function of the time of ion bombardment from a Si
thin film which previously had been exposed to a large dose of F2 gas. No F2
gas was present in the system during this measurement. Ar+ current density =
1/LAcm- 2 (from [16]).

etch yield per ion is larger in the presence of F atoms than with Cl atoms
because of the larger concentration of reactant atoms within the volume of
the ion collision cascade resulting from the ion--solid collision. Whereas ion
bombardment can be expected to decrease the halogen concentration in the
top monolayer for both F and Cl systems, the effect on the total halogen
concentration in the near-surface region will usually be quite different. In
fluorine-rich situations, the spontaneous diffusion into the lattice cannot keep
up with the very large ion etch yields. This causes a decrease in the fluorine
concentration in the near-surface region. This is believed to be the reason for
the etch rate decrease in Fig. 1.8a (200 < t < 300s). However, Cl requires ion
bombardment to diffuse effectively into the Si lattice (ion-induced mixing)
and the ion etch yields are lower, with the result that ion bombardment
usually causes an increase in the subsurface concentration of chlorine in the
Si lattice [19].
18 J.W. Coburn

1.3.3 Other Factors That Influence Etching Anisotropy


Although the positive ion bombardment is the cause of etching anisotropy as
illustrated in Fig. 1.8, there are several factors that can influence the extent
of the anisotropy (i.e., the sidewall angle or profile). One of these factors is
the ratio of the reactive neutral flux to the ion energy flux. It is obvious that
this factor must be important because if this ratio is very small (neutral-
starved condition), the etch profile will be very anisotropic. Conversely, if
this ratio is very large (ion-limited condition), the etch profile will be nearly
isotropic (assuming the neutral species are able to etch the solid without
ion bombardment). This factor is responsible for the etched profile becoming
more isotropic as the pressure is increased. A pressure increase corresponds to
a larger neutral flux/ion energy flux ratio (more neutral species and lower ion
energy). This factor is also the reason for the tendency of the etch profile to
become more anisotropic as the area of the etched surface is increased. In this
case the ion energy flux is not changed but the neutral flux is decreased by
the increased neutral consumption by the larger etched area (loading effect).
Some results, shown in Fig. 1.11 from directed beam studies [14] can be used
to clarify this effect.

150

10 20 30
XeF 2 Flow Rate (10 15 molecules/sec)

Fig. 1.11. Etch rate of Si as a function of the XeF 2 flow rate: (a) with XeF2
and 1 keY Ne+ simultaneously incident on the Si surface; (b) with XeF 2 only. Ion
current density is 1 JlAcm- 2 and the XeF 2 flux is about twice the flow rate (from
[14]).
1 Some Fundamental Aspects of Plasma-Assisted Etching 19

In Fig. 1.11, the Si etch rate is plotted as a function of the flux of the
reactive gas XeF 2 both with (top curve) and without (bottom curve) energetic
ion bombardment. That is, the abscissa in this figure can be thought of as
the neutral flux/ion energy flux ratio since the ion energy and the ion flux are
kept constant and the neutral flux is increasing. Furthermore, the top curve
represents the vertical etch rate (with ion bombardment) whereas the lower
curve represents the undercut etch rate (no ion bombardment). Note how the
anisotropy (vertical etch rate/undercut etch rate) decreases as the XeF 2 flux
is increased (corresponding to an increase in pressure). The strong curvature
of the upper curve is the reason for the anisotropy change and this curvature
is a result of a transition from a neutral-starved condition (low XeF 2 flux) to
an ion-limited condition (high XeF 2 flux). The effects of adding gases such as
H2 or O 2 to the fluorocarbon plasma etching of Si on the profile shape also
can be interpreted using Fig. 1.11.
It is apparent that if a perfectly anisotropic (i.e., vertical) profile is to be
achieved, one needs a chemistry in which the etch rate in the absence of ion
bombardment is zero or very small and the etch rate with ion bombardment
is large. In Fig. 1.11, this corresponds to the bottom curve lying along the x
axis. In the etching of Si, this condition is approached by using chlorine- or
bromine-based chemistries. The concept is illustrated tutorially in Fig. 1.12
[20].
The Si etch rates using F, Cl and Br atoms without ion bombardment are
shown on the left side of Fig. 1.12 whereas the etch rates with ion bombard-
ment are shown on the right. These etch rates are meant to be representative
only (e.g., the etch rate of undoped Si with Br atoms without ion bombard-
ment is essentially zero at room temperature). The profiles drawn in the
center are consistent with the etch rates given at the right and left sides.
So it is apparent that Cl- and Br-based chemistries are more suitable than
F-based chemistries for obtaining highly anisotropic etch profiles. Mixtures
of C1 2 and HBr are most popular for etching Si in microelectronic manufac-
turing processes [21].
At this point it might be helpful to characterize some common etch
gas/solid combinations in terms of the magnitude of the ion-enhanced etch
rate and of the magnitude of the spontaneous etch rate (i.e., with no ion
bombardment) at or near room temperature. From the discussion above, the
best situation from the point of view of obtaining vertical or nearly vertical
etch profiles is a reasonably large ion-assisted etch rate with zero or very low
spontaneous etch rates. Common examples of gas-solid systems which fall into
this first category are Si-Br, Si0 2-F, and organic solids-O or F. Anisotropic
etching of these materials with these gases is relatively straightforward. A sec-
ond category is one in which the spontaneous etch rate is significant and is
increased by simultaneous ion bombardment. Common examples of materials
in this second category are Si-F, n-type Si-Cl, Ta-F and GaAs-Cl. Reason-
ably anisotropic etching of these materials can often be obtained by going to
20 J.W. Coburn

1000 1000

./F
5i
Etch
100 F::U=~: 100
51
Etch

/vj
Rate Rate
(no (with
ions) ions)

~
UNDER- 10 CI
II
10 VERT-
CUT ICAl

IU
RATE ETCH
RATE

1 Br 1

Fig. 1.12. TUtorial figure to illustrate the effect on the etch profile of using larger
halogens in the plasma-assisted etching of Si. The etch rates shown are for illustra-
tive purposes only (from [20».

low neutral flux/ion energy flux ratios either by operating at low pressures
or by diluting the reactive gas with Ar. The third category is one in which
the spontaneous etch rate is significant or large and it is not increased by si-
multaneous energetic ion bombardment. The Al-CI system is the best-known
example of a third category system [22] but the Cu-CI system at tempera-
tures above 200°C also shows these characteristics [16]. Anisotropic etching
of Al with a Cl-based chemistry requires the introduction of new chemical
species which will deposit on the sidewalls or which will protect the sidewalls
from the etching reactions. This will now be discussed.
It is apparent that halocarbon-based glow discharges will contain both
etchant species such as atomic F, CI or Br and carbon-halogen radicals such as
CF x, CCl x , CBr x (0 < x < 3) and other combinations that tend to deposit on
surfaces forming polymeric thin films. These polymeric films in the presence of
the corresponding halogen atoms (e.g., fluoropolymer-F) tend to fall into the
first category of gas-solid systems in that the spontaneous etch rate is low but
is greatly increased by ion bombardment. The factors that determine whether
etching or polymerization dominates on a surface are the stoichiometry of
the feed gases (F /C ratio in the fluorocarbon systems), the ion energy flux
and the surface temperature. Figure 1.13 [23] shows a qualitative boundary
1 Some Fundamental Aspects of Plasma-Assisted Etching 21

Loading
~4---
H2 addit.~_n_ __

C4 F,o C2 F S
-200
\
~ \ ETCHING
! \
~ \
JJ \
g \
'tI -100
.!!!
a. \
:t. \
..
iii POL YMERIZATION
\
\
\
O~-----~--------_\L---------~------~
1 2 3 4

Fluorine· to· Carbon Ratio (F/C) of


Gas Phase Etching Species
Fig. 1.13. Illustrative plot of the boundary between etching and polymerization in
fluorocarbon low density plasmas as function of the stoichiometry (Fie ratio) of
the feed gas and of the ion bombardment of the surface (from [23]).

between etching and deposition at typical wafer temperatures in terms of the


feed gas chemistry (x axis) and the ion bombardment energy (i.e., surface
potential) on the y axis. This y axis can be generalized to an ion energy flux
as determined by the ion energy, the ion current density and the angle of
incidence. Recognize that a sidewall with no ion bombardment lies on the x
axis whereas a wafer exposed to normally incident 200 eV ions lies along the
-200V bias line.
Consider the situation if C3FS with an F IC ratio of 2.67 is used and the
ion energy at the wafer surface is 200eV. The bottom surface of a feature
will be subjected to this ion bombardment and etching will take place but
deposition will dominate on the sidewall of the feature where there is no
ion bombardment. Thus there will be no undercutting of the mask because
of the protecting polymeric film and anisotropic etching will be obtained. If
the sidewall deposition is significant, a tapered profile will be created after
the sidewall deposit is removed either by oxygen plasma etching or by wet
processing. This is caused by the fact that the polymer film is thickest at the
top of the feature and thinnest at the bottom which in turn is a direct result
of the fact that the top of the sidewall is exposed to the depositing radical
flux longer than lower portions of the sidewall. Anisotropic etching of Al is
obtained by this so-called sidewall blocking or sidewall passivation technique
22 J.W. Coburn

using, of course, chlorine-based chemistry because of the involatility of AIF 3 .


In fact there are many etch processes which use sidewall passivation methods
for profile control.
Sidewall passivation includes such surface modification methods as oxida-
tion or nit riding. For example if Si is being etched in a fluorine-based plasma,
the addition of oxygen will tend to oxidize the Si surface thus converting the
gas-solid system from Si-F (second category) to SiOx-F (first category). This
oxidation greatly reduces the sidewall etching but the ion bombardment al-
lows the vertical etching to continue. Photoresist masks must be replaced by
hard masks if this oxidative passivation is used.
Yet another method of influencing the etched profile is changing the wafer
temperature. In general, increasing the wafer temperature tends to make the
profile more isotropic whereas decreasing the wafer temperature usually im-
proves anisotropy. As an example, it has been demonstrated that vertical
profiles can be obtained in Si with F atoms by cooling the wafer to about
-120°C [24]. In such conditions, the ion bombardment provides the energy
required for product formation and desorption at the bottom surface leaving
only the sticking probability to be influenced by the decreasing temperature.
Often, sticking probabilities increase with decreasing surface temperature.
Furthermore the low surface temperature provides new opportunities for side-
wall passivation in that species which do not condense at room temperature
may condense on the sidewalls at the lower temperature. Once the wafer is
warmed to room temperature, this kind of sidewall passivation will desorb
from the surface, unlike the polymer passivation layer described above that
requires additional process steps for its removal.

1.4 The Influence of the Reactor Walls


and Other Surfaces
1.4.1 The Etching Process

Ideally, it would simplify the understanding of plasma etching processes if


the composition of the gas phase species were determined entirely by the
feed gases injected into the reactor. However, this is rarely, if ever, the case.
For example, the etching process itself obviously influences the composition
of the gas phase species both by the generation of etch product species and
by the consumption of etchant atoms and/or molecular radicals. An example
of this is shown in Fig. 1.14 [23] in which the mass spectra of positive ions
in a CF 4 glow discharge are shown while etching Si0 2 (top spectrum) and
Si (bottom spectrum) with all other parameters (e.g., pressure, flow rate, rf
power) kept constant. The etching of Si involves the consumption of F atoms
to form SiF4 . This consumption creates a fluorine deficiency in the gas phase
species as evidenced by the presence of highly unsaturated cxFt species (e.g.,
C 3 Fj, C4 Ft, C4 Ft) which are indicators of polymer formation and of a low
1 Some Fundamental Aspects of Plasma-Assisted Etching 23

CF 4 Discharge
I- Si0 2 Excitation Electrode

I i
I I
1\'

1O-4L-.Jl...llJ:.JII
1 ---I......IILL-U
20 40
I-.L...t=~~'
60
I--L....-.:I:....L.JIJ-'!
80
~--L.l~I~~I--:-::--I-:-:'::
100 120 140 160 180
mfe (amu)

CF 3 +
4
CF Discharge
Si Excitation Electrode
-

CF+ SiF+ CHF 2 +


1_ C2 FS+
SiF 3 + +
C2 F 4 C 3FS+

10- 2 I-
C3 F3+
C F/4 ;, C3 F7+ 4
C F7+
CO+ COF 2 + C3 F6+

1\ C4 F5+

10- 3 I-
C+ F+ C2 F 2+

10- 4 I i I I ! I I I
20 40 60 80 100 120 140 160 180
m/e (amu)

Fig. 1.14. Mass spectra of the positive ions extracted from a CF 4 glow discharge
during the etching of Si (lower figure) and Si0 2 (upper figure). Pressure = 20 mTorr,
CF4 flow rate = 13sccm, 13.56 MHz rfpower density = 1 Wcm- 2 (from [23]).
24 J.W. Coburn

F IC ratio of the gas phase species. The etching of Si02, on the other hand,
consumes F atoms to form SiF 4 but the oxygen liberated in the etching of
Si0 2 reacts with CF x radicals to form CO, CO 2 and COF 2. Thus, both F and
C are consumed and the F IC ratio is not decreased to the same extent as in
the etching of Si. Note that the highly unsaturated fluorocarbon species are
not observed during the etching of Si0 2. The influence of the etching process
on the overall plasma chemistry can be reduced or even eliminated by using
large gas flow rates provided the amount of gas evolved by the etch process
is not too large.

1.4.2 Polymer Deposition

Another way in which the gas phase species can be changed is by polymer
deposition on the wall of the reactor or on other surfaces. This can be a
significant factor in the etching of Si0 2 with selectivity to Si, Si3N 4 , TiSh,
photoresist or other non-oxygen-containing solids. This selective etch process
is accomplished by using a fluorine-deficient fluorocarbon plasma as provided
by CHF3, c-C 4 F s , C 2HF 5 , C 3F s , C 2F 6 or mixtures of these and other gases.
These fluorine-deficient fluorocarbon plasmas deposit polymer films readily
on all surfaces but the oxygen liberated in the etching of Si0 2 inhibits poly-
merization selectively on the Si0 2 surface by forming volatile CO, CO 2 and
COF 2 [25].This allows Si0 2 etching to continue under conditions that re-
sult in polymer deposition on surfaces not containing oxygen. However, as
was discussed earlier in this chapter, polymer deposition on surfaces not sub-
jected to ion bombardment (e.g., walls and fixtures) will be greater than on
ion-bombarded surfaces (e.g., wafer surface) and this deposition is a major
problem in selective Si0 2 etching processes (e.g., contacts and vias). Not
only are these polymer films a source of particulates when the films become
too thick, but variations in the polymer deposition rate, possibly caused by
changes in the temperature of the surfaces, will cause changes in the process
chemistry, the significance of which will obviously depend on the gas flow
rate and the polymer deposition rate. Consider a numerical example with
the following assumptions:

- Wall deposition rate = 200 nm min-l


- Polymer composition = CF
- Polymer density = 1.7 gmcm- 3
- Reactor wall area = 3000 cm2
- Gas flow rate = 40 sccm of c-C 4 F s

In the absence of any etching, deposition or other factors, the stoichiom-


etry of the gas phase species must be F IC = 2. The deposition conditions
tabulated above represent the consumption of approximately 80 sccm of CF
entities. The stoichiometry of the gas phase species including the consumption
required by the polymer deposition must be equal to 40(C 4 F s ) - 80(CF) =
1 Some Fundamental Aspects of Plasma-Assisted Etching 25

80(CF 3 ) or F IC = 3. That is the polymer deposition has enriched the fluo-


rine content of the gas phase species from F IC = 2 to F IC = 3. It is well
known that polymer deposition rates decrease as the surface temperature is
increased [26] and it is also well known that the temperature of surfaces in
contact with a glow discharge increase with process time. This combination
provides the possibility of a process starting with a gas phase stoichiometry
F IC = 3 which decreases with time as the adjacent surfaces heat up. It is
conceivable that the F IC ratio could decrease to the point where the Si0 2
etching actually stops. Si0 2 "etch stop" in small high aspect ratio features
has been observed many times [27] and is usually attributed to changes in
the transport of ions, neutrals or both into these small features. It may be
that, in systems without temperature control of the walls or liners, this in-
fluence of a changing polymer deposition rate is contributing to "etch stop."
This possibility is easily checked by stopping the etch process long enough
to allow the walls or liners to cool down and then restarting the process. If
the features are etched deeper, one should consider the possibility that wall
deposition is contributing to "etch stop." Current technology overcomes the
wall deposition problem by installing temperature-controlled liners or walls
which are kept at high temperatures. This approach also helps reduce the
effects of wall-catalyzed atom-atom recombination.

1.4.3 Surface-Catalyzed Atom-Atom Recombination

Another way in which the walls and fixtures can influence the plasma chem-
istry is by surface-catalyzed atom-atom recombination. There are various
mechanisms that can be involved:

1. Two mobile physisorbed atoms recombining on the surface to form a


molecule which desorbs into the gas phase.
2. One mobile physisorbed atom recombining with an immobile weakly
chemisorbed atom to form a molecule which desorbs into the gas phase.
3. An incident gas phase atom directly recombining with an immobile weakly
chemisorbed atom to form a molecule which desorbs into the gas phase.

In this way two reactive atoms are recombined into one less reactive molecule
thereby decreasing the atom concentration in the gas phase. Typically three-
body processes are not important at the low pressures used in plasma etching
processes and therefore atom-atom recombination in the gas phase is highly
unlikely.
The importance of surface-catalyzed atom-atom recombination has long
been recognized in chemical downstream etching machines in which reac-
tive atoms are transported quite large distances from a plasma chamber to
an etching chamber. In these systems, it is necessary to use wall materials
on which atom-atom recombination is very inefficient. In general, however,
atom-atom recombination processes on surfaces are not always inefficient.
26 J.W. Coburn

surface
Cl + Cl -------- C1 2
1.
SS
o.

o.
"".WSi \ ,'.

o. ·
\\
Ii Fig. 1.15. The atomic chlorine recombination
a- AI ....... \ \
•••• I
coefficient, 'Yel, as a function of temperature
o. for 8 different surfaces: stainless steel, poly-W,
WSh.66, anodized aluminum, poly-Si, photore-
sist, Si0 2 and [111jSi. The curves represent ex-
0'150 200 250"300 350 400 450
perimental data (with considerable scatter) fit-
Temperature (K) ted to a phenomenological model (from [29]).

In fact, for some gas-surface combinations, a majority of the atoms collid-


ing with a surface are recombined into molecules [28]. The single collision
recombination efficiency I'CI of a variety of technological surfaces for Cl atom
recombination is shown as a function of the surface temperature in Fig. 1.15
[29].
When I' = 0, all incident Cl atoms are reflected as Cl atoms (no re-
combination) and when I' = 1, all incident Cl atoms are recombined into
C1 2 molecules. These measurements were obtained by directing a beam of
Cl atoms onto the various surfaces and measuring the Clz molecules created
after a single collision with the surface. This approach is well suited to the
measurement of large recombination efficiencies but is not able to measure re-
combination efficiencies less than a few percent. The data shown in Fig. 1.15
are derived from a phenomenological model fitted to the experimental data.
Note that I'CI decreases strongly with increasing temperature, that some ma-
terials (stainless steel, tungsten) have very large recombination efficiencies at
room temperature and that the recombination efficiency of Si[111] (i.e., c-Si
in Fig. 1.15) is much less than that of poly-Si. Additional studies indicated
that the recombination efficiency of Br atoms was very similar to that of Cl
atoms but that the recombination efficiency of F atoms was very low on all
surfaces.
In order to explore the possible contribution of chemisorbed species to
atom-atom recombination on surfaces, a heteronuclear recombination exper-
iment was performed using the two Si surfaces at room temperature [29]. The
procedure is:

1. Expose the Si surface to Br atoms until the surface is saturated.


2. Turn off the Br atom source and pump out the Br2 gas leaving the Si
surface covered with chemisorbed Br atoms. No physisorbed Br atoms
will remain because of their very low binding energies to the surface.
1 Some Fundamental Aspects of Plasma-Assisted Etching 27

3. Expose this brominated Si surface to CI atoms and look for ClEr mole-
cules desorbing from the surface.

The observation of ClEr molecules means that CI atoms are recombining


with immobile chemisorbed Br atoms. However this reaction is exothermic
only if the CI-Br bond energy (52 kcal mole-I) is greater than the Br-Si bond
energy (nominally 88 kcal mole-I). Little or no ClEr was observed emanating
from the Si[111] surface but a large burst of ClEr was seen evolving from the
poly-Si surface. This observation corresponds with the relative CI atom re-
combination efficiencies observed on these two surfaces (Fig. 1.15). This result
suggests that surface catalysed atom-atom recombination on Si is strongly
influenced by weakly chemisorbed species.
This model also provides a rationale for other observations:

1. Increasing the surface temperature will thermally desorb weakly chemi-


sorbed species thereby decreasing the number of chemisorbed species
available for exothermic recombination with either incident atoms or mo-
bile physisorbed atoms thereby decreasing the efficiency of the recombi-
nation process as shown in Fig. 1.15.
2. The low F-F bond energy (38 kcalmole- 1 ), and the tendency for F atoms
to form strong chemisorption bonds with many substrate materials (nom-
inal Si-F bond energy is about 130 kcal mole-I), makes it less likely that
there are many adsorbed F atoms with low enough chemisorption bond
energies to allow an exothermic recombination process to occur thus ex-
plaining the low recombination efficiencies observed for F atoms.

The consequences of atom-atom recombination on surfaces can be esti-


mated using a numerical example. If the recombination efficiency for CI atoms
on a surface is 0.2, if the surface area is 3000 cm 2 and if the CI atom pressure
at the wall is 10- 3 Torr, then approximately 350sccm of CI atoms are recom-
bined into 175 sccm of Cl2 molecules; a conversion that can be expected to
influence most etching processes. Furthermore, it is important to note that if
a multicomponent chemistry is used (e.g., HBr/Cb), surface recombination
can produce substantial quantities of diatomic gases other than the feed gases
(e.g., H 2, Br2, HCI, ClEr) probably favoring those molecules with the largest
bond strengths and atoms that tend to form weak chemisorption bonds on
the surfaces.

1.5 Ion Beam-Based Methods

One of the consequences of the space program was the development of large,
low energy « 5 keY) ion beam sources for use in low thrust applications.
These sources have been adapted for materials processing applications in-
volving deposition, etching and surface modification.
28 J.W. Coburn

In etching applications with low energy ion beams, the most important
criterion is whether or not volatile products are formed in the etching process.
If volatile products are not formed, that is if physical sputtering is the dom-
inant etching mechanism, then low energy ion beams playa very important
role and in fact are preferred over plasma-based etching methods in many
applications. The reasons for this preference are:

1. The low operating pressure required to allow collisionless transport of the


ion beam to the sample surface (~ 10- 4 Torr) eliminates the possibility of
species sputtered from the sample surface being returned to the sample
surface following collisions with the ambient gas (i.e., backscattering).
2. It is relatively straightforward to vary the angle of incidence of the ion
beam onto the sample surface when ion beams are used. In plasma-based
processes the angle of incidence is always about 90 degrees because the ion
acceleration is provided by the sheath voltage. This capability provides
the possibility of reducing the sidewall redeposition problem which is so
detrimental in physical sputter-etching processes.

However, when volatile products are formed in the etching process, the
situation is very different. Ion beam-based methods have some weaknesses
relative to plasma-based methods which relegate the use of ion beam-based
methods to niche applications. Before these issues are discussed further, it
is important to describe the two primary implementations of ion beams in
chemical dry etching assuming the formation of volatile products in the etch-
ing process.
The first approach and the easiest to implement is to inject the reactive
gas (e.g., Cb in Si etching) directly into the ion source. It is necessary to
replace any hot filament electron sources with plasma sources (e.g., ECR) to
avoid corrosion of the filaments by the reactive gas. The reactive ions created
in this low pressure plasma (e.g., CI+ and CIt) are extracted through a pair
of aligned grids and directed onto the sample with the appropriate energy,
typically hundreds of eV. This approach is known as Reactive Ion Beam
Etching (RIBE) [30].
The second approach retains the inert gas ion source used in sputter-
etching but adds a flux of reactive neutral gas directed onto the sample. This
is essentially identical to the approach shown in Fig. 1. 7 where independent
control of the ion flux and the neutral flux was used to clarify some etching
mechanisms. This approach is most often referred to as Chemically Assisted
Ion Beam Etching (CAIBE) [31] but also is known as Ion Beam-Assisted
Etching (IBAE) [32].
Both of these approaches have some experimental complications. In RIBE,
one tends to ignore the inevitable and essentially uncontrolled flux of neu-
tral radicals (e.g., CI atoms) emanating from the reactive gas plasma ion
source. In CAIBE one must deal with the rapid diffusion of the reactive gas
at 10- 4 Torr throughout the reactor volume, including the ion source, thereby
1 Some Fundamental Aspects of Plasma-Assisted Etching 29

contaminating the nominally pure inert gas ion beam with reactive ions. In
this context, Xe might be the preferred inert gas because its lower ionization
potential would tend to result in increased ionization of the inert gas relative
to the ionization of the reactive gas.
One of the most serious limitations of ion-beam-based chemical dry etch-
ing methods is the limited gas flow that can be realized at an operating
pressure of 10- 4 Torr. Any etching process that consumes/generates a signif-
icant quantity of gas will be difficult to accomplish with an ion beam. For
example, if the available pumping speed is 20001 s-l, the maximum achiev-
able gas flow at 10- 4 Torr is about 15 sccm. Furthermore, in order to avoid
unacceptable contamination of the feedgas with the gaseous etch product, the
evolution of etch product should be limited to about 1.5 sccm which is far
below the requirements of large area etching processes. This limit on gas flow
in ion beam processing obviously is not an issue in sputter-etching processes.
In some sensitive etch processes, it is necessary to keep the ion energy
below 100-150eV. Therefore, in order to maintain the etch rates, high ion
current densities are needed. Whereas it is relatively straightforward to de-
liver a uniform, high current density, low energy ion flux through a plasma
sheath, it is difficult to do this with a remote ion source. This is a major dis-
advantage for ion beam processing in integrated circuit manufacturing where
issues associated with physical damage and loss of selectivity require the use
of low energy ions.
These two factors limit the use of ion beams in integrated circuit man-
ufacturing. However, there are some advantages of ion beams over plasmas
which provide specialized applications for ion-bearn-based chemical etching
methods.

1. Focused ion beam (FIB) etching [33] provides a method for etching with
high spatial resolution, something that cannot be accomplished with plas-
mas. Applications include mask and circuit repair.
2. In ion beam processing, the angle of incidence of the ion beam with re-
spect to the sample can be varied. In a plasma the sheath requires normal
incidence of the ions with respect to the macroscopic surface. Therefore
ion beams can be used to generate surfaces tapered with respect to the
macroscopic surface such as 45 deg micromirrors useful in optoelectronic
applications [34].
3. In plasma processing, the plasma itself is annoyingly sensitive to all
surrounding surfaces including the sample to be etched. However, in
ion-beam processing, the characteristics of the ion beam are relatively
independent of the sample being processed. Furthermore, in an ion-
beam-based process the ion energy and the ion flux are relatively easily
measured (e.g., 600eV Ar+ at 1.7mAcm- 2 ) whereas in a plasma-based
process it is much more difficult to determine these quantities. As a re-
sult an ion-beam-based process is more easily specified and more easily
duplicated in another apparatus.
30 J.W. Coburn

4. In most dry etching methods, atoms/radicals are desirable because of


their large chemical reactivity with surfaces relative to that of stable
molecules. The plasma is a superb source of atoms and radicals whereas
the provision of uniform, large area fluxes of atoms and radicals in an
ion beam-based process is somewhat problematic. However, there may
be circumstances in which stable molecules are preferable to atoms and
radicals. A possible example is illustrated tutorially in Fig. 1.16 [35] which
is quite similar to Fig. 1.12 shown earlier. The left side of Fig. 1.16 shows
the reaction probability for F and F2 on a Si surface in the absence of
ion bombardment, whereas on the right side of this figure the reaction
probability of these two species with simultaneous ion bombardment is
shown. The numbers shown are intended to be illustrative only but are
believed to be realistic for the fluorine-Si system. The profiles shown in
the center are derived from the numbers on the left and right side of
the Fig. 1.16. That is, F2 without ion bombardment does not etch Si
significantly, and therefore does not undercut the mask, but does etch
reasonably well in the presence of ion bombardment. Thus one might
find situations in which very high aspect ratio features are etched more
easily with ion beams.

1 1

10-1
F~F
10-1 Reaction
Reaction
Probability
Probability
(with ion

/
(no ion F2
10-2 10-2 bombardment)
bombardment)

~ 10-3 10-3 ~
VERTICAL
UNDERCUT
ETCH
RATE

}-f
10-" 10-4 RATE

10-5 10-5
F2

Fig. 1.16. Tutorial figure illustrating the effect on an etched profile of using molecu-
lar fluorine versus using atomic fluorine combined with energetic ion bombardment.
The reaction probabilities are for illustrative purposes only (from [35]).
1 Some Fundamental Aspects of Plasma-Assisted Etching 31

1.6 Summary

This chapter began with a brief historical summary of the evolution of plasma
etching equipment from the perspective of plasma etching in microelectronic
manufacturing. This was followed by a summary of some of the surface
science-related issues associated with both the role of ion bombardment in the
etching process itself and the influence of the etch process and wall reactions
on the overall plasma chemistry. Throughout, the complexity of the reactive
gas plasma has been emphasized, beginning with the confusing nomenclature,
followed by complex equipment issues, touching on the extreme complexity
of the gas-phase species and concluding with the extreme complexity of the
plasma-surface interactions. Finally, ion~beam-based chemical dry etching
methods were discussed briefly and a comparison with plasma-based chem-
ical dry etching approaches was attempted. Many topics have not been dis-
cussed, most notably the recent developments associated with the etching of
very small, high aspect ratio features, the whole question of plasma-induced
damage and the impressive developments in plasma modeling which is be-
ginning to replace intuition and empiricism in areas of equipment design and
process development. Much has been learned in the past 20 years but still
the details of most plasma etching processes are very poorly understood.

References
1. S.M. Irving, Solid State Techno!. 14-5,47 (1971).
2. S.M. Irving, K.E. Lemons and G.E. Bobos, US Patent 3,615,596 (filed 1968).
3. N. Hosokawa, N. Matsuzaki and T. Asamaki, Jpn ..J. App!. Phys. Supp!. 2,
Part 1, 435 (1974).
4. L. Zielinski, and G.C. Schwartz, Electrochem. Soc. Ext. Abstr. 75-1, 117 (1975)
5. H.N. Yu, RH. Dennard, T.H.P. Chang, C.M. Osburn, V. Dilonardo, and H.E.
Luhn, J. Vac. Sci. Techno!. 12, 1297 (1975).
6. H.R Koenig, and L.I. Maissel, IBM J. Res. Dev. 14, 168 (1970).
7. D.N.K. Wang, and D. Maydan, Solid State Techno!. 24-5, 121 (1981).
8. L.I. Maissel, and P.M. Schaible, J. App!. Phys. 36, 237 (1965).
9. J.A. Thornton, .1. Vac. Sci. Techno!. 11,666 (1974).
10. G. Gorin, US Patent 4,464,223 (filed 1983).
11. M.A. Lieberman, and A.J. Lichtenberg, Principles of Plasma Discharges and
Materials Processing, (John Wiley & Son, Inc. New York, NY, 1994).
12. High Density Plasma Sources (ed. O.A. Popov), (Noyes Publications, Park
Ridge, NJ, 1995).
13 . .J.W. Coburn, and H.F. Winters, .1. App!. Phys. 50, 3189 (1979).
14. U. Gerlach-Meyer, .J.W. Coburn, and E. Kay, Surf. Sci. 103, 177 (1981).
15. H.F. Winters, .1. App!. Phys. 49, 5165 (1978).
16. H.F. Winters, and .J.W. Coburn, J. Vac. Sci. Techno!. B3, 1376 (1985).
17 . .J.A. Yarmoff, and F.R McFeely, Phys. Rev. B38, 2057 (1988).
18. Y.Y. Tu, T.J. Chuang, and H.F. Winters, Phys. Rev. B23, 823 (1981).
19 . .J.W. Coburn, J. Vac. Sci. Techno!. A12, 1417 (1994).
32 J.W. Coburn

20. J.W. Coburn, Applied Phys. A59, 451 (1994).


21. D.C. Hartman, US Patent 4,490,209 (filed 1983).
22. D.L. Smith, and R.H. Bruce, J. Electrochem. Soc. 129, 2045 (1982).
23. J.W. Coburn, and H.F. Winters, J. Vac. Sci. Technol. 16,391 (1979).
24. S. Tachi, K. Tsujimoto, and S. Okudaira, Appl. Phys. Lett. 52, 616 (1988).
25. J.W. Coburn, H.F. Winters, and T.J. Chuang, J. Appl. Phys. 48, 3532 (1977).
26. Y. Chinzei, T. Ichiki, R. Kurosaki, J. Kikuchi, N. Ikegami, T. Fukazawa,
H. Shindo, and Y. Horiike, Jpn. J. Appl. Phys. 35, 2472 (1996).
27. O. Joubert, G.S. Oehrlein, and Y. Zhang, J. Vac. Sci. Technol. 12, 658 (1994).
28. G.P. Kota, J.W. Coburn, and D.B. Graves, J.Vac. Sci. Technol. 16,270 (1998).
29. J.W. Coburn, G.P. Kota, and D.B. Graves, Pmc. of Symp. on Dry Process
(Tokyo), p. 1 (1998)
30. D.M. Brown, B.A. Heath, T. Coutumas, and G.R. Thompson, Appl. Phys.
Lett. 37, 159 (1980); S. Matsui, T. Yamato, H. Aritome, and S. Namba, Jpn.
J. Appl. Phys. 19, L126, (1980).
31. J.D. Chinn, I. Adesida, and E.D. Wolf, Appl. Phys. Lett. 43, 185 (1983).
32. M.W. Geiss, G.A. Lincoln, N. Efremow, and W.J. Piacentini, J. Vac. Sci. Tech-
nol. 19, 1390 (1981).
33. K. Garno, Y. Ochiai, and S. Namba, Jpn. J. Appl. Phys. 21, L792 (1982).
34. M. Kneissl, D.P. Bour, N.M. Johnson, L.T. Romano, B.S. Krusor, R. Donald-
son, J. Walker, and C. Dunnrowicz, Appl. Phys. Lett. 72, 1539 (1988).
35. J.W. Coburn, Pmc. 2nd Int. Symp. on Sputtering and Plasma Pmcesses
(Tokyo) p. 87 (1993).
2 Plasma Fundamentals
for Materials Processing

J.E. Stevens

2.1 Introduction

A plasma is a collection of free atoms or molecules which is partially or fully


ionized and which is charge neutral on average. Each charged particle in a
plasma interacts simultaneously with many others due to the long-range na-
ture of the electric force. For this reason plasmas are said to exhibit collective
behavior. Partially ionized, low temperature plasmas are used extensively for
thin film materials processing applications such as etching and deposition.
This chapter will review some fundamental plasma concepts that are impor-
tant in thin film processing applications. The partially ionized plasmas of
interest here have electron and ion densities in the range of 10 15 _10 19 m- 3
and neutral species densities in the range of 10 19 _10 22 m- 3 . These plasmas
are produced in the pressure range of 1mTorr to 10Torr (0.133-1330Pa). It
is the combination of electrical, thermal, and chemical properties that gives
these plasmas their unique attributes for materials processing. The scope
of this chapter excludes many advanced plasma topics such as confinement,
stability, waves, and kinetic theory, which are typically of less importance in
processing applications. A number of excellent texts cover plasma physics in
more rigorous detail [1-3] and plasma topics are reviewed in some books spe-
cific to plasma processing [4-11]. The intent of this chapter is to introduce
the main concepts of plasma science, explain how these concepts relate to
thin film processing applications, and provide some detail about how these
quantities are derived. The equations used in this chapter will be stated in
SI units unless noted otherwise.
Plasmas have several unique properties that make them useful for mate-
rials processing applications. First, electrons in processing plasmas are not in
thermal equilibrium with the ions, the neutral species, or the chamber walls
and so can reach much higher temperatures than can be achieved in normal
liquids and solids « 3000 K). The higher electron temperatures in plasmas
produce enhanced chemical reaction rates and also allow chemical reactions
to occur that are not ordinarily possible. Second, ions can be drawn out from
the plasma surface at energies of tens to hundreds of eV and with a direc-
tion normal to the surface, thus making anisotropic etching and deposition
possible. Third, the energetic charged particles in plasmas can interact with
a surface without significantly heating that surface, due to the low density of

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
34 J.E. Stevens

the charged plasma species. Electron and ion densities are typically less than
10- 8 of the gas density at standard atmospheric temperature and pressure.
Finally, low-pressure operation allows plasmas to utilize process reactants
with high efficiency, which reduces waste and pollution.
A plasma, although it contains free charged particles, is electrically neu-
tral on average. The minimum scale length over which a plasma can be con-
sidered neutral is called the Debye length and is a fundamental property of
all plasmas. The Debye length is usually derived by looking at the wayan
equilibrium plasma responds to a test charge placed in the plasma. Assume
that a collection of ions and electrons each has an average density given by
niO = neO. The potential, ¢(r), around the test charge, qs, located at r = 0
can be computed from Poisson's equation:

\,72<p(r) = _ {ni(r) - ne(r)}e _ <5(r)qs, (2.1)


EO EO

where ni(r) and ne(r) are the ion and electron number densities per m 3 ,
e = 1.6 x 10- 19 C is the unit electric charge, EO = 8.854 X 10- 12 F m- I is the
permittivity offree space, and <5 (r) is the Dirac delta function indicating that
the charge qs exists at r = o. The ions can be assumed to be immobile due to
their large mass so that ni (r) = niO. The electrons, being much lighter, are
allowed to move in response to the test charge. Assuming that the electrons
are a collection of independent particles obeying Maxwell-Boltzmann statis-
tics, the electron density will be distributed in the potential ¢(r) according
to the Boltzmann relation

ne(r) = exp {e<p(r)} , (2.2)


neO kBTe
where kB = 1.38 X 10- 23 J K- 1 is Boltzmann's constant and Te is the electron
temperature in K. Equation (2.2), derived from statistical mechanics, says
that electrons will concentrate in the regions of high potential energy. It
will be shown later that the particle potential energy at typical interparticle
distances is small relative to the kinetic energy, so that {e¢/kBTe}« 1. The
right-hand-side of (2.2) can thus be approximated by a two-term Taylor series
expansion. Combining (2.1) and (2.2) gives

\,72<p(r) = <p~) _ <5(r)qs, (2.3)


AD EO

where the factor, AD, given by

(2.4)

is called the Debye length. The Debye length in common units is

AD = 7440JTev /ne m = 744VTev/ncm-3 cm, (2.5)


2 Plasma Fundamentals for Materials Processing 35

where Tev is the electron temperature expressed in electron volts (1 eV =


11600 K) and n cm -3 is the electron density in cm -3. Electron temperatures
range from 1-10 eV in typical processing plasmas. The Debye length for a
4 eV, 10 16 m- 3 plasma is AD ~ 0.015 cm, which is many orders of magnitude
smaller than the size of a typical plasma. Equation (2.3) can be Fourier
transformed to give the potential distribution around the charge qs as

<p(r) = (-4
qs )
7rEor
exp(-r/AD). (2.6)

The presence of a plasma appends the multiplicative factor, exp( -r / AD)' to


the classical qs/ (47rEor) potential of a point charge. The potential <p( r) be-
comes exponentially small at distances greater than a Debye length implying
that the plasma electrons strongly shield the potential at large distances.
One defining attribute of a plasma is that its size must be larger than
a Debye length so that individual charges are shielded. A second defining
attribute of a plasma is that it must contain many charged particles inside a
"Debye sphere" whose radius is AD, i.e.

(2.7)

so that Debye shielding can occur. The typical plasma mentioned above, with
ne = 10 16 m- 3 and AD ~ 1.5 X 10- 4 m, has ND > 105 . The interaction be-
tween individual particles is very weak since every charged particle interacts
with ND other charges. Substituting (2.6) into (2.2), with r = AD, gives
{eci>/kBTe} rv 0.12/ND and justifies the assumption that {eci>/kBTe} « 1 for
a single charge. Plasmas are electrically neutral on average over scale lengths
larger than the Debye length since the potential from each free charge is
screened by many other free charges. One result of this screening is that, to
a good approximation, nj = ne in a plasma.
Another important property of a plasma is the time scale for Debye shield-
ing to occur. One way to derive the time response is to look at how a group of
electrons, initially between x = -~ and x = 0, responds to a small displace-
ment ~ along the x axis. The electrons and ions have density ne = nj and
the ions are again assumed to be immobile due to their much higher mass.
Integrating Poisson's (2.1) gives the electric field, E = -oci>/ox, at x = 0
between the electrons and the ions left behind as
o<p
(2.8)
ax
The Lorentz force determines how the electron displacement changes with
time:
dV e
m - = -eE =? (2.9)
e dt
36 J .E. Stevens

where me is the electron mass and Ve is the velocity of the displaced electrons.
Combining (2.8) and (2.9) gives an equation for the electron displacement ~
as
d2~ 2
dt 2 + Wpe~ = 0, (2.10)

where

(2.11)

is called the plasma frequency. The electron displacement, ~ = ~o cos(wpet)


from (2.10), oscillates at wpe in response to the charge imbalance. The plasma
frequency (in Hz) is given by jpe = wpe /27r = 9~. The plasma frequency
is typically in the GHz range for plasmas with ne rv 10 16 _10 18 m- 3 , meaning
that charge imbalances are corrected on nanosecond time scales. A plasma
frequency in the GHz range also implies that lower-frequency electric fields
will be shielded from the plasma interior. The plasma frequency oscillations
typically damp on submicrosecond time scales determined by collisions.
The product of the Debye length and the plasma frequency is the electron
thermal velocity for a Maxwellian distribution of electron energies, given by

(2.12)
An average electron in the plasma will oscillate at wpe with amplitude given
by the Debye length. A handy formula for the electron thermal velocity is
Vte = cT:~2 /506, where c ~ 3 X 10 8 m S-1 is the speed of light. Typical
electron thermal velocities are Vte ~ 106 ms- 1 for a 4eV plasma.

2.2 Single Particle Motion


Charged particles in a plasma respond to electric and magnetic fields. Mag-
netic fields limit the motion of charged particles across the field lines and
can be used to increase the plasma density in some processing sources. Many
other processing sources do not utilize magnetic fields. It is nevertheless use-
ful to have a conceptual understanding of magnetic confinement and of how
electric and magnetic fields affect the motion of individual charged particles.
The motion of individual particles is governed by the Lorentz force equa-
tion, which for electrons is

dV e
--= -
qe {
E+ve xB } (2.13)
dt me
where Ve is the electron velocity vector, qe = -e is the electron charge, x is
the vector cross product, and E and B are electric and magnetic field vectors.
The electric field and electron velocity are generally divided into components
2 Plasma Fundamentals for Materials Processing 37

parallel and perpendicular to the magnetic field, which are denoted with II
and ..l subscripts. The solution for Ve in a uniform magnetic field, given an
initial velocity (vila, v J..a) at time t = 0, is

. qe EJ.. x B
ve(t) = VJ..a exp(Jwcet) + vila + -Ellt + 2' (2.14)
me B

""" '."'., tUIiO

~·L
-
R ;5;?~~o

Electron Fig.2.1. Electron motion in


Trajectory magnetic and electric fields.

The first and most important term on the RHS of (2.14) describes a
periodic orbit of the electron around the magnetic field line (Fig. 2.1). The
orbit frequency is called the electron cyclotron frequency, defined by

Wee
Iqel B
== - - . (2.15)
me
The electron cyclotron frequency in Hz is fee = wce /2Jr ~ 28B GHz, where the
magnetic field strength B is in Tesla (T). Values of magnetic fields encoun-
tered in processing plasmas range from B = 0.1 Tesla in ECR sources and
near the surface of permanent magnets, B = 0.02 ± 0.02 T in helicon sources,
B = 0.002 T in magnetically enhanced reactive-ion-etchers (MERlE), to
B = 0 T in parallel plate and inductively coupled plasma sources. The spatial
amplitude of the periodic orbit is called the Larmor radius, which is defined
by
VeJ..
TL = --. (2.16)
Wee
The electron Larmor radius for age V electron in a 0.1 T (1000 G) field is
TL ~ 10~4 m, and an ion with the same energy has rL ~ 0.027 m. Larmor
radii, especially for electrons, are typically much smaller than the plasma size.
The cyclotron orbits thus confine electrons and ions to the neighborhood of
a magnetic field line to first order. Note that charged particles are confined
only in the direction perpendicular to the magnetic field. The second and
38 J .E. Stevens

third terms on the RHS of (2.14) show that the initial parallel velocity and
acceleration of an electron is unaffected by the magnetic field.
The final term in (2.14) is called the E x B drift velocity. The direction
of the E x B drift is perpendicular to the plane defined by E the Band
vectors and is independent of the sign of the charge. Thus, perpendicular
electric fields can cause charged particles to drift across magnetic field lines.
The E x B drift is called a "guiding center" drift, because it consists of a
slow drift velocity across the magnetic field line with the rapid gyro orbit
superimposed On the motion. Charged particles orbiting curved magnetic
field lines, such as that shown in Fig. 2.1 with radius of curvature R, have
additional guiding center drift components which must be included in (2.14).
A discussion of these more complicated motions can be found in many plasma
texts [1,2].

2.3 Collision Processes

Although long range electrical interactions are characteristic of plasma be-


havior, it is collisions that eventually determine the plasma equilibrium and
chemical processes. Particle collisions can be divided into elastic and inelas-
tic. Elastic collisions conserve the kinetic energy of the colliding particles
(neglecting a minute amount of radiation) while inelastic collisions change
the internal energy or state of the colliding particles. Collisions are charac-
terized by a cross section, which is an effective area around the target particle
within which a second passing particle will interact. The total collision cross
section can be subdivided into contributions for each possible type of in-
teraction including elastic scattering, ionization, excitation, charge transfer,
recombination, attachment, etc [12]. Molecular gases have additional ways to
interact including dissociation, dissociative attachment and ionization, and
excitation of vibrational and rotational modes. Generally, electron-neutral
collisions are the most important for low pressure, partially ionized plasmas
due to the high electron temperature and the large number of neutral parti-
cles relative to charged particles (typically 10 2 -10 6 ).
Collision cross sections determine how far a plasma particle travels unim-
peded and how rapidly it transfers energy and momentum to other particles.
Consider a flux of A-type particles, FA in Fig. 2.2, that is passing through a
volume element of width dx and area A filled with B type particles. If the
A and B particles are hard spheres, then the collision cross section would be
(TAB = 1l"(rA +rB)2. Any A-type particle passing within the. area (TAB around
a B particle will collide. An approximate hard sphere cross section between
two argon atoms would be (T rv 30 A2 rv 30 X 10- 20 m 2 . The fraction of the
flux FA that collides with B-type particles in the volume element is equal to
the cross sectional area of all of the B-type particles, nBAdx(TAB, divided by
the area, A:
2 Plasma Fundamentals for Materials Processing 39

., - - - ~-----~

-
~·•.-----i
:4 :

-
- A

Fig. 2.2. Collision cross section.

drA
rA = -nBaABdx, (2.17)

where nB is the density of B-type particles. The flux attenuation with distance
comes from integrating (2.17) , giving

r A = r AO exp (-I nBaABdx) = r AO exp ( - J dX/AmfP ) ' (2.18)

The mean free path,


Amfp = (nBaAB) - l, (2.19)
is the distance at which the initial flux of A-type particles is attenuated one
e-folding. In practice, collision cross sections are experimentally determined
from measurement of the mean free path in combination with (2.19). The
collision frequency is another important quantity associated with collisions,
because it determines the rate at which processes occur in a plasma. The
average collision frequency is

VAB = \ A:~p) = nB(aABvA), (2.20)

where the triangular brackets imply an average over the distribution of ve-
locities (see Sect. 2.4).
Conservation of energy and momentum determines how much energy is
transferred between particles during an elastic collision. The fraction of the
initial energy of an A particle, £AO, that is transferred to a B particle, £B, is
given by [12],

£ 1£ - 2mAmB{1 - cosO} (2.21 )


B AO - (mA + mB)2 ,
40 J.E. Stevens

where mA and mB are the particle masses, and () is the scattering angle
between the initial and final relative velocities in the center-of-mass reference
frame. The angle () ranges from 0 to 7r and depends on how directly the
particles collide. Equation (2.21) shows that electrons do not transfer energy
efficiently to ions or neutrals, because £i/£eO ~ 2me/mi{1 - cos()} '" 10- 5 .
This poor energy transfer allows the electrons to be energetically decoupled
from ions and allows their temperature to greatly exceed the ion and neutral
temperatures in low-pressure partially ionized plasmas. Although electrons
do not lose much energy in one electron-neutral collision, they can suffer a
complete change in direction in a single collision with an ion or neutral. Thus,
the electrons will quickly become isotropic in velocity.
Collisions between similar mass particles do transfer a large fraction of the
incident particle's energy to the target particle, i.e., £eB/£eA ~ !{l-cos()} '"
(unity) for electron-electron or ion-neutral collisions. A result of this efficient
energy transfer is that electrons or ions will quickly reach a steady-state
equilibrium distribution of energies amongst themselves without necessarily
reaching equilibrium with the other species. Electrons will generally be much
hotter than ions in a partially ionized low-pressure plasma, because the exter-
nal power that is used to produce the plasma is coupled first to the electrons.
Ion temperatures are strongly tied to the temperature of the more numerous
neutral particles, due to efficient collisional energy exchange between parti-
cles of equal mass and due also to ion-neutral charge exchange processes. The
neutral particles are, in turn, strongly coupled to the wall temperature, be-
cause numerous electron-neutral collisions are required to heat the neutrals,
whereas they will lose most of their energy in a single wall collision. Plasmas
with equal electron and ion temperatures are said to be in local thermody-
namic equilibrium (LTE). LTE between the electron and ion temperatures
typically occus at higher pressure (> 10 Torr), where there is a large excess
of electron-neutral and electron-ion collisions relative to wall collisions. LTE
generally does not apply to processing plasmas in the millitorr pressure range.
Low-pressure plasmas are far from being in complete thermodynamic
equilibrium with radiation because they are optically thin, meaning that
the plasma size is much smaller than the mean free path for photon ab-
sorption. This fact allows plasma electrons to reach the 1-10eV temperature
range without losing an enormous amount of power by black body radia-
tion. A typical 40inch fluorescent light bulb containing a plasma at 1 eV
(11600 K) radiates less than 40 W of power through its ",0.05 m 2 surface
area. According to the Stephan-Boltzman equation for black body radiation
(/ = 5.7 x 1O- BT 4 Wm- 2 ), the plasma in this fluorescent bulb would radiate
'" lOB W, a ridiculously high value, were it a true black body. The optically
thin nature of laboratory scale plasmas allows radiation from the microwave,
infrared, and visible regions of the spectrum to enter and leave the plasma
interior. This fact allows diagnostics to probe the plasma interior in these
spectral regions.
2 Plasma Fundamentals for M aterials Processing 41

Collision cross sections are in general complicated functions of the relative


velocity between colliding particles. Figure 2.3 shows the inelastic [13,14] and
total [12] cross sections for an electron colliding with a neutral argon atom.
Argon is often used as a test gas because it is common, it is chemically inert,
and its atomic weight is similar to processing gases such as Cl, 0, N, F, etc.
The total argon cross section reaches a peak and then decreases inversely with
electron energy (atot '" l i E) at high energy [15]. The decrease in the total
cross section at energies b elow 3.5 eV is due to the Ramsauer effect , which is a
quantum-mechanical interference effect. The inelastic cross section includes
ionization and various excitation collisions, each of which has a threshold
energy. The threshold energy for ionization is Ej ~ 15.78eV for argon and
the lowest argon excitation cross section has a threshold of 11.83 e V. The
ionization cross section falls as aj '" In(E) / E at high velocities according to
the Born approximation [12].

Argon Collision

20

"e
;?,
0
c:
.Q
<) 10
~
~

u
e

4 8 12 16 20 Fig. 2 .3. Cross sections for argon


Velocity (e V) 112 collisions (adapted from [12 14]).

The ionization energy for the first 60 elements is plotted in Fig. 2.4 along
with the lowest excita tion energy from the ground state [16]. One might
expect that it would be most difficult to produce plasmas using the noble
gases due to their high ionization energy. However, it turns out that molecular
gases, such as O 2 , N 2 , F 2 , Ch, C 2 F 6 , etc., require more power to produce
a given plasma density due to the many additional inelastic loss processes
which occur for molecular gases. Molecular dissociation energies are typically
a few electron volts, and molecular ionization energies span a range similar
to the elem ents shown in Fig. 2.4.
Electron- neutral collisions are generally the most probable due to the pre-
ponderance of neutrals in weakly ionized plasmas. Nevertheless, electron-elec-
tron collisions can dominate in high-density plasma sources (ne > 10 17 m - 3)
operating in the low millitorr pressure range , because the electron- electron
collision frequency and the large energy transfer (2.21) can have a greater
42 J.E. Stevens

Ionization Energy (eV)


25 ~--------------------------------------~
He

20

:> 15
~
>.
.,~
t::i 10

O~~~~~~~~~~~~~-L~~~~~~

o 10 20 30 40 50
Atomic umber
Fig. 2.4. Ionization (solid symbols) and lowest excitation (open symbols) energies
of elements.

effect than the weak energy exchange by the more numerous electron-neutral
collisions. The relative energy loss of electron- electron and electron-neutral
collisions is proportional to the collision frequency (2.20) times the energy
exchanged per collision (2.21), i.e. , dE/dt ex: ~n~(aee ve) for electron-electron
collisions versus dE/dt ex: 2(me/mj)nenn(aenvn) for electron- neutral colli-
sions. The cross section for >90° scattering in an electron- electron collision
is [2]:

(2.22)

where v is the relative velocity between electrons, In[A] = In[127f(c:okBTe/


n ee 2)3/ 2ne] = In[9No ] is the Coulomb logarithm, and WeV = m ev 2/2e is the
energy of the incident electron in the frame of the target electron in units
of eV. The Coulomb logarithm was approximated as In[A] : : : ; 10 in (2.22).
The 90° scattering cross section (2.22) actually results from numerous small
angle collisions as the electron interacts with the No other electrons in a
Debye sphere. This random walk 90° deflection is approximately 8 In[A] times
more likely than a single direct 90° electron-electron collsion [2] . Equa tion
(2.22) shows that for typical electron energies of <5eV, the electron-electron
collision cross section is several orders of magnitude larger than the electron-
argon cross section shown in Fig. 2.3.
Electron- ion collisions are generally not important in weakly ionized plas-
mas because of their small energy exchange and b ecause electron- neutral
2 Plasma Fundamentals for Materials Processing 43

collisions are much more frequent. Ion-neutral processes such as charge ex-
change can be important for ions accelerating through sheaths. Important
neutral-neutral processes are Penning excitation and ionization, which are
due to metastable neutrals exciting or ionizing another neutral [17].
One way to classify plasmas is by their collisionality. Collision dominated
plasmas have

(2.23)

Some definitions of plasmas [1] exclude collision-dominated systems because


ordinary fluid hydrodynamics dominates over the plasma effects. Neverthe-
less, theories for collisional plasma sheaths exist for this regime [18,19]' radio
frequency (rf) power can be coupled to the charged particles, and plasma
sources have been constructed in such regimes [20]. Plasmas may also con-
tain negative ions as well as positive ions and electrons. Negative ions form
in gases with a strong electron affinity, such as the halogens F, Cl, and Br,
as well as oxygen and many molecules. Densities of Cl- in the mid-l0 l7 m- 3
have been measured in an ICP plasma source, which are comparable to the
electron densities in that source [21,22]. An interesting plasma regime occurs
when the electron density falls well below the negative ion density and one
is left with a cold positive ion--negative ion plasma [23]. Such regimes can
sometimes be achieved by pulsing the rf source power on and off and in the
remote afterglow regions of discharges.

2.4 Velocity Distributions

The distribution of electron velocities in a plasma has important implica-


tions for the rates at which various processes will occur. Processes such as
ionization have energy thresholds that are above the typical electron energy,
and are thus very sensitive to the number of superthermal electrons. The ion
velocity distribution is most important at the substrate, where it determines
etching and deposition properties. A complete description of the plasma par-
ticles requires not only their position in space (x, y, z), but also their posi-
tion in a three-dimensional "velocity space" (v x , v Y ' v z ). It is impossible to
keep track of each individual particle in a plasma, so one generally works
with simple functions that describe distributions of velocities, or energies.
Plasma particles often have a distribution of velocities close to a Maxwell-
Boltzmann distribution, so it is useful to state a few formulas based on this
assumption. The Maxwell-Boltzmann (or Maxwellian) distribution function,
fMB (v), gives the probability of finding a plasma electron in a spherical vol-
ume element of velocity space, 47rv 2 dv, as

Am(v) = (2.24)
44 J .E. Stevens

10
This distribution function is normalized to satisfy 00 fMB 4nv 2 dv = 1. The
distribution (2.24) is isotropic in velocity space but more general forms can
also be used. Note that different spatial locations can have different velocity
distribution functions. The average of any quantity over the velocity distrib-
ution is indicated by triangular brackets. The average electron energy for a
Maxwellian distribution is given by

(Ee) = ~kBTe =
2
roo (!mev 2)fMB 4nv 2 dv,
10
(2.25)

which is the definition of electron temperature. Plasma electrons, because of


the rapid energy exchange among themselves, can be described by a temper-
ature even when they are not in local thermodynamic equilibrium with the
ions. The average electron velocity is given by

(2.26)

and the particle flux passing a particular plane surface (random current) is
given by

r.e -_ ne(ve)
4 . (2.27)

Equations (2.24)-(2.27) apply to ion and neutral species by substituting in


their mass and temperature.
The actual distribution function that occurs in a partially ionized plasma
depends on kinetic theory, and, in particular, on details of the collision cross
section and on the external forces applied to the plasma particles [24,25]. A
Maxwellian distribution of electron velocities occurs if the collision frequency
is independent of velocity v. Electron-electron collisions, where the collision
frequency scales as v- 3 , also tend to produce a Maxwellian distribution. An-
other type of distribution function commonly seen in partially ionized plas-
mas is a Druyvesteyn distribution [26], which scales as fDruy '" exp( -cv 4 ),
and thus has fewer high-energy electrons than a Maxwellian (Fig. 2.5). A
Druyvesteyn distribution occurs when the collision frequency is directly pro-
portional to velocity and when electrons are heated by a weak electric field.
Druyvesteyn-like distributions are sometimes produced in gases whose cross
section increases with velocity, such as the case for elastic electron-argon col-
lisions below", 12 eV (Fig. 2.3). Inelastic collisions, whose cross sections have
a high-energy threshold, can also deplete the number of high-energy electrons
and produce a Druyvesteyn-like distribution.
The type of electron velocity distribution function often depends on the
degree of ionization. High-density plasma sources (see Sect. 2.8) typically have
an ionization fraction above 10- 3 , which is sufficient for electron-electron
collisions to be the dominant mechanism for energy exchange and so pro-
duce a Maxwellian distribution of velocities. External forces acting on par-
2 Plasma Fundamentals for Materials Processing 45

In {f(v)}

Druyvesteyn

Maxwellian

---RF---
enhanced 'tail'
--- ---
Fig. 2.5. Velocity distribu-
v2 tion functions.

ticles of a particular energy can also distort the distribution function from
a Maxwellian. For example, a distorted electron di:stribution occur:s when
strong radio frequency (rf) electric fields act preferentially on a small num-
ber of superthermal electrons. In the latter ca:se, the high-energy electron tail
i:s enhanced and one might expect an increased rate of ionization compared
to a Maxwellian di:stribution (Fig. 2.5).

2.5 Sheaths

The plasma sheath is a :sharp interface between the bulk pla:sma and the
chamber walls or any other material surface. Sheaths are particularly impor-
tant in processing plasmas because their properties determine how charged
particles from the plasma interact with the surface being processed. In par-
ticular, the energy and direction of ions striking the substrate is determined
by their fall through the sheath. Voltage drops of tens to hundreds of volts
can occur across sheaths, which impart kinetic energies of tens to hundreds
of electron volts to the ions. These ion energies lead to sputtering and chem-
ical activation of surfaces in contact with the plasma. Sheaths form because
electrons initially leave the plasma much more rapidly than ions due to their
smaller mass (mi/me ;::: 1836) and correspondingly higher thermal velocity
(2.12). The ions left behind will build up a net positive charge in the plasma,
which will resist the loss of further electrons and maintain quasineutrality.
Debye :shielding will prevent substantial charge gradients from occurring in
the plasma interior and eventually force the ions and electrons to leave at
approximately the same rate. The net charge gradient in the plasma occurs
primarily at the plasma surface forming the plasma sheath. The potential of
46 J.E. Stevens

the main plasma body, ¢p , is called the plasma potential and will always be
more positive than the vessel walls. The sheath region is visibly darker than
the main body of the plasma due to the scarcity of electrons and the result-
ing d ecrease in excitation and emission. The electrons that are present in the
sheath will often have energies far above the peak of the optical excitation
cross section.

heath Prcshcath Main Pia rna


!
I
... :11;=110
I ~__" .:..
i =_ ',;.:
/ ......
. -,---
I
i
i tPp
~(xJ _ _ - - - -"- - - - -
- - - I

Wall

Fig. 2.6. Plasma density


~~=-----=~---------'--- .... x
and potential across a
sheath.

The formation of the plasma sheath is a nonlinear problem that is gen-


erally broken down into three regions, as shown in Fig. 2.6. The plasma in
Fig. 2.6 exists in the region x > 0 and is in contact with a wall at x = o.
The sheath proper, of width d, is the region where the electron density drops
well below the ion density and leaves a local positive space charge density.
The sheath problem involves the self-consistent solution for the electron den-
sity, ion density, plasma potential, and ion velocity in the sheath region as a
function of position, x. A commOn formulation , valid for many low pressure
plasma, assumes that collisions can be neglected in the sheath region, x < d
[27]. The electron density is determined in terms of the plasma potential
from the Boltzmann equation (2.2). The ion d ensity is determined from the
continuity of the ion flux everywhere in the sheath,

(2.28)

along with the conservation of ion energy assuming nO collisions in the shea th

(2.29)

where Ui(X) and ¢(x) are the ion velocity and plasma potential at position
x, and ui(d) and ¢(d) are the initial ion velocity and plasma potential at
the sheath edge (x = d). The plasma potential is determined from Poisson's
equation (2.1). A self-consistent solution, where the electron charge density
is everywhere less than the ion charge density in the sheath [1], exists only
2 Plasma Fundamentals for Materials Processing 47

if the ions enter the sheath with a velocity greater than the ion sound speed
cs , (or Bohm velocity, UB) [27,28] given by

uJd) ;:::: UB = Cs = JkBTe . (2.30)


mi
The sheath boundary, d, is taken as the location where (2.30) is satisfied,
i.e., where the ion flow towards the wall becomes supersonic. The ion energy
equals the electron energy, kBTe, at the sheath boundary.
The ions obtain the Bohm velocity by gradually accelerating through a
weak potential drop in the so-called presheath region, whose scale is usually
determined by the ion mean free path or ionization length. Equations (2.29)
and (2.30) show that ions, initially starting at quasi rest in the main plasma,
accelerate to the sound speed if the potential drop in the presheath region
is e(¢p - ¢(d)) = ~miu~ = k B T e /2. The plasma density at the sheath edge,
x = d, is then given by Tli(d) = Tle(d) : : : ; 0.6TleO from the Boltzmann relation
(2.2), where neO is the electron density at the edge of the presheath. Ex-
perimental measurements have confirmed the existence of a presheath whose
length corresponds to a collisional mean free path [29].
The wall floating potential, ¢ f' is the potential that a surface will acquire
when in contact with the pla'lma. The potential drop between the sheath edge,
¢( d), and the floating potential of the wall, ¢ f' is determined by equating
the electron and ion flux at the wall,

(2.31)

where the left hand side is the random electron flux (2.27) crossing the x = 0
plane and (ue(O)) = J8k BT e/7fm e is the mean electron velocity (2.26). The
electron density at the wall is determined by the Boltzmann relation (2.2) as
71e(O) = 71e(d) exp{ -e[¢(d) - ¢f]/kBTe}, and the ion flux is determined from
(2.30). Substituting into (2.31) gives

¢(d) - ¢f = kBT., In {~}. (2.32)


2e 27fme
Including the potential drop of k B T e /2 across the presheath gives the plasma
potential as [4]:

¢p - ¢f = kBTE In {~} . (2.33)


2e 2.3me
The plasma potential for a Te = 4eV argon plasma (atomic weight = 40) is
approximately ¢p - ¢f ::::::; 5.2(k B T E /e) : : : ; 21 V. Equation (2.33) shows that
the electrons that reach the wall must overcome a potential of several times
the average electron energy kBTe. These electrons have a minimum energy
of {e[¢(d) - ¢f]} and it can be shown that their average energy is {e[¢(d) -
¢f] + 2k B T e }. Note that only one wall in contact with the plasma has been
48 J.E. Stevens

considered here. In reality, the electron and ion currents will balance locally
on all insulating surfaces and balance in total for all conducting surfaces in
contact with the plasma. The plasma potential depends on this total current
balance. The electric field as a function of position in the sheath has recently
been measured with laser-induced fluorescence above a rf-biased electrode
[30]. These measurements showed that the electric fields were uniform across
the biased electrode.
Ions falling through a collisionless sheath strike the wall at nearly normal
incidence because the ion temperature in the bulk plasma is low compared to
the energy gained falling through the sheath. Measurements of the ion angle
of incidence to a substrate in an inductively coupled plasma source have
shown a half width of <7.5°, corresponding to transverse energies of <0.2 eV
[31]. Direct measurements of ion temperature in the plasma bulk by laser-
induced fluorescence also showed values from 0.1~0.5eV [32,33]' although the
ion velocity distributions sometimes have additional drift components due to
plasma transport or magnetic fields [34].
The sheath thickness, d, can be estimated from the condition that the ion
current to the wall is space-charge-limited. The electron density can be ne-
glected over most of the sheath thickness, d, in Fig. 2.6. The Child~Langmuir
law for space-charge-limited current relates the maximum current density, J,
between two planar surfaces to the potential drop, ¢(d), across the gap, d,
between those surfaces, according to [35]:

1/2 [ ] 3/4
d= [ ~~ ] ~ ¢(d)3/4. (2.34)

Using J i = ni(d) eUB, the sheath thickness, d, in (2.34) can be rewritten as

d = 0.79AD [~~~~] 3/4 (2.35)

Using e¢(d)jkBTe rv 1/2 In(md27fme), the sheath thickness, d, is approxi-


mately 4AD thick for the 4eV argon plasma mentioned previously.
The ion current density to the wall can also be limited by collisions when
the ion mean free path, Ai-mfp, is shorter than the Debye length, AD. The
thickness of a mobility-limited sheath, assuming a constant collision fre-
quency, is [35]:

d ~ AD [~ Wpi UB] 1/3 [e¢(d)] 2/3 ~ 0.9Aif3 A~fp [e¢(d)] 2/3, (2.36)
8 Vi Ui kBTe kBTe
where Wpi is the ion plasma frequency defined as

(2.37)
2 Plasma Fundamentals for Materials Processing 49

Ui is ion velocity at the sheath edge, and Vi is the ion collision frequency.
The second approximation in (2.36) was derived using an expression for the
ion velocity, Ui, at the sheath edge given by Godyak and Sternberg [18] for a
collisional plasma.
Radio frequency power applied to electrodes in contact with the plasma
will increase the voltage drop across the plasma sheath without significantly
increasing the ion current drawn to the electrode [36-38]. Plasma tools utilize
this fact to control the ion energy to an electrode surface independent of the
ion current density. The bulk plasma density determines the ion current den-
sity to first order. Typical values of ion current density in processing sources
are 0.1-20 rnA cm -2. Since the electron current will increase exponentially
during the positive half of the rf cycle, the average potential on the wall,
CPr, must decrease relative to CPP in order to keep the average electron and
ion currents to the wall in balance. Mantei [39] derived an expression for the
voltage drop across the rf sheath of a small electrode, by substituting a time
varying wall potential [CPr + v;.r coswt] into the Boltzmann relation (2.2) and
then averaging the current to the wall (2.31) over a rf cycle (0 < t < 27r / w ).
Equation ([33]) becomes

CPP _ CPr = kBTe In


2e
(~) + kBTe
2.3me e
In {fo ( kBTe
eVcr )} , (2.38)

where fo is the modified Bessel function. The second term on the RHS ranges
from 80%-97% of v;.r for lO < ev;.r/kBTe < 100 and approaches v;.r asymp-
totically for ev;.r/kBTe » 1. Equation (2.38) showed good agreement with
experiment for eVcr/kBTe between 0 and 140 [39]. The effect of the applied
rf is to decrease the time average floating potential, CPr, by approximately
the peak rf voltage, v;.r. Lieberman and Lichtenberg obtained the relation
CPP - CPr:::::; 0.83v;.r for a self consistent collisionless sheath model [5].
Ions that fall through a sheath over many rf periods will be accelerated
by essentially the dc sheath potential. Alternatively, ions that fall through
the sheath in less than the rf period will experience a variable acceleration.
It can be shown, using (2.35), (2.38) and (2.13), that ions will take many rf
periods to fall through the sheath when the rf frequency, w satisfies
Wpi« w. (2.39)
The ion plasma frequency, !pi = Wpi/27r, is typically in the low MHz range.
For an argon plasma with ni = 10 17 m- 3 , the ion plasma frequency is !pi :::::;
lO MHz. Ions striking the electrode will be monoenergetic, with an energy
Ee :::::; CPP + v;.r, at rf frequencies much higher than lO MHz. The ion energy
distribution at the electrode will split [40,41] at lower rf frequencies or higher
densities where W ::; Wpi. In the latter case, ions will strike the substrate with
a range of energies given by Ei :::::; CPP + Vcr ± Vcr, where the energy of any
particular ion depends on the phase of the rf cycle as it enters the sheath.
The exact frequency at which the splitting occurs depends weakly on other
factors, such as v;.r/Te , in addition to (2.39).
50 J .E. Stevens

0.003
- - n o bias
- - - -S.2 V DC bias, 19 V rfp-p
-16.4 V DC bias, 37 V rf p-p

0.002

.........,
0.001

0.000
o 10 20 30 40 50 60

Ion Energy (eV)


Fig. 2.7. Ion current density versus rf bias voltage (from [42]).

Plasma densities of 10 17_10 18 m- 3 are typical of "high-density" plasma


sources while densities of <10 16 m- 3 are typical of "capacitive" plasma sources
(see Sect. 2.8). The ion plasma frequency for an argon plasma with density
nj ~ 1.67 x 10 17 m- 3 is !pi ~ 13.56 MHz, which is a standard frequency uti-

lized for substrate biasing in many processing tools. Thus, ions striking the
substrate in a "high-density" plasma source with 13.56 MHz biasing will typ-
ically have a range of energies due to transit time effects across the sheath.
Figure 2.7 shows energy analyzer measurements [42] of the ion current density
to an rf-biased electrode. These data were taken in a high-density inductively
coupled GEC reference reactor [43] using an argon plasma, with the electrode
biased at 13.56 MHz. It is apparent that the average energy increases like
fi--ave ~ ¢p + v;.f as the peak rf voltage is increased, while the spread of ion
energies is approximately ± v;.f.
Ions in a 13.56 MHz capacitive source might be expected to have a nar-
row spread of energies since the condition W > Wpi holds for typical plasma
densities in a capacitive source. However, capacitive sources also operate at
a relatively high pressure (0.1-1 Torr), where ion-neutral collisions begin to
disrupt the ion free fall through the sheath. Collisions with neutrals produce
not only a range of ion energies but also a wide range of ion directions at the
plasma surface of a capacity source.
The rf current coupled through a sheath into the plasma must return to
the generator ground through another electrode. An important issue is how
the rf voltage divides between the sheaths at the two (or more) electrodes.
2 Plasma Fundamentals for Materials Processing 51

External capacitor

~
/--- ----I
I
:
\
Plasma rf circuit

Sheath I: V" C I , Al

i:,;T~ Bulk Plasma. R« 1I00C

! __.--_ Sheath 2: V 2' C 2, A2

I
\ .... - - - .,/ Fig. 2.8. Simple circuit model
for sheaths.

A simple capacitive voltage division model is shown in Fig. 2.8, where the
external blocking capacitor forces the net dc current in the circuit to be zero.
Koenig and Maissel [44] derived an expression for the rf voltages in terms
of the electrode areas AI, A2 as VI!V2 rv C 2 /C1 rv (AdA1)4. This scaling
results from modeling a collisionless sheath as a capacitance C rv coAl d,
with d given by (2.35), and assumes that the rfvoltages are much larger than
kBTele so that ¢sheath rv v;.f. This model also assumes that the dc conduc-
tion current density is equal at both sheaths, which is not necessarily true
[45]. Experimentally observed exponents for the area ratio are typically in
the range of 1 to 2 [46-49]. Effects which complicate the comparison with
experiments include geometrical effects at the electrode edge, especially for
the smaller electrode, nonuniform current densities at the larger electrode,
small values of ev;.r/kBTe at the larger electrode which invalidate the assump-
tion v;.f » kBTele, and collisional sheath effects. The largest rf voltage drop
always occurs across the sheath of the smallest electrode, but there does not
appear to be a simple scaling relationship that holds in general.
A further complication to rf biasing of electrodes occurs due to the non-
linear nature of the electron current in the sheath. The sheath is not a simple
capacitor but rather a nonlinear element in the rf circuit. Thus, it produces a
range of signals at harmonics of the rf drive frequency. Miller et al. [50] have
shown that small tool-to-tool variations in the external circuit can interact
with the harmonics in different ways and produce grossly different plasmas,
even if the circuits are nominally identical at the fundamental rf frequency.

2.6 Plasma Transport


A detailed description of a plasma generally requires a computer model, which
itself is a simplification of the actual state of all the plasma particles. Plasma
models usually divide the bulk plasma region from the boundary or sheath
region discussed previously. A useful way to describe particle transport in the
main bulk of the plasma is by fluid equations in combination with Maxwell's
52 J.E. Stevens

equations. The fluid (or continuum) equations consist of three main equa-
tions, which describe the conservation of particles, momentum, and energy
for a particular particle species. These equations work with quantities that
are averages over all the particle velocities in a small volume element. Plasma
fluid calculations are often valid even though the mean free path of the plasma
particles is larger than the volume element of the fluid. Chen [1] points out
that the fluid equations work well because they are relatively insensitive to
the electron velocity distribution.
The continuity equation describes the balance of particles in a volume
element. The change in the number density, ns(x, t), of a particular species,
s (= ions, electrons, neutrals), plus the flux of particles flowing into or out
of the volume element equals the local source term Ss(x, t), minus the local
particle loss term Rs(x, t). The continuity equation is written as

at
ons + 'V. ( )
nsvs = Ss - R s, (2.40)

where vs(x, t) is the average velocity of the fluid element for species s, and
where all quantities are functions of the three-dimensional position x =
(x, y, z) and time t. The particle source term is due primarily to electron-
neutral ionization collisions. Particle losses can occur through electron-ion
recombination or by electron attachment to a neutral particle. Electron-ion
recombination is a three-body process that occurs primarily at the vessel
walls and is generally not important for the main body of the plasma in the
millitorr pressure range. Electron attachment can be an important loss term
in electronegative gases such as F and Cl. Ion species can also be lost through
positive ion-negative ion recombination and charge exchange [51].
The momentum or motion equation describes the balance of forces acting
on the fluid element. The change in velocity of the fluid plus the convective
flow into the volume element is equal to the electric and magnetic Lorentz
forces acting on the charges, minus the pressure gradient across the volume,
minus the frictional drag force from background species. The momentum
equation is written as

nsms { o~s + Vs • 'VVs} = nsqs{E + Vs x B} - 'V Ps


- msnsvs(vs - VB), (2.41)

where ms is the particle mass of species s, qs is the charge (i.e., the electron
charge qe = -e), E and B are the electric and magnetic fields in the volume,
P s = nskBTs is the fluid pressure, and Vs is the average collision frequency of
species s with all background particle species "B". The drag on the electrons
is primarily due to electron-neutral collisions in partially ionized gases.
The energy balance equates the energy gain with time of a plasma ele-
ment to the power input, minus changes in internal energy due to the diver-
2 Plasma Fundamentals for Materials Processing 53

gence of the heat flux, minus the energy lost through collisions with other
species [2],

! Gnsm s(vs)2 + ~nskBTs) = J • E - V' • Qs - nse

x L EcoIl V s8, (2.42)


coIl types

where (v s ) is the average velocity of the fluid element, Qs = ~nsms(vv2) is


the heat flux, J is the current density, nB is the density of background par-
ticles (usually neutrals), VsB is the average collision frequency (2.20), Ecoll is
the collisional energy transfer in eV, and the sum is over all possible collisions
with background species. Collisional processes include ionization, excitation,
and collisional energy transfer. The term J • E represents power dissipation
in the plasma volume element and can be replaced with a term P rf IV in a
rf-heated discharge, where Prf IV is the rf power absorbed per unit volume.
The steady state electron and ion fluxes in the plasma can be derived
by setting dvs/dt = 0 in (2.41). The particle flux, r s , for a plasma with no
magnetic field is given by
(2.43)
where the ± sign refers to the sign of the species charge, qs. The mobility of
species s is given by

_ Iqsl 2 V-I s-1,


f..ls---m (2.44)
msvs
and the diffusion coefficient is
D s -- -
kBTs
- m 2s- 1 . (2.45)
msvs
It is assumed in (2.43) that the plasma temperature is constant so that V P =
kBTVn. Brown [52] gives data on electron and ion mobility for various gases.
Equation (2.43) shows that electron and ion transport in the plasma interior
is driven by electric fields and particle diffusion. It was seen earlier that the
plasma screens strong electric fields due to Debye shielding. However, weak
internal electric fields will arise as a result of the different electron and ion
diffusion coefficients. These fields act to keep the electron and ion density
approximately equal. The common flux e = ri == r r
can be derived by
eliminating the electric field E in the electron and ion flux equations (2.43),
and using ne = ni == n to give
r = -DaVn. (2.46)
The quantity Da is the ampipolar diffusion coefficient defined by

Da = JLeDi
JLe
+ f..liDe ~
+ f..le
Di (1 + Te)
11
~ u~
Vi
, (2.47)
54 J .E. Stevens

where UB is the Bohm velocity (sound speed). The common flux of electrons
and ions together, r, is controlled by the ambipolar diffusion coefficient,
which depends on the ion mass, electron temperature, and collision frequency.
It was shown in Sect. 2.2 that magnetic fields limit the motion of charged
particles in directions perpendicular to the field lines. The addition of a mag-
netic field will reduce the cross-field mobility (2.44) and diffusion (2.45) of
the individual particle species by the factors

(2.48)

where Wes = eB/m s is the cyclotron frequency of the species. Cross-field mo-
bility and diffusion will be significantly reduced by a magnetic field if the field
is strong enough and the collision frequency is low enough. Note that colli-
sions reduce the charged particle mobility and diffusion (2.44)-(2.45) when
there is no magnetic field. However, collisions increase the cross-field mobil-
ity and diffusion when there is a strong magnetic field (2.48), because they
interrupt the cyclotron motion around the magnetic field lines and allow par-
ticles to escape across the field lines. The factor 1 + w~s/lJ; can be large for
electrons in partially ionized plasmas at low pressures (p < 1 Torr) and mod-
erate magnetic fields (B > 0.01 T). Magnetic confinement is an important
factor in ECR and helicon sources, which use a background solenoid field
with B '" 0.01-0.1 T as part of the plasma source. Surface magnetic fields,
produced by arrays of permanent magnets [53-56], and rotating solenoid
magnetic fields [57] have also been used to affect the plasma confinement and
improve the plasma uniformity in plasma processing sources. It is difficult to
write a general equation analogous to (2.46)-(2.47) for ambipolar transport
in a magnetized, partially ionized plasma because the electrons will tend to
diffuse along the field lines while the ions may be able to diffuse across the
field lines. These different diffusion paths make the problem very sensitive to
the boundary conditions [1].
Models of plasma systems have to account for plasma properties in three
spatial dimensions, three velocity space dimensions, and time [58]. In spite
of the power of present day computers, it is a daunting task to compute the
interactions of tens to hundreds of particle species and charge states in those
seven dimensions. Monte Carlo codes attempt to do this by following the
motions and interactions of many representative particles. Fluid or continuum
plasma models reduce the computational complexity by averaging velocity
space effects. Some models simplify the problem further by neglecting all
spatial effects. This approach is referred to as a O-D, global, or well-mixed
reactor model [5,59]. The utility of global models is that complicated chemical
processes can be included while still getting the plasma trends approximately
right.
Global models solve for the electron temperature, by equating the rate
of ionization with the rate of plasma loss. Integrating the ion continuity
2 Plasma Fundamentals for Materials Processing 55

equation (2.40) over the plasma volume and assuming that the ionization
rate is spatially uniform gives the plasma balance equation:

(2.49)

where UB is the Bohm velocity (2.30), nn is the neutral density, Leff is an


effective plasma size, and the brackets imply an average over the electron
velocity distribution. The electron and ion densities are equal for a single,
positive ion species and the neutral density is determined from the system
pressure by nn rv 3.5 X 10 19 p( mTorr) m -3. The integral of the divergence term
in (2.40) becomes a surface integral, J \7 • (njvddV R:! J njUB dA, and the
volume-to-area ratio is replaced by an effective plasma size Leff. Estimates
of Leff for a given plasma height and diameter are given by Liebermann and
Gottscho [60], assuming profiles due to ambipolar diffusion in the plasma
body. The electron temperature determined from (2.49) depends only on the
neutral pressure, gas species, and plasma size. Solutions to (2.49) show that
Te gradually increases with decreasing pressure in the pressure range below
1 Torr, rising rapidly below 1 mTorr. Typical electron temperatures are 1-
10 e V for weakly ionized plasmas.
The electron density in the global model is determined by integrating
the steady state (a/at = 0) energy balance equation (2.42) over the plasma
volume, assuming a spatially uniform power input. The divergence of the heat
flux in (2.42) becomes a surface integral, with electrons losing an average
kinetic energy of e£e R:! 2kBTe through the sheath and ions losing a kinetic
energy given by the plasma potential ¢p (¢f = 0). The resulting energy
balance is

(2.50)

where Prf is the rf input power to the plasma, and Aeff is an effective plasma
surface area [60]. The term in brackets is the average energy lost per electron-
ion pair produced, in eV, and includes losses by ions, electrons, and collisional
energy carried off by neutrals and radiation. The sum is the ratio of all types
of collisional energy loss rates relative to the ionization rate. The density
is predicted to increase linearly with rf power and decrease with electron
temperature, plasma potential, and plasma surface area. The plasma density
will also be lower for molecular gases relative to noble gases, because of the
additional collisional processes in molecular gases.

2.7 Dielectric Properties

Plasma electrons and ions respond differently to an oscillating electric field


because of their mass difference. This charge polarization causes a plasma
56 J.E. Stevens

to act like a dielectric medium at rf frequencies. The plasma permittivity or


dielectric constant is computed by combining Maxwell's equations with the
equation of motion (2.41). Various levels of sophistication can be included
in this description. Including a dc magnetic field results in a tensor permit-
tivity because the magnetic field causes the medium to be anisotropic. The
main application for the magnetized plasma dielectric tensor in processing
plasmas is for the analysis of plasma wave propagation and absorption in
helicon and ECR sources. Including velocity distributions with the equation
of motion (Vlasov equation) results in a more complicated plasma dielectric
tensor depending on integrals over the assumed velocity distribution [2,61].
The magnetic field free case will be considered here, for which the permit-
tivity is a scalar. Plasma velocities and electric fields are assumed to vary
harmonically as exp(jwt) , where j = A. The equation of motion (2.41),
becomes

(2.51)

where v is the average collision frequency. Solving for Ve and using the defi-
nition of current J = -neeVe in the Ampere-Maxwell equation gives

V x B = EO!)
aE + J =
.
JWEo
( 1- w;e(l2 + jv2/W)) E, (2.52)
ut W +v
where the ion contribution to the current has been neglected. The permittiv-
ity or dielectric constant of the plasma is EOEp, where the relative permittivity
is
_ w;e(1 + jv/w) ~ w;e
Ep - 1 - 2 2 ~ 1- -2 . (2.53)
W +v W

The collision frequency v is small relative to W for many problems of interest.


The relative permittivity Ep depends on frequency wand turns out to be a
large negative number at rf frequencies. For example, if ne = 1.5 x 10 17 m- 3
and f = 13.56 MHz then Ep ~ -105 . At very high frequencies (»GHz) the
relative permittivity approaches 1. The term (w;e(l + jv /w) )/(w 2 + v 2 ) is the
ratio of the conduction current J to the displacement current Eo aE / at in
the plasma, and is much larger than 1 for MHz frequencies and below.
RF electric and magnetic fields transverse to the direction of propagation
x have a spatial dependence given by E(x) '" Eo exp(jkx), where k = yEi,w/c
is the propagation constant and C is the speed of light. Low frequency rf
fields, where W « wpe and yEi, is imaginary, thus attenuate according to
E(x) '" Eo exp(-wpex/c) = Eo exp(-x/<5). The attenuation distance for rf
fields in the plasma is given by the collisionless skin depth
1.7cm
<5 = c/wpe ~ - - - , (2.54)
J n 17
2 Plasma Fundamentals for Materials Processing 57

where n17 is the electron density in units of 10 17 m- 3 (1011 cm- 3 ). The skin
depth is typically a few cm for the partially ionized low-pressure plasmas used
for thin film processing. The skin depth for transverse rf fields is ~ c/Vte times
larger than the Debye length, which is the shielding distance for longitudinal
electric fields.
Skin depth determines where the rf power is deposited in inductively
coupled plasma (ICP) sources (see Sect. 2.8). Measurements in ICP sources
show that the rf fields decay with distance from the rf antenna, and the decay
length scales like the collisionless skin depth (2.54) versus plasma density
[62]. The rf fields from capacitively coupled electrodes also show the same
skin depth behavior [63].
Plasmas are good conductors because they contain free charges. Using the
definition J = erE, the plasma conductivity, er, can be derived from (2.52)
as,
2
cOWpe
er= - - - (2.55)
v+jw·
The circuit impedance, Z, of a plasma cylinder of height £ and area A is given
by

Z =R + jX = £/(erA). (2.56)
The impedance of the bulk plasma will have resistive and reactive (inductive)
components, which depend on the real and imaginary components of l/er. The
collisional power dissipation per unit volume in the bulk plasma is given by

(2.57)

The collisional power dissipation in the plasma bulk is maximized as a func-


tion of collision frequency, v, when v = w. Major contributions to the plasma
impedance also come from the sheath and are not included in (2.55)-(2.57).
Sheath impedances include the sheath capacitance and stochastic heating
(resistive) [64]. The power dissipation as a function of rf frequency, w de-
pends on the reactance of the plasma bulk (2.55)-(2.57), as well as sheath
impedances.

2.8 Plasma Sources for Thin Films Processing


Plasma sources can be classified according to the type of external energy
input used to sustain them. Plasma processing tools generally use radio fre-
quency (rf) or microwave power to produce and sustain the plasma. Most
commonly rf power is available at 13.56 MHz and microwave power is avail-
able at 2.45 GHz. In addition, rf frequencies from 0.2 to 40 MHz are used
extensively. Many types of rf plasma sources exist for processing applications
[8] and these are generally classified into capacitive, inductive, and wave
58 J.E. Stevens

sources. The latter two are also referred to as high-density sources, where
high density typically means ne ::::: 10 17 m -3. Direct current plasma sources
are generally not used in processing applications because they cannot couple
power through insulating substrates, they require a relatively high pressure,
they are inefficient, and the cathode voltage is not controllable. More informa-
tion on dc discharges can be found elsewhere [26,35]. Another way to classify
sources for processing applications is as closely coupled versus downstream.
Closely coupled refers to creating the plasma very close to the substrate to
be processed. Downstream refers to locating the substrate well away from
the power absorption region or even completely removed from the plasma,
in order to minimize the charged particles that strike the surface while still
utilizing the plasma generated neutral radical species.

2.8.1 Capacitive Sources

Capacitive sources, also referred to as parallel plate, diode, or reactive ion etch
(RlE) sources, have historically been the most widely used plasma source for
thin film processing. Capacitive sources employ one or more driven electrodes
(Fig. 2.9) to produce the plasma, with typical electrode voltages of 100-
1000 V and typical rf powers of 100- 2000 W. Energy is coupled from the rf to
the electrons by several mechanisms. Free electrons in the plasma body are
accelerated by the rf electric field and absorb energy when their oscillatory
motion is interrupted by collisions. Energetic electrons (v » Vte) are also
created at the cathode, due to secondary emission from ion bombardment
of the cathode and the subsequent acceleration of those electrons into the
plasma by the sheath potential. Stochastic heating due to a moving sheath
boundary is a third mechanism for coupling rf energy into a capacitive plasma
source [65,5].
As one might suspect, the electrical impedance of a capacitive plasma
source b ehaves like a capacitor in series with a smaller resistor , i.e., Zplasma rv
R - j/wC, with typically IZplasma I > 50Q and R < 11/wCl. The capacitance

Capaci tive Pia ma ource "L" match network


Plasma

Plasma

Sheath
ubstratc
electrode
(Cathode)

Fig. 2.9. Capacitive plasma source and rf match network.


2 Plasma Fundamentals for Materials Processing 59

is of order 100 pF in a typical source and is primarily due to the capacitance


of the driven electrode sheath. A matching network is required to make the
plasma impedance, in series with the match impedance, look like the 50 n
impedance of the rf power supply and connecting cables. A common match-
ing configuration is the "L" network shown in Fig. 2.9, which uses variable
series and shunt capacitors. Significant rf power losses, from 10-90%, can oc-
cur in the match network due to the large recirculating powers [66,67]. Match
networks are located as close as possible to the driven electrode to minimize
power loss. The series capacitor in the match also acts as a dc blocking capac-
itor, which allows a negative dc bias to build up on the substrate electrode.
The dc bias increases the energy of ions striking the surface.
Capacitive sources work well for many processing applications but have
several drawbacks for applications where high plasma density and precise
control of the ion direction and energy is required. Capacitive sources are
typically limited to densities of 10 16 m -3. More rf power is required to pro-
duce a higher plasma density in capacitive sources, but this implies a cor-
respondingly higher voltage on the driven electrode. Unfortunately, a higher
electrode voltage results in a higher energy input per ion, which decreases
the source efficiency according to (2.50). Electrons accelerated by a higher
sheath voltage will also heat the bulk plasma less efficiently, due to the falling
collision cross section with energy. Furthermore, a higher sheath voltage will
produce a wider sheath, which results in more ion collisions and ion scattering
in the sheath. Decreasing the pressure reduces ion scattering in the sheath
but further reduces the source efficiency. Capacitive sources tend to operate
best in the pressure range of 100-1000 mTorr. Another drawback of capac-
itive sources is that there is no independent control of the plasma density
and the substrate bias voltage when the driven electrode also holds the sub-
strate. Triode configurations, where an additional electrode is powered, have
been used to circumvent the problem of independent density and bias control.
Sputtering of electrodes is another problem with the high electrode voltages
in capacitive sources. Nevertheless, capacitive sources are successfully used
in many applications in spite of these drawbacks.

2.8.2 High Density Sources


High-density plasma sources include inductive, ECR, and helicon sources.
These sources are presently in wide use for semiconductor processing ap-
plications. High density typically means ne ~ 10 17 m- 3 . Typical values of
ion current density at a surface are 1-20mAcm- 2 in high-density sources,
compared to <1 mA cm- 2 in capacitively coupled sources. Some advantages
of high-density sources over capacitive sources include higher process rates,
independent control of the ion current (plasma source power) and ion en-
ergy (bias power) at the substrate, and operation at low neutral pressure.
Low-pressure operation avoids ion collisions in the sheath and keeps the ions
unidirectional. High process rates are due to the high plasma density and the
60 J.E. Stevens

efficient generation of chemically active neutral species. Dissociation of up


to 70% of the molecular chlorine has been reported in a high-density source
operating between 1- 2 mTorr with 900 W of power [68]. Too much dissoci-
ation of molecular species can actually be a problem for some high-density
source applications. For example, selective etching of silicon dioxide relative
to silicon depends on molecular radicals such a CF and CF 2 attaching to sili-
con and oxide sidewall surfaces and preventing etching while the ion-assisted
Si0 2 etching is going on. A high-density plasma source may dissociate the
CF x radicals too much and reduce the Si0 2 /Si etch selectivity [69].

2.8.3 Inductive Sources

Inductive sources have long been used to produce high-density plasmas for
materials analysis [70]. Inductive sources have recently achieved widespread
use in the semiconductor fabrication industry and are known as ICP, RFI,
and other various trade names [60,71- 73]. ICP sources are the simplest type
of high density source because they do not require an external magnetic field.
The plasma in an ICP source is produced by rf coils wound parallel to the
plasma surface (Fig. 2.10). The rf coils are separated from the plasma by
a dielectric wall, and typically operate in the 1- 40 MHz frequency range.
The dielectric wall is part of the vacuum barrier and may be flat, domed,
or cylindrical. Radio frequency currents in the ICP coils induce opposing rf
currents in the plasma, which are concentrated primarily within a skin depth
of the plasma surface, typically a few centimeters. The plasma thus acts as
the secondary of a transformer with the ICP coils as the primary.

lCP P lasma
Source

RF rep oils

Plasma

Sub ·lrale
Eleclrode

Fig. 2.10. Inductively coupled


plasma source.
2 Plasma Fundamentals for Materials Processing 61

The rf current in the plasma is carried primarily by thermal electrons


(v ::; Vte) which rapidly transfer power to the plasma by electron-electron
and electron-neutral damping. High voltages on electrodes are not required
in lCP sources, so the plasma potential is low (typically 10--30 V) and the loss
per charged particle is also low. The high plasma densities produced in lCP
sources, typically> 10 17 m -3, imply that the substrate sheath is thinner and
there is less ion scattering in the sheath compared to capacitive sources. lCP
sources also operate well at a relatively low pressure (1-100 mTorr) which
further reduces ion scattering in the sheath. As expected, the electrical im-
pedance of an lCP source generally behaves like an inductor in series with a
smaller resistor, i.e., Zplasma '" R + jwL with typically IZplasmal > 50n and
R « IwLI. The inductance is several microhenrys in a typical lCP source.
Matching of rf to an lCP plasma can usually be accomplished with the same
"L" network shown in Fig. 2.9, but with the inductor removed. Capacitive
coupling also exists between the rf coil and the plasma in an lCP source.
This capacitive coupling is small compared to that of a diode source, because
the coils are separated from the plasma by the thick (> 1 cm) dielectric wall.
Capacitive coupling in an lCP source can be reduced by a Faraday shield
between the rf coil and plasma. However, some capacitive coupling may be
needed to initiate breakdown in the plasma. Plasma and process uniformity
in lCP sources are controlled by the location of the rf coils, the gas feed, and
the overall source geometry.

2.8.4 ECR Sources


Electron cyclotron resonance (ECR) [74] sources were the first high-density
sources to be widely used in thin film processing tools. The plasma parame-
ters and operating pressure regime of ECR sources are similar to lCP sources.
ECR sources typically operate with a microwave frequency of 2.45 GHz
('" 12 cm free space wavelength), identical to that of consumer microwave
ovens. Microwave power is carried by a waveguide and coupled to the plasma
through a dielectric wall at the end of the plasma column (Fig. 2.11). Match-
ing in an ECR source is usually accomplished by a three-stub tuner. The
microwave energy propagates through the plasma as a "whistler" wave [61],
whose 1-2cm wavelength in the plasma is much smaller than a typical plasma
size. Power absorption in the plasma occurs at the location where the electron
cyclotron resonance (ECR) condition is approximately satisfied, i.e., where
w ~ Wee (2.15). The power absorption is spatially localized and determined
by the magnetic field. Near the electron cyclotron resonance, the cyclotron
motion of the electrons is in phase with the rotating electric field of the ECR
wave, allowing the wave energy to be efficiently absorbed by the electrons.
Microwave power in ECR sources is absorbed by superthermal electrons with
velocities v > 2.5vte and energies E > 6kB T e /e. Electrons at these ener-
gies still rapidly exchange energy with the bulk of the electron distribution
function.
62 J .E. Stevens
EC R
Plasma TUllcr
Source Coupler
,------''----,-YLr'Y-=___ Microwa ve
Diclectric Window I-----"-.:Y Su ppl Y
B=O.0875 T

,..----'--r---+ BCl)

ub, lralC
Electrode

Fig. 2.11. ECR plasma source.

A major difference between ECR and rcp sources is that ECR sources
require a dc magnetic field in the plasma region in order for the whistler
wave to propagate and satisfy the ECR resonance condition. The magnetic
field equals 0.0875 T (875 G) at the ECR resonance location for the standard
2.45 GHz microwave frequency. The magnetic field must be above 0.0875 T in
the region where the whistler wave propagates. Another difference from rcp
sources is that the rf power in an ECR source can be deposited well away
from the vessel walls, because the power is carried into the plasma by the
whistler wave.

2.8.5 Helicon Sources

Helicon [75,76] sources are a second type of wave source that are used in
thin film processing tools. The helicon mode is closely related to the ECR
mode and is described by the same equations. One difference is that helicon
sources are operated at frequencies well below the ECR resonance frequency,
i.e., W « Wee. A second difference is that helicon wavelengths are comparable
to the size of the plasma so that boundary effects are important for describ-
ing the mode structure of the rf fields in the plasma. The plasma parameters
of helicon sources are similar to the rcp and ECR sources, although helicon
plasmas can be made much denser if the plasma radius is reduced commen-
surately. Helicon sources often operate with an rf frequency of 13.56 MHz
and the magnetic field is typically 0.002-0.03T (20-300G) . Helicon sources
2 Plasma Fundamentals for Materials Processing 63

traditionally couple power through the outside of a cylindrical dielectric wall


surrounding the plasma, using an antenna coil wound around the plasma col-
umn. The parallel and azimuthal antenna current windings are designed to
match the direction of the helicon mode currents in the plasma. It is also pos-
sible to make helicon sources where the power is coupled from coils located
at the end of the plasma column [77]. Helicon modes are also launched from
capacitively coupled electrodes which are located in a magnetic field, such as
an rf biased chuck in an ECR tool [78]. Helicon sources use match networks
similar to those of ICP sources.
Power absorption in a helicon source is not localized and has been found
to progress through three stages (capacitive, inductive, wave) as rf power
and plasma density is increased [79]. The mechanism for rf power absorption
in helicons is still under investigation. Landau damping was first postulated
[80] as an absorption mechanism for helicon sources operating at typical mag-
netic fields of 100-300 G. Landau damping occurs when the electron velocity
matches the phase velocity of the wave, i.e., w/k ll ::::::: Ve. Strong helicon wave
absorption at these magnitic fields requires a population of superthermal elec-
trons with energies of hundreds of eV, perhaps trapped in the wave trough
[79]. Helicon sources, operating at low magnetic fields «50 G), absorb power
by the classical mechanisms of collisional damping and collisionless wave-
particle (Landau) damping [77].

2.8.6 Wave Sources

Helicon and ECR sources are classified as wave sources and both require a
magnetic field for the wave to propagate. The magnetic field in wave sources
can be both an advantage and a disadvantage. Wave sources tend to have
slightly higher densities than ICP sources due to the confining effects of the
magnetic field. In addition, power deposition remote from the vessel walls
can be an advantage. However, careful source design is required to achieve
good plasma uniformity, because plasma density profiles tend to be frozen
in by the confining effects of the magnetic field. Plasma uniformity is an
important issue because damage to transistor gates has been attributed to
nonuniform plasma density and temperature at the substrate surface [81,82].
Modifications to the magnetic field shape, such as flaring the field with ad-
ditional trim coils or adding permanent magnets around the substrate have
been used in some wave sources to improve the plasma uniformity. Finally,
magnetic fields represent an additional complexity in both the equipment and
in the understanding of the source operation.

2.8.7 Downstream Sources

Plasma charging damage to transistor gate oxides is a significant problem


in CMOS processing. Gate oxide thicknesses are presently in the 35-100 A
64 J .E. Stevens

range, where voltage differences of less than 10 V are enough to cause break-
down. Voltage differences of this magnitude can occur across the wafer in
plasma reactors if the plasmas are nonuniform. In such cases, ion and elec-
tron currents may be out of balance locally, causing current to flow through
the gate oxides to other parts of the wafer and damaging the gate oxides in the
process [81]. There are many applications, such as isotropic etching and pho-
toresist stripping, where plasmas are useful for generating reactive species,
but where it is not necessary or desirable to have plasma in contact with the
wafer. Downstream plasma sources, also called chemical downstream reac-
tors, are useful for such applications because they minimize plasma charging
damage [83]. These sources are similar to flowing-afterglow plasmas used for
research applications [84].

2.45 GHz Condu ling Discharge

Variable Valve

Fig. 2.12. Downstream plasma reactor.

A generic chemical downstream reactor is shown schematically in Fig. 2.12


[85]. The plasma source consists of a few centimeter diameter dielectric tube
excited by either a 2.45 GHz waveguide or an Iep coil. Gas flowing through
the tube is dissociated and ionized by electron- neutral collisions in the plasma
formed in the region of intense microwave fields. The high pressure
(O.I-10Torr) and small diameter of the tube leads to rapid recombination
of the charged plasma species away from the plasma source. However, the
gas molecules are efficiently dissociated in the plasma source and produce
high concentrations of chemically active radicals. Typical reactive species in-
clude atomic fluorine for isotropic polysilicon etching (NF 3 -+ N +3F) and
oxygen for resist stripping (0 2 -+ 20). These neutral radicals flow much fur-
ther than the charged particles before recombining. The walls of the transfer
2 Plasma Fundamentals for Materials Processing 65

tube are made of materials such as Teflon TM, AI, and Ah03 to reduce chem-
ical recombination. Rapid isotropic chemical etching takes place at the wafer
due to the flow of these radicals over the wafer. The reaction chamber is lo-
cated sufficiently far from the plasma so that the wafer does not experience
any charged particles or UV radiation that could damage sensitive devices.
Plasmas are widely used in the thin film processing industry for etch-
ing, deposition, and other applications. The plasma source for each specific
process needs to be optimized to generate the desired reactive species and
energetic ions, while controlling process properties such as process rate, uni-
formity, selectivity, substrate damage, and unwanted particle generation. No
one plasma source works for all applications. The success of a particular
design depends not only on the plasma characteristics but also on many en-
gineering details of the chamber design. The brief survey of plasma sources
provided here is by no means inclusive and new sources or improvements to
old sources are constantly being made.

Acknowledgements. Comments and discussions with Matt Blain, Linda


Cecchi, Greg Hebner, Rob Jarecki, Chris Nichols, and Joe Woodworth were
greatly appreciated. This work was supported by Sandia National Laborato-
ries, a multi program laboratory operated by Sandia Corporation, a Lockheed
Martin Company, for the United States Department of Energy under Con-
tract DE-AC04-94AL85000.

References
1. F. Chen, Introduction to Plasma Physics, (Plenum Press, New York, 1974).
2. N.A. Krall, and A.W. Trivelpiece, Principals of Plasma Physics, (McGraw Hill,
New York, 1973).
3. B.S. Tanenbaum, Plasma Physics, (McGraw Hill, New York, 1967).
4. B. Chapman, Glow Discharge Processes, (John Wiley & Sons, New York, 1980).
5. M.A. Lieberman, and A.J. Lichtenberg, Principles of Plasma Discharges and
Materials Processing, (John Wiley & Sons, New York, 1994).
6. J.L. Cecchi, Introduction to Plasma Concepts and Discharge Configurations,
in: S. Rossnagel, J. Cuomo, and W. Westwood (eds.) Handbook of Plasma
Pmcessing Technology, (Noyes Publicaitons, Park Ridge, NJ, 1989).
7. D. Manos, and D. Flamm (eds.), Plasma Etching, (Academic Press, San Diego,
1979).
8. O. Popov (ed.), High Density Plasma Sources, (Noyes Publications, Park Ridge,
NJ,1995).
9. J. Vossen, and W. Kern (eds.), Thin Film Processes II, (Academic Press Inc.,
New York, 1991).
10. W.l\L Hooke, and R.E. Fauber, in: G. Bonizzoni, W. Hooke, and E. Sindoni
(eds.) Pmc. of the Course and Workshop on Industrial Applications of Plasma
Physics, Sept. 1992, Varenna, Italy, Editrice Compositori, Bologna, Italy, 3-14.
11. D.L. Book, NRL Plasma Formulary, (Naval Research Laboratory, Washington,
DC, NRL Pub.No. 0084-4040, 1987).
66 J.E. Stevens

12. H. Massey, Atomic and Molecular Collisions, (Taylor and Francis Ltd., London,
1979).
13. D. Rapp, and P. Englander-Golden, J. Chern. Phys. 43, 1464--1479 (1965).
14. E. Eggarter, J. Chern. Phys. 62, 833-847 (1975).
15. A. von Engel, Ionized Gases, (Oxford Univ. Press, London, 1965).
16. W.L. Wiese, and G.A. Martin, in: H. Anderson (ed.) A Physicists Desk Refer-
ence, (American Inst. Physics, New York, 1989),92-103.
17. F.M. Penning, Naturwiss. 15, 818 (1927).
18. V.A. Godyak, and N. Sternberg, IEEE Trans. Plasma Sci. 18, 159-168 (1990).
19. P.R. Smy, Adv. Plasma Phys. 25, 517-553 (1976).
20. W.M. Hooke, S.P. Bozeman, and J.W. Olesik, in: G. Bonizzoni, W. Hooke, and
E. Sindoni (eds.) Pmc. of the Course and Workshop on Industrial Applications
of Plasma Physics, Sept. 1992, Varenna, Italy, Editrice Compositori, Bologna,
Italy, 33-46.
21. G.A. Hebner, J. Vac. Sci. Technol. A14, 2158-2162 (1996).
22. C.B. Fleddermann, and G.A. Hebner, J. Vac. Sci. Technol. A15, 1955-1962
(1997).
23. D. Smith, A.G. Dean, and N.G. Adams, J. Phys. D: Appl. Phys. 7, 1944-1962
(1974).
24. J.R. Hollahan, and A.T. Bell, Techniques and Applications of Plasma Chem-
istry, (John Wiley & Sons, New York, 1974).
25. R.N. Franklin, Plasma Phenomena in Gas Discharges, (Clarendon Press,
Oxford, 1976).
26. M.J. Druyvesteyn, and F.M. Penning, Rev. Modern Phys. 12, 88-174 (1940).
27. D. Bohm, The Characteristics of Electrical Discharges in Magnetic Fields. in:
A. Guthrie, and R.K. Wakerling (eds.) (McGraw-Hill, New York, 1949), 77-86.
28. K.U. Riemann, J. Phys. D: Appl. Phys. 24, 493-518 (1991).
29. J.A. Meyer, G.H. Kim, M.J. Goeckner, and N. Hershkowitz, Plasma Sources
Sci. Technol. 1, 147-150 (1992).
30. G.A. Hebner, K.E. Greenberg, and M.E. Riley, J. Appl. Phys. 76, 4036-4044
(1994).
31. J.R. Woodworth, M.E. Riley, P.A. Miller, and G.A. Hebner, J. Appl. Phys. 81,
5950-5959 (1997).
32. E.A. Den Hartog, H. Persing, and R.C. Woods, Appl. Phys. Lett. 57, 661-663
(1990).
33. T. Nakano, N. Sadeghi, and R.A. Gottscho, Appl. Phys. Lett. 58, 458-460
(1991).
34. N. Sadeghi, T. Nakano, D. Trevor, and R.A. Gottscho, J. Appl. Phys. 70,
2552-2569 (1991).
35. M. Hemenway, R. Henry, and M. Caultron, Physical Electronics, (J. Wiley, New
York, 1967).
36. V. Godyak, R. Piejak, and N. Sternberg, IEEE Trans. Plasma Sci. 21,378-382
(1993).
37. J.B. Caughman, and W.M. Holber, J. Vac. Sci. Technol. A9, 3113-3118 (1991).
38. Y. Ohtsu, y. Okuno, and H. Fujita, Jpn. J. Appl. Phys. 32,2873-2877 (1993).
39. T. Mantei, J. Electrochem Soc. 130, 1958-1959 (1983).
40. K. Kohler, D.E. Horne, and J.W. Coburn, J. Appl. Phys. 58,3350-3355 (1985).
41. W.M. Holber, J. Forster, J. Vac. Sci. Technol. A8, 3720-3725 (1990).
42. J. Woodworth, Sandia Nat. Labs., private communication.
2 Plasma Fundamentals for Materials Processing 67

43. P.A. Miller, G.A. Hebner, K.E. Greenberg, P.D. Pochan, and B.P. Aragon,
J. Res. Natl. Inst. Stand. Technol. 100,427-439 (1995).
44. H.R. Koenig, and L.I. Maissel, IBM J. Res. Develop. 14, 168-171 (1970).
45. C.B. Zarowin, J. Vac. Sci. Technol. A2, 1537-1549 (1984).
46. R.A. Gottscho, G. Scheller, D. Stoneback, J. Appl. Phys. 66,492-500 (1989).
47. J.W. Coburn, and E. Kay, J. Appl. Phys. 43, 4965-4971 (1972).
48. C.M. Horwitz, J. Vac. Sci. Technol. AI, 60-68 (1983).
49. K. Kohler, J.W. Coburn, D.E. Horne, E. Kay, and J.H. Keller, J. Appl. Phys.
57,59-66 (1985).
50. P. Miller, H. Anderson, and M.P. Splichal, J. Appl. Phys. 71, 1171-1176 (1992).
51. D. Smith, and M.J. Church, Int. J. Mass Spectrom. Ion Phys. 19, 185-200
(1976).
52. S.C. Brown, Basic Data of Plasma Physics, 2nd edn., (The MIT Press, Cam-
bridge, MA 1966).
53. C.C. Tsai, L.A. Berry, et al., J. Vac. Sci. Technol. A8, 2900-2904 (1990).
54. T. Mantei, and T. Ryle, J. Vac. Sci. Technol. B9, 29-33 (1991).
55. J. Asmussen, in: O. Popov (ed.) High Density Plasma Sources, (Noyes Publi-
cations, Park Ridge, NJ, 1995),251 311.
56. J. Pelletier, in: O. Popov (ed.) High Density Plasma Sources, (Noyes Publica-
tions, Park Ridge, NJ, 1995), 380 425.
57. S.V. Nguyen, G. Chrisman, D. Dobuzinsky, and D. Harmon, Solid State Tech-
nol. 33(10),73-77 (1990).
58. see papers contained in: Special Issue on Modeling and Simulation of Collisional
Low-Temperature Plasmas, C.H. Wu, M. Meyyappan, and D.J. Economou
(eds.), IEEE Trans. Plasma Sci. 23,501-787 (1995).
59. E. Meeks, and J.W. Shon, IEEE Trans. Plasma Sci. 23, 539-549 (1995).
60. M.A. Lieberman, and R.A. Gottscho, Design of High Density Plasma Sources
for Mater·ials Processing. in: M.H. Francombe, and J.L. Vossen (eds.) Physics
of Thin Films, Vol. 18, (Academic Press, San Diego, 1994), 1-119.
61. T.H. Stix, Waves in Plasmas, (American Inst. Phys., New York, 1992).
62. J. Hopwood, Plasma Sources Sci. Technol. 1, 109-116 (1992).
63. ,I.E. Stevens, M.J. Sowa, and J.L. Cecchi, J. Vac. Sci. Technol. A14, 139-143
(1996).
64. V.A. Godyak, R.B. Piejak, and B.M. Alexandrovich, IEEE Trans. Plasma Sci.
19,660-676 (1991).
65. M.J. Kushner, IEEE Trans. Plasma Sci. PS-14, 188-196 (1986).
66. B. Andries, G. Ravel, and L. Peccoud, J. Vac. Sci. Technol. A7, 2774-2783
(1989).
67. J.W. Butterbaugh, L.D. Baston, and H.H. Sawin, J. Vac. Sci. Technol. A8,
916-923 (1990).
68. M.V. Malyshev, V.M. Donnelly, A. Kornblit, and N.A. Ciampa, J. Appl. Phys.
84,137-146 (1998).
69. Y. Horike, K. Kubota, H. Shindo, and T. Fukasawa, J. Vac. Sci. Technol. A13,
801-809 (1995).
70. M.I. Boulos, Pure Appl. Chern. 57, 1321-1352 (1985).
71. J. Keller, J. Forster, and M. Barnes, J. Vac. Sci. Technol. All, 2487-2491
(1993).
72. J.P. Holland, B. Richardson, E. Bogle, W. Li, Y. Melaku, H.T. Nguyen,
E. Peltzer, and D.C. Gates, Proc. SPIE 1803, 258-270 (1992).
68 J.E. Stevens

73. J. Marks, K. Collins, C.L. Yang, D. Groechel, P. Keswick, C. Cunningham, and


M. Carlson, Proc. SPIE 1803, 235-247 (1992).
74. K. Suzuki, S. Okudaira, N. Sakudo, and I. Kanomato, Jpn. J. App!. Phys. 16,
1979-1984 (1977).
75. RW. Boswell, and F.F. Chen, IEEE Trans. Plasma Sci. 25, 1229-1244 (1997).
76. F.F. Chen, and R.W. Boswell, IEEE Trans. Plasma Sci. 25, 1245-1257 (1997).
77. J.E. Stevens, M.J. Sowa, and J.L. Cecchi, J. Vac. Sci. Techno!. A13, 2476-2482
(1995).
78. J.L. Cecchi, J.E. Stevens, C.W. Cheah, Y.C. Huang, RL. Jarecki, and
C. Zuiker, 40th AVS Symp., Orlando, PS-ThA6 (1993).
79. A.R Ellingboe, and RW. Boswell, Phys. Plasmas 3, 2797-2804 (1996).
80. F.F. Chen, Australian National Univ. Report ANU-PRL IR 85/12 (1985).
81. S. Fang, and J. McVittie, IEEE Electron Device Lett. 13,347-349 (1992).
82. K.P. Cheung, and C.P. Chang, J. App. Phys. 75, 4415-4425 (1994).
83. J.M. Cook, Solid State Techno!. 30(4), 147-151 (1987).
84. D. Smith, N.G. Adams, A.G. Dean, and M.J. Church, J. Phys. D, App!. Phys.
8, 141-152 (1975).
85. M.G. Blain, T.L. Meisenheimer, and J.E. Stevens, J. Vac. Sci. Techno!. A14,
2151-2157 (1996).
3 Plasma Modeling

E. Meeks and P. Ho

3.1 Introduction

Over the last 5-10 years, physically based computer simulations have become
widely used in many aspects of the microelectronics industry. Fluid dynam-
ics simulations, for example, routinely aid in the design of reactor geometry,
pump ports, and inlet manifolds. Numerical heat transfer simulations provide
guidance in the design of rapid-thermal process chambers and batch furnaces.
For thermal chemical vapor deposition systems, chemistry modeling is also
widely accepted as a useful tool in reactor design and process optimization.
In the area of plasma processing, modeling as a design tool is less well es-
tablished. However, the use of plasma simulation has grown considerably in
recent years, despite some of the limitations of available plasma models. As
plasma simulation tools become more robust and accessible to the non-expert
user, and as the applications for nonequilibrium plasmas become more abun-
dant, modeling will become increasingly important in the design of tools and
processes.
For the purposes of this discussion, a plasma model is a computer pro-
gram that numerically solves a system of equations describing the physics
and chemistry occurring in the plasma. The models generally describe the
conservation of mass, energy, and charge, as well as transformations among
chemical species. The governing equations for such models can be derived
fundamentally from the general Boltzmann equation describing probability
distributions of individual species in velocity space, subject to collisions and
external forces, and from the set of Maxwell equations describing electro-
magnetic field interactions. (See, for example, derivations by Mitchner and
Kruger [1]).
This chapter presents a variety of plasma models as described in the liter-
ature, and discusses their capabilities and limitations. Recommendations are
given concerning the level of model sophistication needed to address different
issues in reactor and process design. We then provide examples of how plasma
simulation has been employed both in reactor design and in process design,
and discuss the future direction and challenges for plasma reactor model-
ing.

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
70 E. Meeks and P. Ho

3.2 Historical Perspective

Until quite recently, plasma simulation has resided primarily in the domain
of academia and national research laboratories. Nonetheless, the evolution of
nonequilibrium plasma-processing models has tracked the evolution of plasma
technology employed in the microelectronics industry. Early studies focused
on capacitively coupled rf parallel-plate reactors that were used to anisotrop-
ically etch features in integrated circuits. These diode reactors were typically
modeled as a one-dimensional discharge between the cathode and anode [2-4].
Research centered on the challenges of resolving the rf cycle in a computa-
tionally efficient manner, and the self-consistent coupling of electromagnetic
field equations and continuum conservation equations. As computer speed in-
creased, modeling these discharges in two dimensions also became reasonable
with simple gases. Physical (rather than chemical) processes were thought to
dominate in these etching reactors. Thus, models focused on understanding
the interaction of the charged species and the electromagnetic fields to deter-
mine the bombardment energy and flux of ions colliding with a wafer placed
near the cathode.
The needs ofthe microelectronics industry for more accurate etching of, or
deposition into, ever-smaller features placed new requirements on processes
and reactors. In addition to changes in the features processed directly by
the plasma, the need to reduce plasma damage to pre-existing structures
on the wafer also became more acute. These requirements have led to new
types of plasma reactors. Today, the dominant reactor type is the induc-
tively coupled, so-called (HDP) high-density plasma reactor. These reactors
are characterized by low pressure operation (2-20 mTorr) and plasma densi-
ties in the range of 1 x 1011 to 1 X 10 12 cm -3. Other types of "high-density"
plasma reactors include the helicon, helical resonator, and electron cyclotron
resonance reactors. The key advantage of HDP reactors is that the genera-
tion of charged species is relatively independent of the determination of ion
energies as they impact the wafer surface. The charged-species generation is
controlled primarily by externally induced electric fields, while the ion en-
ergy is determined by a separate rf bias to the wafer surface. This allows
the achievement of high process rates without the loss of selectivity between
mask and etched materials caused by high ion-energy sputtering. In addi-
tion, the low-pressure operation effectively eliminates collisions between ions
and the neutral gas within the accelerating rf sheath, providing a more di-
rectional ion flux to the wafer surface and therefore more fidelity in etching
critical-dimension features.
As commercial reactors changed, the plasma modeling research commu-
nity also focused considerable attention on the simulation of HDP reactors.
The lower-pressure operation of these reactors, compared to the conventional
parallel-plate reactors, made issues of kinetic (free molecular flow) versus con-
tinuum (fluid flow) modeling approaches more important. However, detailed
resolution of the sheath physics was less critical to understanding the plasma
3 Plasma Modeling 71

behavior. This is due to the lack of collisions in the plasma sheath and the
fact that the generation of charged and reactive species occurs primarily in
the plasma bulk rather than in the rf-driven sheath.
Another important aspect of achieving high process rates without high
ion energies is the use of reagent gases that produce highly reactive radicals
when decomposed in a plasma. For etching or filling of microscopic features,
ion-enhanced chemical etching or reactive ion etching provides both high
process rates as well as anisotropy due to the directionality of the ion flux.
In such processes the ion bombardment either facilitates a chemical process
between neutral radicals and the surface, or contributes to chemical processes
through the arrival of a reactive ion. With the complexity of these processes
and the synergism between the ion and neutral contributions, researchers
are increasingly concerned with the detailed composition of the plasma, the
nature of the species flux to the wafer, and the surface reactions occurring at
the plasma/surface interface.
It is now generally recognized that the kinetics of competing chemical
reactions that occur within a plasma-deposition or plasma-etch chamber can
affect almost every metric of a wafer process. Especially in the low-pressure
(2-20mTorr) plasma reactors, where transport processes are fast, gas-phase
and surface kinetics often dominate the determination of etch or deposition
rates, etch or deposition uniformity, etch selectivity, and profile evolution.
Parasitic chemical reactions, such as wall deposition or wall "conditioning",
can control the robustness, reproducibility, and operating margin of a process.
In addition to these direct process performance measures, the chemistry in a
plasma reactor controls the reactor emissions, the need for abatement of the
reactor exhaust, gas-utilization requirements, and the frequency and method
of reactor cleaning. These latter effects can be important in determining both
direct and indirect costs of reactor ownership.

3.3 Plasma Modeling Issues

Models of plasma processing reactors can be categorized in several different


ways, including the model dimensionality, use of a kinetic versus continuum
approach to describe the plasma-governing equations, treatment of electron
kinetics, extent to which electromagnetic field equations are solved, and nu-
merical solution algorithms. At the current state of the art, there is no single
plasma model that can address all aspects of a plasma reactor and return the
performance metrics as a function of the process input parameters in a rea-
sonable amount of computer time. Although that may well be the long-term
goal of plasma modeling research, today's analyst must make approximations
and compromises, and be able to choose appropriate models for obtaining the
targeted information about the plasma, the reactor design, or the process.
Figure 3.1 shows schematically the major physical and chemical processes
that occur within a plasma processing reactor. The schematic shows an induc-
72 E. Meeks and P. Ho

ELECTRODYNAMICS

_.
GAS KINETICS
@>
0·· l ..•
E ..
•• @> __ ~+ DIELECTRIC

•••
. . - - _--'-
R"-
F

SURFACE KINETICS
TRANSPORT

f+ {
~
I + SIF4

l,-",~t" .
IONS

-4-0 NEUTRALS
I
"««<SI ~««««

TIME EVOLUTION

Fig. 3.1. Schematic of the dominant processes in a typical high-density plasma-etch


reactor.

tively coupled plasma (ICP) reactor with a top coil that induces an azimuthal
electric field, which then accelerates electrons within the plasma gas. Due to
computational resource restrictions, plasma models will generally treat a few
of these processes very well , but use rough approximations for the other
processes. The information desired about a process will determine which of
these models is most appropriate. As the cost of computer speed and mem-
ory continue to decline, simulations will become more inclusive of the detailed
physical and chemical processes.
The time and effort required to obtain a reasonable solution to a plasma
simulation are often the limiting factors. For this reason, we will discuss the
different levels of plasma models from the simplest to the most complex. To
first order, the required computational time correlates with the dimension-
ality of the model, since this determines the number of equations solved per
chemical species. A simulation that treats transport issues in two or three
dimensions must therefore include simplified chemistry to avoid excessive
computer time. Conversely, simulations that include detailed plasma kinetics
and surface chemistry generally must use a simplified description of the trans-
port in the reactor. Here, we will begin by discussing the types of applications
that can best be addressed with each level of model. Within that discussion,
we consider other modeling issues and choices that may be made in limiting
or expanding the model accuracy and complexity. Table 3.1 summarizes the
types of models that are most appropriate for investigating specific issues.
3 Plasma Modeling 73

Table 3.1. Applicability of different levels of plasma models.

Application O-D I-D 2-D 3-D Profile Detailed


kinetics
Identify plasma effluents x x +
Effect of reactor operating parameters x +
on etch/deposition rates
Effect of operating parameters on etch x +
selectivity
Effect of operating parameters on x +
etch/deposition rate uniformity
Effect of pump-port asymmetries x
Design of geometry details in reactor x x
Etch profile fidelity + x +
Deposition step coverage + x +
Aspect-ratio dependence of feature + x +
profile
Across wafer uniformity of feature + x +
profile
Plasma abatement x x +
Chemical downstream etching x x +
Coil design x x
Effect of inlet location x x
x =
a good application for this level of model
+ = coupling of the primary model with this model will be important for this
application

3.3.1 Well Mixed Reactor Models and Applications (O-D)


The plasma model with the lowest "dimensionality" uses a "global" or well
mixed reactor approach to describe the governing equations. In this case,
equations describe global conservation of species, mass, and energy over the
volume of a reactor, but effects of diffusion and convection within the reactor
are neglected. There are many examples of this type of model in the literature
[5-11]. A schematic of the well mixed reactor model is shown in Fig. 3.2. These
compact models are valid when transport processes are very fast, such that
competing kinetic processes are rate-limiting in the reactor.
Such models generally provide no information about plasma or wafer uni-
formity, but can still be quite useful in a variety of process engineering ap-
plications. Well mixed reactor models may be used to investigate first-order
effects of changing reactor operating parameters, including pressure, plasma
power, reagent gas ratios, and total flow rates. They may also be employed
during reactor design to evaluate the effects of reactor volume and surface
area. With sufficiently detailed chemistry mechanism data, the well mixed re-
actor model can provide information about reagent gas utilization, emission
rates and identity of effluent species, wafer etch or deposition rates, wall ero-
74 E. Meeks and P. Ho

Volume
Area
Pressure

depositlon
or etch of
materials

Fig. 3.2. Schematic of a well mixed reactor model. The model balances inflow, net
production rates within the reactor and net power deposition against outflow and
net losses to the environment and to the walls of the chamber. The reactor geometry
is characterized by a volume and surface area.

sion or deposition rates, and etch selectivity. Such models are well suited for
optimizing plasma-cleaning processes, for reducing greenhouse gas emissions
from plasma etch reactors, and for designing systems for plasma abatement
of effluent gases. O-D models can also be used to investigate effects of loading
in the reactor by etch products ("macroloading") and redeposition of dissoci-
ated etch-products on reactor surfaces. Although well mixed reactor models
do not provide information about critical plasma-etch performance charac-
teristics within microfeatures, they can be used to generate ion and neutral
flux boundary conditions for coupling to topography simulators.
The validity of the well mixed reactor model can be determined by exam-
ining relative time scales in the reactor. The reduced-geometry model assumes
that transport processes are much faster than kinetic processes. Comparison
of a diffusion time scale and a reaction time scale for transport and produc-
tion of critical species can be used to verify this assumption (i.e. examination
of the Damk6hler number) [7J. A critical species may be a deposition pre-
cursor, or the dominant ion in the system. For very low pressure systems
(millitorr reactor pressures) , the model assumptions are usually quite good.
One of the main issues that arise in modeling plasma systems as well
mixed reactors is the treatment of the electron energy distribution function
(EEDF). In the presence of local electromagnetic fields in nonequilibrium
plasmas, the velocity distribution function of the electrons may be far from
a Maxwell-Boltzmann distribution. The classical Maxwell- Boltzmann distri-
bution results only when there are sufficient electron-electron collisions to
equilibrate the electron swarm. A non-Maxwellian distribution may result in
a larger number of high-energy electrons in the "tail" of the distribution.
These high-energy electrons can cause electron-driven processes to proceed
more quickly than would be predicted by a Maxwellian distribution. Unfor-
3 Plasma Modeling 75

tunately, the high-energy tail of the EEDF in the low pressure reactors of
current interest is very difficult to resolve experimentally. To the extent that
the EEDF has been measured in Iep reactors, for example, the distribution
function appears to be very nearly Maxwellian [12]. For this reason, and be-
cause of the model simplicity it offers, plasma models (both well mixed and
higher-dimensional models) often assume Maxwellian distribution functions
for the electrons. We note that, in order to resolve the EEDF computation-
ally, a model must solve the Boltzmann equation using local electric fields
as driving functions. The well mixed reactor model, however, does not pro-
vide these electric fields because the model does not solve the local transport
equations. Approximating the electric field in order to solve the Boltzmann
equation may introduce as much uncertainty as the simpler assumption of
a Maxwellian distribution function, but at much greater computational ex-
pense. Also, as the discussion below will demonstrate, the Maxwellian approx-
imation is likely to be much less significant than the approximations often
necessary in estimating kinetic parameters, such as electron-impact cross sec-
tions or gas-surface reaction-rate coefficients. For most applications, models
assuming Maxwellian EEDFs are likely to be just as valid, qualitatively, as
those including more detailed descriptions of the electron kinetics.
Another issue that arises with the well mixed reactor model formula-
tion is the treatment of the plasma sheath. As discussed above, the basic
assumption of the well mixed reactor model is that the dominant processes
in the reactor are kinetically limited rather than transport limited. Within
the plasma sheath, however, transport limitations are quite important for
determining the ion fluxes to the surface. For this reason, some modifications
of the model formulation are necessary. To fully resolve the plasma sheath
one would have to solve Poisson's equation together with electron and ion
momentum equations on a fine grid near plasma-surface interfaces. Even for
higher-dimensional models, however, the resolution of the plasma sheath is
rarely done in high-density plasma simulations other than through use of a
coarse grid. For these low-pressure systems, the sheath is nearly collision-
less and classical sheath models provide a good approximation to the sheath
physics. In classical descriptions, the Bohm flux criteria establishes the max-
imum ion flux for positive ions accelerating through a negatively charged
plasma sheath. When negative ions are present, the Bohm flux can be cor-
rected to account for the heavy negative-charge carriers [13]. The other issue
in plasma sheath models is the degree to which they account for the modu-
lation of the ion current and energy due to an applied rf bias. The simplest
model of an applied rf bias approximates the average ion energy as the total
bias power divided by the total ion current to that surface [14]. Alternatively,
the reactor model may be coupled to more rigorous rf sheath models that de-
termine not only the average ion energy, but also the ion energy distribution
function and the mean ion energy as a function of time [15-19]. The more
rigorous sheath models may add significant computational time to the sim-
76 E. Meeks and P. Ho

ulation and require detailed knowledge about the rf circuit elements, which
are often difficult to determine. However, for accurate coupling to profile sim-
ulations discussed further in Sect. 3.3.5, the detailed knowledge gained from
such sheath models is often necessary.

3.3.2 One-Dimensional Models and Applications

Historically, there has been much use of 1-D rf plasma models for simulating
parallel-plate or diode reactors, which represent some of the first generation
plasma etching tools. A schematic of a 1-D rf diode model is shown in Fig. 3.3.
Details about such models have been summarized and discussed in several
review articles in the literature [2- 4,20J. In addition to 1-D rf plasma diode
models, there are also some important applications of a different type of one-
dimensional plasma model in the microelectronics industry, as illustrated in
Fig. 3.4. Applications of one-dimensional plasma-flow models that involve
the geometry shown in Fig. 3.4 include the simulation of plasma abatement
processes, chemical-downstream plasma processes, and attenuation and re-
combination of plasma effluents. In these applications, the "plasma" is typi-

sheath

[ Bulk Plasma

1
sheath

l~ Fig. 3.3. Schematic of a rf diode


reactor as modeled in one dimen-
sion.

Fig. 3.4. Schematic of a one-dimensional plasma flow model where plug-flow con-
ditions are assumed.
3 Plasma Modeling 77

cally flowing through a circular channel with a significant convective velocity


such that either plug-flow or boundary-layer approximations are appropri-
ate. In chemical downstream etch systems and in modeling the evolution of
plasma effluents, a one-dimensional model can track the transition of the flow
from an excited plasma to a neutral gas. Such models of recombining plas-
mas must include both gas and surface recombination of ions, electrons, and
neutral species. In plasma abatement systems, inductive coils are typically
applied to the outside of a quartz tube, through which the plasma efflu-
ent flows. Models of such systems must include the plasma power deposition,
which typically has significant radial peaks. However, for studying abatement
efficiency, the models usually do not need to include details of radial gradi-
ents within the plasma region. Plug-flow models are therefore often sufficient.
One-dimensional models can also be used as an intermediary between well
mixed reactor models and 2-D or 3-D plasma models. The one-dimensional
model can be used to determine whether or not transport processes are im-
portant, or to verify assumptions of plasma uniformity in well mixed reactor
models. They can test reaction mechanisms and transport property values
before incorporation into full 2-D or 3-D modeling.
One-dimensional, continuum descriptions of the plasma solve discretized
species conservation equations for ions and electrons. Ion and electron mo-
mentum equations should also be solved, although some models use a drift-
diffusion approximation to reduce these differential equations to algebraic
relationships. Solution of Poisson's equation is necessary for self-consistency
between the motion of the charged species and the determination of the
plasma electric fields. Often a quasineutral assumption is invoked instead,
which assumes charge neutrality everywhere in the plasma. This is sufficient
for the plasma 'bulk' but is not a good representation of the sheath region, so
the validity of this approach depends on the size and influence of the sheath
region relative to the plasma properties of interest. Invoking the quasineutral
assumption eliminates the need to solve Poisson's equation and the electron
species equation. The electron momentum equation can be combined with
the ion momentum equation to provide an approximation of the local am-
bipolar electrostatic field [21]. In addition to the species, momentum, and
field equations, the continuum approach should include an electron energy
equation. Although the ions and neutrals may be in near thermal equilibrium,
the nonequilibrium plasma is characterized by a much elevated electron tem-
perature (2-5 eV). The determination of the electron energy and the species
conservation equations should be closely coupled numerically to reflect the
close physical coupling between power deposited as energy to the electrons
and electron-impact ionization and dissociation, which sustains the plasma.
Detailed comparisons of these different approaches for a model problem, in-
cluding experimental measurements, have been presented in the literature
[20].
78 E. Meeks and P. Ho

For 1-D parallel plate reactor models, there are several modeling issues
that distinguish one model from another, in addition to the choice of equa-
tions to be solved. One issue is grid refinement, which can be particularly
important for full coupling to Poisson's equation in the sheath region. An-
other area of difference between model approaches is the treatment of bound-
ary conditions on the plasma species conservation equations. A well posed
boundary condition matches the flux of the species to the net species loss or
production at the surface due to gas-surface kinetics, current flow, or emis-
sion. Often, for simplicity, models assume a zero-density condition for the
electrons and ions, to approximate a highly catalytic surface. Such a bound-
ary condition can lead to unrealistic results and solution singularities, so
must be used with caution. Assumptions about or determination of the elec-
tron energy distribution function also vary from model to model. Here again,
there is usually a compromise between computational expense and accuracy
or self-consistency. At one end of the spectrum, particle-in-cell methods solve
the Boltzmann equation directly instead of solving moment equations. In
this way, electron energy distribution functions can be solved self-consistently
with the electric fields. At the pressures typical of diode reactors (hundreds of
millitorr to a few Torr), a local field approximation is often a good alternative
approach. With this assumption, the EEDF can be correlated a priori with
the local electric fields to provide reaction rates that depend either on the
local electric field divided by the local neutral density or on a mean electron
temperature. Finally, at the moderate pressures that are typical for rf diode
reactors, treatment of transport properties for ions, electrons, and neutrals
can be equally important to the treatment of kinetics. Often very gross ap-
proximations are used for the transport properties, neglecting dependencies
on temperature, field, and species concentrations.
For one-dimensional models of plasma flow in channels, the simulation
issues may be quite different. In these cases, the applications are less con-
cerned with the detailed nature of the plasma than with the effect of the
plasma on a flow of gases through the plasma region. The dominance of con-
vection means that a momentum equation for the bulk flow of gases must
be solved in addition to the species, field, and energy equations. Solution of
Poisson's equation is less critical, provided that the total power deposition
in the plasma region is included in an electron energy balance. Heat trans-
fer to the external environment may significantly affect the simulation, such
that the choice and implementation of temperature boundary conditions is
important. The validity of the reduction of the problem to one dimension
is also a concern. For a plug-flow model, the radial dispersion rate must be
much smaller than the convection of gases in the axial direction of the chan-
nel. These conditions can be characterized by a Peclet number, where a large
Peclet number indicates the dominance of convection over diffusion. For plug
flow assumptions to be valid, the Peclet number in the axial direction must
be large, such that axial diffusion can be neglected, and the Peclet number
3 Plasma Modeling 79

in the radial direction must be very small, so that the assumption of radial
uniformity is reasonable.

3.3.3 Two-Dimensional Models and Applications


For simulations of high-density plasma reactors, much effort has gone into the
development of two-dimensional axisymmetric plasma models. As discussed
in the introduction of this section, Fig. 3.1 shows the dominant processes that
must be considered in a multidimensional plasma model. An assumption of
symmetry about the centerline of a cylindrical reactor chamber allows the re-
actor to be modeled in two dimensions rather than three. Such models allow
investigation of radial uniformity of etch or deposition rates, etch selectivity,
and plasma composition, to the extent that the models can include detailed
chemistry. With simpler chemistries, 2-D plasma simulation can be applied
to the evaluation of electric field coupling into the plasma, to understanding
local field and power-deposition effects, and to the design and location of
external inductive coils, for example. To the extent that geometric features
in the reactor such as flow baffles or clamping rings are axisymmetric, the ef-
fects of these design details may also be studied with the 2-D model. Another
very important application of 2-D plasma simulators is in reactor scale-up. In
the transition from 200 mm to 300 mm diameter wafers, which the industry
plans for the immediate future, plasma simulation should be an important
design tool. Finally, higher-dimensional models that include detailed gas-
phase kinetics, species transport, and surface kinetics in realistic geometries
provide information about the dominant and rate-limiting processes within
the reactor. For example, one can determine whether convection or diffusion
dominates, where in the reactor transport effects are important versus kinet-
ics, and whether transport of certain species is rate-limiting. This knowledge
can inform reactor design modifications and process optimization choices.
Many multidimensional plasma models have been developed in recent
years, and such models are now emerging as commercial products. The end
user will find, however, that there are a variety of features and limitations in
the available models, which are often difficult to correlate to the ability of the
model to provide the information sought. Table 3.2 attempts to list the more
common features that may be included in multidimensional plasma models,
and to rate the importance of these features for predicting plasma and wafer
properties. The following discussion describes these model attributes in more
detail.
With current computer speeds, two-dimensional simulations have become
reasonable for investigating plasma chemistry systems that include 10-20
species, with computation times on the order of several hours per simula-
tion. Of course, as computer speeds increase in the future, more complex
chemistries will be possible. At present, however, chemistry models must be
reduced from a larger collection of possible fundamental reactions to a core
set of dominant, rate-limiting reaction paths for use in these geometrically
80 E. Meeks and P. Ho

Table 3.2. Correlation of 2-D model attributes with predicted properties.

2-D Model Properties Affected by Model Attribute


Attribute In the Plasma At the Wafer
Fluid Options
Neutral gas • Flow velocities (1) • Etch rates (2)
momentum • Residence time (1) • Deposition rates (2)
equation(s) • Pressure profile (1) • Microfeature profile shape (3)
• Loading by products (2)
• Gas composition (2)
Gas energy • Temperature profile (1) • (Neutral) etch rates (2)
equation • Neutral reaction rates (2) • (Neutral) deposition rates (2)
• Microfeature profile shape (3)
Electron energy • Electron temperature • Etch rates (3)
equation profile (1) • Deposition rates (3)
• Power balance (2)
• Gas composition (2)
Electron momen- • Electron current (2)
tum equation(s) • Power deposition profile (2)
Ion momentum • Ion current (1) • Ion fluxes (1)
equation(s)
Poisson's • Plasma potential • Sheath voltage (2)
equation profile (1) • Ion mean energy (3)
• Etch rates (3)
RF sheath model • Ion EDF (1)
for wafer bias • Sheath voltage (1)
• Ion mean energy (2)
• Microfeature profile shape (2)
• Microtrenching and notching (3)
• Microfeature charging (3)
General • Gas composition (1) • Etch rates (2)
gas-phase • Deposition rates (2)
chemistry • Microfeature profile
shape (3)
General • Gas composition (1) • Surface coverage (1)
surface • Etch rates (1)
chemistry • Deposition rates (1)
• Deposit composition (1)
• Microfeature profile shape (2)
3 Plasma Modeling 81

Table 3.2. Continued.


2-D Model Properties Affected by Model Attribute
Attribute In the Plasma At the Wafer
Kinetic Options
Kinetic electrons - Electron EDF and VDF (1) _ Etch rates (2)
- Electron reaction rates (1) _ Deposition rates (2)
- Gas composition (2) _ Microfeature profile shape (3)
Kinetic ions _ Ion VDF and EDF (1) _ (Ion) etch rates (2)
_ Ion reaction rates (1) _ (Ion) deposition rates (2)
_ Microfeature profile shape (2)
_ Microtrenching and notching (3)
Kinetic neutrals _ Neutral species VDF _ (Neutral) etch rates (2)
and EDF (1) _ (Neutral) deposition
rates (2)
_ Microfeature profile
shape (2)
(1) is directly affected by attribute inclusion
(2) is a second-order effect of the attribute inclusion
(3) is a third-order effect of the attribute inclusion
VDF = Velocity distribution function;
EDF = Energy distribution function

complex simulators. Even with only representative chemistry in the 2-D mod-
els, some reactor performance trends can be inferred from the general plasma
behavior. For example, simulations of a pure argon or helium plasma may
indicate the plasma uniformity trends of more complex electropositive gases.
Etch and deposition uniformity trends may often be inferred from ion and
neutral flux uniformity predicted by models that neglect detailed surface ki-
netics. One could also infer wall erosion, for example, from the location of
"hot spots" in the electrostatic potential profile, despite the neglect of the
wall erosion chemistry details.
The main dividing line between different multidimensional plasma mod-
els is in their description of the species transport, which either takes a con-
tinuum or a kinetic approach. In continuum approaches, moments of the
Boltzmann equation are solved for each species. Differential equations then
describe the conservation of species, the conservation of momentum and the
conservation of energy. Discretization of these equations via finite-element or
finite-difference methods on a fixed grid allow solution using standard linear
algebraic techniques. Kinetic models include direct simulation Monte Carlo
(DSMC), particle-in-cell Monte Carlo (PIC-MC), and other particle-tracking
methods. These methods define representative particles for the species in
the reactor, track the particle movement across a grid, and determine colli-
82 E. Meeks and P. Ho

sions, reactions, and trajectories, based on probability functions and statis-


tics. Commonly, Monte Carlo techniques require the use of massively parallel
computers, where hundreds or thousands of computer processors are used
simultaneously, to allow realistic simulations within a reasonable time pe-
riod. Conventionally, continuum models should be applied to higher-pressure
regimes where the Knudsen number is small «1), and kinetic models should
be employed when the characteristic Knudsen number in the reactor is large.
There are very few examples of plasma simulations that are fully kinetic,
i.e. that treat every ion, electron, and neutral using particle-tracking meth-
ods, except for cases that involve very simple plasma chemistries [22]. This
is due primarily to the computational expense required to track species over
very disparate time scales. There are many examples in the literature, how-
ever, of hybrid approaches to the species transport. Some hybrid models treat
electrons kinetically and couple the electron results to a continuum model of
ion and neutral transport [23]. Other models treat the electrons as a con-
tinuum, but apply Monte Carlo techniques to the determination of the ion
and neutral species concentration profiles [24,25]. The first approach provides
more accuracy to the electron-driven gas-phase kinetics and the coupling of
these kinetics to local electric fields, but provides less accurate treatment of
ion and neutral fluxes. The second approach takes a more approximate view
of the electron kinetics, but provides more details of the ions and neutral
velocity distributions as they collide with a wafer surface, which could be
important input for feature-scale profile evolution.
Despite the fact that the pressures are typically below the range where
continuum models of transport are generally considered to be reliable, full
continuum or fluid models have been successfully applied to simulation of
HDP reactors. In such reactors, transport processes are typically not rate
limiting for either etching or deposition. For this reason, the approximate
description of the transport phenomena provided by continuum models will
often suffice, despite the large Knudsen numbers that characterize the reac-
tor. Fluid models require as input parameters the reaction-rate coefficients
that describe the gas-phase and plasma-surface kinetics, as well as multicom-
ponent transport properties for all of the gas-phase species of interest. Often
the boundary conditions on the bulk gas velocity and energy equations can
be modified to correct some of the problems with the continuum formulation
at very low pressures. For example, the notion of velocity and temperature
"slip" can be introduced, using semiempirical formulas for the slip velocity or
temperature, in place of the usual continuum "no-slip" condition [26]. As with
one-dimensional models, there are also issues in the formulation of boundary
conditions for the charged-species and electron-energy equations. Here again,
we recommend use of flux boundary conditions that are self-consistent with
the surface kinetics.
Although a Monte Carlo or other kinetic approach to species transport
implies the direct use of collision cross sections, such detailed information
3 Plasma Modeling 83

for ion and neutral collisions is often difficult to obtain. In practical DSMC
implementations, therefore, cross sections are often derived from reaction-
rate coefficients [27]. In this way, these approaches lose some of their implied
accuracy by making the same types of approximations required for contin-
uum models. The advantage, however, is that the input data requirements
are not substantially different from one model to another and can actually be
shared across different model levels. For models that include kinetic descrip-
tions of the electrons, however, electron cross sections are employed directly
in the model [23]. Such models typically solve the electron Boltzmann equa-
tion to determine local electron energy and velocity distribution functions.
This information, together with energy-dependent cross sections, allows de-
termination of local reaction rates that depend not only on the mean electron
energy but also on the local field and gas composition.
Further issues arise in all 2-D and 3-D plasma models regarding the level
of treatment of the electromagnetic fields. Most often, magnetic field effects
are neglected. When external magnets are applied to the reactor to assist in
plasma containment, however, the effect of magnetic fields on ion trajectories
can be quite important. Inclusion of capacitive coupling between inductive
coils can also be important in determining local power deposition profiles
and in tracking power transmission losses [28,29]. The most important issue,
however, is the inclusion of the rf bias typically applied to the wafer chuck
during wafer processing. Since the rf bias usually affects only a relatively thin
sheath region near the wafer surface, it is often treated by coupling the bulk
plasma model to an analytical sheath model, rather than full coupling to
time-dependent electromagnetic field equations that resolve the modulation
of the sheath on a fine grid. Such approximations are applied equally often
to kinetic models as to continuum models. In this way, any advantage that
the kinetic model had in producing accurate ion distributions at the wafer
surface are often negated by the approximate approach to the rf bias. From
a practical point of view, however, the analytical model is usually sufficient
for approximating the ion energy required for determining yield coefficients
for ion enhanced etch and deposition rates.

3.3.4 Three-Dimensional Models and Applications

There is little difference between two-dimensional plasma models and three-


dimensional plasma models, other than the computational expense required
to execute the simulations and visualize the results. There are, however, spe-
cific applications where three-dimensional models are required, and as com-
puters advance such simulations will become increasingly feasible. When a
reactor contains inherent asymmetries, such as an asymmetric pump port or
a noncylindrical reactor chamber, a three-dimensional model may be required
to analyze specific effects of these geometric features. Other examples include
coil asymmetries, inlet location, and the modeling of discrete inlet jets. Inves-
84 E. Meeks and P. Ho

tigation of azimuthal nonuniformity on the wafer surface or within the plasma


will also require the elimination of the azimuthal symmetry assumption.

3.3.5 2-D and 3-D Profile Evolution Models and Applications


Profile evolution models are necessary for addressing feature-scale issues such
as aspect-ratio-dependent etching of trenches and vias, pattern transfer fi-
delity, step coverage for deposition systems, notching and microtrenching
within an etched feature, effects of electrical charging of mask and underly-
ing materials, and "etch-stop" occurrences in etch processes. Pattern transfer
fidelity issues may include feature sidewall angle, as well as overetch and un-
deretch of some features due to microloading. A variety of simulation tools
are available that can reproduce many of these effects qualitatively [30~34l.
However, the profile evolution model by itself is rarely sufficient for describing
or predicting these effects quantitatively. The input to a profile simulation
tool is typically the local etch or deposition rates or the species fluxes, ion
energy distribution functions, and ion angular distribution functions. Since
these quantities are far removed from the reactor control parameters (power,
pressure, flow rates, bias power), a model of the plasma reactor is required
to bridge the gap between the intrinsic reactor parameters and the derived
conditions at the wafer surface.
The challenges of bridging the reactor scale and feature scale models are
still a subject of much research. However, some progress has been reported
[35~37l. One of the major challenges of coupling feature-scale to reactor-scale
is for the two models to have a common description of the species identity,
fluxes, and reaction rates that encompass the disparity in length scales. This
leads to the need for more physically based feature-scale models than are
generally used today. Surface reaction mechanisms must also consider not
just concentration- and energy-dependent reaction rates, but also dependence
on the angle of incoming species relative to the reactive surface. In addition,
more rigorous rf sheath models are required when an rf bias is applied to the
wafer surface, because the details of the ion energy distribution function can
be critical information for feature profile evolution.

3.4 Chemical Reaction Mechanisms


A major part of any plasma model is the description of the chemical reactions
occurring in the gas phase and at surfaces in the plasma reactor. This descrip-
tion, generally referred to as a chemical reaction mechanism, consists of a set
of reaction paths with kinetic rate parameters for each reaction. When a reac-
tion mechanism is incorporated into a reactor model, we can use macroscopic
data, such as etch or deposition rates, to explore rate-limiting steps. Trends
in macroscopic data often reveal missing reaction paths or dependencies.
Reaction mechanisms range in size and complexity, depending on the
purpose of the modeling effort and the chemical system being modeled. At
3 Plasma Modeling 85

one extreme, a model might contain a simple rate expression or a small


set of global reactions, where a given step actually represents a number of
elementary reaction steps that have been "lumped" together. At the other
extreme, a mechanism may contain hundreds of reactions, each representing
an elementary chemical reaction step. Today, most plasma chemistry reaction
mechanisms fall somewhere in between.
The reaction mechanism included in a model should reflect the depth and
breadth of the state of scientific understanding about the etch or deposition
process. The complexity of the model should not exceed the knowledge base,
so the absence of fundamental data for individual processes will require more
global descriptions of those reactions in the model. However, a model that
contains known fundamental parameters will be more extrapolative and pre-
dictive than a model based on an empirical fit to one set of deposition or
etching data. The goal in mechanism development for reactor modeling is
not to pursue the best-possible description of each plausible reaction step,
but instead for the mechanism to represent, as a whole, the process of inter-
est. A detailed description of the mechanism development process is available
elsewhere [38]; therefore we only include an overview and a discussion of the
major issues here.
Figure 3.5 shows an overview of the mechanism development process; the
remainder of this section discusses the various development stages. Develop-

Obtain Rate

Reduce Mechanisms
for 2-D or 3-D
Simulations
Fig. 3.5. Flow chart of the mecha-
nism development process.
86 E. Meeks and P. Ho

ing a mechanism is an iterative process. One starts with reactions involving


the initial reagent gases and then gradually expands the mechanism to include
reactions of the molecular fragments from the reagents and surface-reaction
byproducts. Such scoping simulations are best performed with a computa-
tionally fast plasma model, such as a well mixed reactor model [7,39]. Initial
simulations are examined to ensure that the solutions are reasonable in terms
of plasma densities, electron temperatures, and species densities. At later
stages, comparisons with experimental data (deposition or etch rates, and
diagnostic measurements) are used for model validation and improvement.
For plasma systems, it is particularly important that the surface chemistry
mechanism be an integral part of all stages of the process of determining
dominant and negligible reaction paths.
Table 3.3 lists types of chemical reactions that may be important to con-
sider for each chemical species in a plasma model. Acquiring kinetic para-
meters for such reactions, however, is a common obstacle for plasma mod-
elers. Fundamental data, such as electron-collision cross sections, are often
not available in the literature, especially for complex molecular systems. For
modeling a plasma process, it is generally better to include an estimate of a
cross section than to neglect a probable reaction when rate data are unavail-
able. For a plasma with many chemical species, this can result in a mechanism
containing a large number of reactions. This can be particularly problematic
in high density plasmas with large polyatomic precursors, because the start-
ing reagents are likely to be highly dissociated. For example, in constructing
a model for a C 2 F 6 plasma, one might need to consider reactions of all C 2 F x
(x :::; 6) and CF x (x :::; 4) neutrals and ions. In the process of validating the
model with experimental data, it is generally advisable to reduce the size
of the mechanism by eliminating unimportant reactions, based on reaction
sensitivity and rate-of-production analysis. If the mechanism is to be used in
2-D or 3-D simulations, mechanism reduction is usually imperative.

3.4.1 Gas-Phase Kinetic and 'Iransport Processes

For a low-pressure plasma process, the gas-phase mechanism typically com-


prises a large set of electron-impact collisions as well as fast reactions between
neutral radicals, ion-neutral reactions and ion-ion reactions. In this section,
we discuss, in more detail, the types of gas-phase reactions listed in Table 3.3.

3.4.1.1 Types of Gas-Phase Reactions

Electron-Impact Reactions: For many plasmas, particularly HDP sys-


tems, electron-impact reactions are by far the most important type of gas-
phase reaction. Thus, mechanism development begins with the compilation
of available electron-impact cross sections, starting with electrons impact-
ing the reagent molecules. There are a multitude of possible electron-impact
3 Plasma Modeling 87

Table 3.3. Types of reactions important in plasma modeling.

Phase Type of Generalized examples a

reaction
Gas Electron Ionization E+A -4 2E+A+
impact Dissociation E+AB -4 E+A+B
Dissociative ionization E+AB -42E+A+B+
Dissociative attachment E+AB -4 A-+B
Attachment E+A -4 A-
Electronic excitation E+A -4 E+A*
Vibrational excitation E+AB -4 E+AB(v ::0: 1)
Momentum transfer E+A -4 E+A
Neutral Dissociation AB+M -4 A+B+M
Atom transfer A+BC -4 AB+C
Rearrangement AB+CD -4 AC+BD
Recombination A+B+M -4 AB+M
Energy transfer A*+B -4 A+B*
Relaxation A*+B -4 A+B
Ion Neutralization A-+B+ -+ A+B
A - +BC+ -4 AB+C
Associative detachment A-+B -4 AB+E
Charge transfer A++B -4 A+B+
A-+B -4 A+B-
Dissociation A++BC -+ A+B+C+
Surface Neutral Adsorption A+(s) -4 A(s)
Desorption A(s) -4 A+(s)
Dissociative adsorption AB+2(s) -4 A(s)+B(s)
Associative desorption A(s)+B(s) -4 AB+2(s)
Reaction at surface A+B(s) -+ AB(s)
Reaction on surface A(s)+B(s) -4 AB(s)+(s)
Elimination ABC(s) --> AB+C(s)
Deposition A -4 A(b)
A+B(s) --> A(s)+B(b)
Abstraction A+B(b) --> AB
A+B(s) --> AB+(s)
Quenching A*+(s) -4 A+(s)
Ion Neutralization A + +E+(s) -4 A+(s)
Sputtering A + +E+B(b) -4 A+B
Assisted desorption A + +E+B(s) -4 A+B+(s)
Enhanced etching A+ +E+B(s)+C(b) -4 A+BC+(s)
Incorporation into bulk A++E-->A(b)
a E represents an electron. (s) indicates an open surface site, A(s) an A species
bound to the surface. B(b) represents a B species in the bulk. * represents an
electronically excited state. M indicates any molecule and indicates third-body sta-
bilization or collisional excitation.
88 E. Meeks and P. Ho

excitation processes that occur for any target molecule, but mechanism devel-
opment must focus on those collisions that are most likely to affect wafer-level
processes for the appropriately low electron energies (1-5 eV).
Plasma-enhanced etch and deposition chemistry depends on the iden-
tity and fluxes of ions and radicals from the plasma to the wafer surface.
Therefore, processes such as ionization, excitation leading to dissociation,
and dissociative ionization are of first-order importance. As electron ener-
gies are relatively low, we rarely consider multiply ionized states. Also, not
all ions are stable (they may spontaneously dissociate) so a reaction mecha-
nism may not contain ions corresponding to all neutrals. A simple molecule
may have only a single electron-induced ionization and only one dissociation
process. A more complex polyatomic molecule will have a number of dissoci-
ation and dissociative ionization paths. Many of the process gases typically
used for materials processing applications also have electronegative proper-
ties, so that electron attachment, dissociative attachment, and detachment
reactions also need to be considered.
Molecular excitations resulting from electron impact need to be consid-
ered because the mean electron energy that drives ionization and dissociation
processes is determined from a balance between the plasma power source and
collisional energy losses. Thus, all processes that provide significant energy
loss for the electrons need to be considered. Typically, data for electronic
excitation to the lowest few excited states should be included, but not all the
higher excited states. The formation and subsequent reaction of metastable
excited states can be significant in some plasmas, in which case metastable
species should be explicitly included in reaction sets. Otherwise, excitation
reactions can be treated as a means for describing energy loss only, with-
out tracking the identity of excited states as separate species. Data for the
electron-induced vibrational excitation can be included as separate resonant
processes, (e.g., for a few of the lowest vibrationally excited states) or as an
averaged sum of the excitations (e.g., for the higher vibrational states). For
molecules, the dominant energy-loss process is usually vibrational excitation,
while electronic excitations dominate for atomic species.

Neutral Reactions: Electron-impact reactions generate large concentra-


tions of radical neutral species that participate in the etching or deposition
processes at the wafer. In addition to surface reactions (addressed below),
these neutral species may react in the gas phase. For example, atom-transfer
or rearrangement reactions involving radicals (such as H, 0, OH, BCl or
CF 2 ), can be quite fast and therefore relevant even at very low pressures
[14,40].
Although radical recombination reactions are energetically favorable, they
require stabilization by collision with a third body. The low pressures of
most plasma systems place such reactions well into their pressure-dependent
regimes, so inclusion of such reactions (as well as collision-activated unimolec-
ular decomposition reactions) requires a full description of the pressure falloff
3 Plasma Modeling 89

behavior. The use of reaction rates measured only at high pressures is incor-
rect, and it is often reasonable to neglect these reactions entirely in HDP
plasma systems, due to the very low pressures.
Energy transfer and relaxation of excited neutral species may also be ex-
plicitly included. This is usually recommended for cases where electronically
excited metastable states are formed. In such cases, the electronic energy can
be transferred among neutral species, which can lead to further dissociation
or ionization. Alternatively, the excited metastable can undergo a relaxation
process, where electronic energy transfers to the vibrational, rotational and
translational degrees of freedom.

Ion Reactions: Ion-neutral and ion-ion reactions play significant roles in


the chemistry of plasma reactors. Mutual neutralization between positive
and negative ions is an important loss term for ions in an electronegative
discharge, and may also result in atom transfer or molecular rearrangements.
Charge neutralization is exothermic, and thus may also lead to dissociation of
one of the species. A high density of negative ions in electronegative discharges
may also cause electron detachment processes to become important.
Charge-exchange reactions help to determine the identity of the dominant
ion in the discharge. In general, the dominant positive ion in the discharge
is expected to be that of the molecule or atom of the lowest ionization po-
tential, provided the corresponding neutral is of sufficient abundance in the
plasma. Charge-exchange reactions also provide a route for energy exchange
between the ion and the neutral species. If the difference in ionization po-
tential between two species is large, enough energy may be released by the
charge transfer to cause dissociation.

3.4.1.2 Sources of FundaIllental Gas-Phase Kinetic Data


Electron-IIllpact Reactions: Although a lack of fundamental data is gen-
erally an issue for plasma modelers, a number of compilations of electron-
impact cross sections for relevant molecules are available, and are listed in
Table 3.4. Critical reviews provide some measure of the uncertainty in the
cross sections as well as the data values. In other cases, sets of electron cross
sections have been reported in the context of plasma reactor modeling, where
the validation of the cross section set is indirect through the ability of the
plasma model to reproduce macroscopic effects measured in an actual reactor.
In addition to the data sources listed in Table 3.4, other sets of data
are available in the literature. These include atomic ionization cross sections
[41-43], and cross section data for common molecules, such as rare gases and
species that occur in the atmosphere. Current data are also accessible via
the internet through bibliographic databases [44J and online databases of the
cross-section values themselves [45-47J.
Despite these recent advances and efforts to accommodate the needs of
modelers by providing reviewed sets of cross sections, the modeler must often
90 E. Meeks and P. Ho

Table 3.4. Compilations of electron-impact cross section data.

Molecule Author Year Comments


CF4 Christophorou, et al. [95] 1996 Critical review
CF 4 Morgan [106] 1992 Critical review
C 2F 6 Christophorou and Olthoff [96] 1998 Critical review
CHF 3 Christophorou, et al. [107] 1997 Critical review
CChF2 Christophorou, et al. [108] 1997 Critical review
C3 F S Christophorou [109] 1998 Critical review
O2 Itikawa et al. [110] 1989 Critical review with
some estimates; more
recent data available
for O2 dissociation [111]
0 Itikawa and Ichimura [112] 1990 Critical review with
some estimates
Ch Morgan [113] 1992 Critical review
F2 Morgan [113] 1992 Critical review
HCI Morgan [113] 1992 Critical review
SiH4 Perrin et al. [64] 1996 Critical review
SiH4 Morgan [106] 1992 Critical review
CH4 Morgan [106] 1992 Critical review
H2 Janev et al. [114] 1987 Critical review
H Janev et al. [114] 1987 Critical review
SF6 Phelps and Van Brunt [115] 1988 Critical review
He/N2/02 Sommerer and Kushner [116] 1992 Validated through
He/CF4/02 comparisons with
SiH4/NH 3 reactor data
Ar/Clx Bukowski et al. [54] 1996 Validated through
comparisons with
reactor data
NFx/Ox/F x Meeks et al. [117] 1997 Validated through
comparisons with
reactor data
SiHx/Ox/Ar/Hx Meeks et al. [40] 1998 Validated through
comparisons with
reactor data
BClx/Clx/Ar Meeks et al. [14] 1998 Validated through
comparisons with
reactor data

estimate values for molecules where little or no fundamental information is


available. Difficulties in measurement or computation of certain cross sections
may preclude their availability. Estimates for cross-section data are usually
based on information about the structure and energetics of the species, and
on information available from species of similar structure or energetics. Cross
sections for classes of processes, such as ionization, dissociation, and vibra-
3 Plasma Modeling 91

tional excitation, tend to have similarly shaped dependencies on electron


energy, and can be characterized roughly by the energy threshold and by
the peak cross section values. Taking a known cross section from a "similar"
molecule and then scaling the threshold and peak values is therefore a reason-
able way to arrive at estimates for unknown data. Here, "similar" may mean
similar structure, e.g., SiH4 is similar to CH 4 , or having similar bonds, e.g.,
BCh is similar to BCI 3 . A nontrivial part of estimating cross section data
is determining the most likely dissociation paths. Although it is not always
the case, the weakest bond or the exothermicity of a reaction path often
indicates the most likely dissociation path. A detailed discussion of meth-
ods for estimating cross sections is presented elsewhere [38]. Semiempirical
or semiclassical formulas for estimating some cross sections, particularly for
ionization, are reviewed by Christophorou [48].
Once electron-impact cross sections are obtained, they must often be con-
verted to reaction rate parameters by integration over the EEDF in order to
be used in plasma models that have a continuum treatment of the electron
transport and energy. Maxwellian distribution functions have been used ex-
tensively in modeling plasma systems [6,7,14,25,49--54] because the computa-
tional efficiency and simplicity of the approach provides a path for achieving
fast engineering results.

Neutral Reactions: For individual reactions involving neutral molecules,


rate data are often available in the literature or from compilations [55,56].
When such information is not available, kinetic parameters may be estimated
using standard methodologies [57]. Such estimates of reaction rates may be
based on similar types of reactions, on estimates of energy thresholds, and
on estimates of molecular collision rates. As an example of the former, an
experimental value for rate of the AICI + Ch ----+ AICl 2 + CI reaction is used
as an estimated reaction rate for the analogous BCI + Ch ----+ BCh + CI
reaction [14].

Ion Reactions: Most of the available rate data for ion-driven reactions
originate from studies of atmospheric or astronomical chemistry. While such
measurements were performed under significantly different conditions from a
wafer-processing plasma, they provide some indication of probable reaction
paths and typical reaction rate coefficients for relevant processes. Anicich [58]
reviewed ion reactions relevant to atmospheric chemistry in 1993. Other re-
ports of ion-neutral reaction rates include works by Farrar [59], Phelps [60],
and Kickel et al. [61]. Mass spectrometric measurements of charge exchange
reactions are often included in reports of electron-impact cross sections for
chemistries more directly relevant to microelectronics (see for example Jiao
et al. [62,63] or Perrin et al. [64]). Negative ion reactions, such as mutual
neutralization with positive ions or associative detachment reactions, are dis-
cussed by Smirnov [65], who also provides tables of measured detachment
92 E. Meeks and P. Ho

rates, as well as correlations of these rates with the energy deficit for the
reaction path.

3.4.1.3 Thermodynamic and Transport Properties


Thermodynamic properties for chemical species are employed in plasma sim-
ulations in several ways. First, heat capacities of the species are required to
determine the mixture specific heat when solving the (transient) neutral-gas
energy equation. Secondly, the enthalpy of each species is required to deter-
mine the heat of reaction for the inelastic processes. The enthalpy gain or
loss due to chemical reactions can be important terms in both the neutral-gas
and the electron energy equations. Thirdly, although most gas-phase electron-
driven reactions in plasmas are written as irreversible processes, neutral re-
actions are usually reversible. The reverse rates are calculated by way of an
equilibrium constant for each reaction, which is determined from the enthalpy
and entropy of the species involved.
For many gas-phase neutral species, thermodynamic properties can be ob-
tained from standard compilations [39,66,67], or in recent years, from quan-
tum chemical calculations [68-71]. For some ions, these sources also provide
thermodynamic information. For many ions and excited-state species, how-
ever, it is often necessary to estimate thermodynamic data from the corre-
sponding neutral species.
In multidimensional plasma simulations, transport properties are impor-
tant parameters in the equations describing momentum and energy transfer.
For weakly ionized plasmas, properties of neutral species may be treated in
the same manner as in thermal reacting-flow simulations [39]. In this case,
thermal conductivity, viscosity, and binary diffusion coefficients are related
to Stockmayer (or other analytical) potentials that are based on fundamental
molecular parameters, such as the Lennard-Jones potential well depth and
diameter. Ion and electron transport properties are related to momentum-
transfer collision frequencies between the charged species and the heavy par-
ticles in the discharge [1,38].

3.4.2 Surface Chemistry


The surface part of a reaction mechanism describes the reactions that gas-
phase species undergo at surfaces, as well as reactions between surface and
or bulk species. A surface species is defined here as a chemical species at the
boundary between the solid material and the gas, e.g., an adsorbate. Each
surface species occupies one or more "sites" and the total number of sites is
usually conserved. "Bulk" species are entities in the solid phase that may be
created or destroyed due to the deposition on or etch of the bulk material
"below" the surface layer.
Developing a self-consistent set of reactions to describe the plasma-surface
interactions in a reactor is much less straightforward than for the gas phase.
3 Plasma Modeling 93

Surface kinetics is not as mature a field as gas-phase chemical kinetics, so


there is far less information in the literature. There is also much variation in
how ~mrface species and reactions are described. Surface mechanism develop-
ment is also an iterative process and must be done "in sync" with development
of the gas-phase reaction mechanism. Particularly for HDP conditions, the
surface reactions may provide large production or loss terms for gas-phase
species.
Surface reaction mechanisms vary widely in the level of detail included.
Elementary chemical reaction steps may be treated separately or lumped to-
gether as an "effective reaction". At one extreme, some plasma models only
describe reactions of a gas-phase species at a surface in terms of a simple stick-
ing coefficient. Such models cannot include effects of surface site blockage, or
reactions that occur between species on the surface. At the other extreme, a
surface chemistry mechanism might include numerous surface species and all
of the kinds of elementary surface reactions listed in Table 3.3 for all combi-
nations of gas-phase neutrals, ions and surface species. A surface chemistry
reaction mechanism might also include different reaction sets for different ma-
terials in the reactor. For example, the chemistry occurring on chamber walls
could differ substantially from the chemistry occurring on a wafer surface
that is actively being etched.

3.4.2.1 Types of Surface Reactions

Table 3.3 shows many types of elementary chemical processes that can occur
at or on a surface. If a detailed surface reaction mechanism is being developed,
most of these types of reactions should be considered for the dominant species
and ions in the plasma. Important processes include ion neutralization on all
surfaces, thermal or chemically driven reactions involving neutral radicals,
ion-enhanced chemical reactions, and physical ion sputtering.

Neutral Reactions: Neutral molecules that are stable enough to be process


reagents (i.e., BCh, CF 4 , SiH 4 ) are generally quite unreactive with surfaces at
the near-room temperatures used for plasma processing, and are thus gener-
ally not included in plasma surface reaction mechanisms. Notable exceptions,
however, include molecular chlorine reacting with aluminum. Radical species
formed by dissociation of these reagents tend to be orders-of-magnitude more
reactive, and thus dominate surface reaction mechanisms, even though they
may be present in the plasma at much lower concentrations. Reactions of neu-
tral radicals with reactor walls can be especially important in low-pressure
systems because they provide critical loss paths that help determine the rad-
ical density near the wafer [72].
The chemical reactions of neutral radicals on surfaces in a plasma reactor
include the following types of reactions: adsorption and desorption of radicals
on open surface sites (with or without dissociation), abstraction of species on
the surface by gas-phase radicals, deposition reactions, elimination of stable
94 E. Meeks and P. Ho

molecules from the surface, etching reactions, and intra-surface-site reactions.


Neutral reactions enhanced by the simultaneous bombardment of the surface
by ions are discussed below. Reactions may be formulated as individual ele-
mentary steps or as "lumped" reactions that combine the effects of a number
of elementary steps. For example, the dissociative adsorption of a AB species
on a surface [AB + 2(s) ---+ A(s) + B(s)] can be viewed as the combination of
an adsorption step [AB + (s) ---+ AB(s)] plus a surface reaction step [AB(s)
+ (s) ---+ A(s) + B(s)]. The level of detail in the mechanism usually depends
on the amount of information available about the reaction paths and the
rate-limiting steps.

Ion Reactions: Ion reactions at surfaces can be very important in plasma


processing systems. This is particularly true for plasma etching, where ion-
assisted reactions provide an important route to anisotropic processing. Thus,
plasma models generally include many ion reactions at surfaces.
The neutralization of positive ions via recombination with electrons on
surfaces represents the major loss path for charged species in low-pressure
plasma systems. For this reason, appropriate rates for ion neutralization must
be included on all surfaces in the reactor and on all "sites" if the surface is
described by site fractions. Without this loss mechanism, the plasma simula-
tion will be incorrect and probably nonconvergent. It is generally agreed that
a positive ion colliding with a surface will neutralize with 100% probability,
such that the rate at which neutralization occurs is equal to the total ion flux
to the surface. The ion flux is thereby transport-limited and determined by
the ion density and ion velocity at the plasma-sheath boundary. For many
of the plasmas considered here, the ion transport through the sheath can be
considered as nearly collisionless, and the ion velocity at the plasma-sheath
boundary is obtained from the Bohm criterion [73]. In the limit of a purely
electronegative discharge, the flux depends on the thermal velocity of the ion.
Ion bombardment of a surface, particularly at high ion energies, is likely
to cause physical sputtering. Sputtering is an important process in the fill-
ing of trenches for inter-metal-layer dielectric deposition, for example, as the
sputtering prevents closing off of the trench before the fill is complete [74].
Physical sputtering results because the impact energy from the ion is trans-
ferred either directly or through collisions in the bulk material, to a surface
species that then desorbs into the gas. The number of molecules that are
removed from a surface by a single ion impact is the ion's yield coefficient,
which usually varies with the ion's energy. In HDP reactors, the ions incident
on unbiased walls have low energy «15 eV). However, the ion energy at the
wafer is often controlled through application of an rf bias to the wafer chuck.
By design, this bias typically contributes little to the total ionization in the
plasma, but directly affects the acceleration of ions towards the wafer sur-
face. Acceleration to high ion energies may result in sputtering, while lower
energies are more likely to contribute to ion-enhanced chemical processes.
3 Plasma Modeling 95

The enhancement of chemical reactions by low-energy ions is characteris-


tic of HDP etching and deposition processes. This synergism allows for high
rates of deposition and etching that are directional with the ion flux. The di-
rectionality enables anisotropic filling or etching of microscopic trenches and
vias, while the more moderate ion energies (50~300eV) avoid the damage of
underlying materials that is associated with higher energies. Ion enhanced
reactions can be described using a similar formalism to that of physical sput-
tering, but including a role for reactive neutrals. The combination process
may be described as an ion-enhanced adsorption followed by an etch reac-
tion, a chemical adsorption followed by an ion-enhanced etch, or a global etch
process. If little is known about the relative rates of the individual steps, a
global description is appropriate.

3.4.2.2 Sources of Fundamental Surface Kinetic Data

Sources of kinetic data for plasma-surface reactions are far more sparse than
for gas-phase reactions. Fundamental surface science experiments, especially
those applied to environments similar to the actual plasma reactor environ-
ment, can provide essential information for deriving plasma~surface reaction
mechanisms. However, only a limited number of systems have been studied,
and standardized databases do not exist. Reviews of plasma-surface inter-
actions for low-temperature plasmas by Coburn and Winters [75,76], Hess
[77], and Oehrlein [78] are available. Relevant techniques include analyses of
incident and outgoing species fluxes to the surface, measurements of deposi-
tion thickness and composition, and experiments that identify the chemical
nature, including coverage and bonding, of surface species. Another method
for sorting among competing processes on wafer surfaces is to build special
microscopic structures on a test wafer that are designed to separate compet-
ing effects. For example, Cheng et al. [79], used test structures to separate
the contributions due to direct deposition from gas precursors from those due
to "redeposition" of sputtered fragments in a gap-fill process.

Neutral Reactions: The extremely limited literature on radical reactions


at surfaces results in the use of many estimates in reaction mechanisms.
Radicals should be quite reactive with surfaces, so they might all be assumed
to "stick" with a high probability (0.1~1.0), or with a probability value that
scales with the number of unpaired electrons in the radical.
Examples of experiments addressing plasma radical reactions include work
by Ho et al. [72,80,81]' who employed a technique that uses molecular-beam
sampling of a plasma, and laser-induced fluorescence detection of the beam
colliding with a surface, to directly measure radical/surface reactivities. Kota
and Graves [82] have also used high-vacuum beam experiments to determine
values for radical reaction probabilities on selected surfaces.
96 E. Meeks and P. Ho

Ion Reactions: In most cases, quantitative yield data are derived empir-
ically. Yield coefficients for physical sputtering are determined by well con-
trolled ion-beam experiments [83]. Ion-beam studies have generated yield and
rate data for fluorine and fluorocarbon etching of silicon and silicon dioxide
[84~87], and for chlorine/argon etching of silicon [88,89]. Studies by Cheng et
al. [90) reveal additional information regarding the ion-yield dependence on
chlorine coverage using in situ measurements in a high-density plasma.
In the absence of experimental data, kinetic parameters for ion reactions
are generally estimated from related species or general guidelines. For exam-
ple, all ions might be estimated to have the same yield coefficient, or one
that scales with ion mass. Information for ion-induced surface reactions can
be estimated from physical sputtering data; Steinbriichel [91] showed that
the ion-energy dependence for ion-induced reactions follows that of direct
physical sputtering with a direct dependence on the square-root of the ion
energy. Molecular dynamics simulations have provided important insight into
the role of ions in inducing chemical reactions, but have only been applied to
a limited number of systems [92].

3.4.2.3 Thermodynamic and Transport Properties

Thermodynamic properties for surface species are generally only important


if the surface reactions are cast as reversible processes. In practice, this is
rarely done because surface thermochemical properties are not well defined,
and there are no standard data compilations. If reverse surface reaction rates
are to be determined from equilibrium constants, thermodynamic properties
need to be estimated for all the surface species in the mechanism. An exam-
ple where this level of modeling has been included in a plasma deposition
simulation is in the SiH4 /OdAr deposition of Si02 [40].

3.4.3 Reaction Mechanism Validation, Tuning, and Reduction

Assembling all of the above parts is only the beginning of the mechanism
development process. The inevitable incompleteness and uncertainty of the
reaction-rate parameters makes validation a key component of mechanism
development. Uncertainties in the model also arise from approximations con-
tained in the model (e.g., assuming a Maxwellian EEDF), and from the un-
certainties in the specified boundary conditions (e.g., accuracy of wall and
wafer temperatures).
Although deposition and etch rates near the standard operating condi-
tions are of most interest as validation data, it is highly desirable that val-
idation exp~riments involve as wide a variety of experiments as is possible.
Experiments that separate competing effects are often more useful than those
that are designed to closely mimic targeted process conditions. For example,
measurements of etch rates on blanket-material wafers allow the step-wise
3 Plasma Modeling 97

building of mechanisms that enable modeling of patterned wafers contain-


ing multiple materials. Data from diagnostic experiments, as described in
a separate chapter of this book, are extremely useful for validating model
predictions, while sensor data can provide inlet and boundary condition in-
formation for modeling work. A large set of comparisons generates more con-
fidence in the model accuracy. However, models can extrapolate to regions
outside of the validation data only to the extent that the model captures the
fundamentals of the competing processes.
The first test for the model is the "reasonable solution" test. A simulation
of typical HDP conditions, for example, should result in electron tempera-
tures of about 2-6eV and electron densities between 1011 and 10 12 cm- 3 .
An unreasonably high electron temperature may indicate missing collisional
energy losses for the electrons, or a saturation of the plasma by species for
which a full set of electron collisions have not been included.
The second test for the model is whether or not it can reproduce observed
trends in a variety of experiments. The model and chemistry generally have
to be adjusted, or reaction paths added, in order to meet this criterion. In this
process it is important to have a clear understanding of the priority of the
trend predictions, the uncertainty in the diagnostic data, the sensitivity of
the model results to chemistry parameters, and the uncertainty of the chem-
istry parameters. A suggested priority of diagnostic data for wafer processing
in HDP reactors is: a) direct measures of wafer data, such as etch rate, b)
measurements of etch or deposition precursors, such as ion flux, ion/electron
densities, or radical densities, and c) measurements of intermediates, electron
temperature, or wall erosion/deposition data. Sensitivity analysis is very use-
ful for identifying the reaction parameters that most greatly affect the quan-
tities of interest [14].
After the mechanism is validated by comparisons with a certain set of
experimental data, it often needs to be reduced in size for use in higher-
dimensional simulations. Many of the issues of importance to process and
reactor designers, such as questions of deposition or etch uniformity across
wafers, require simulations that include a two- or three-dimensional treat-
ment of the plasma transport. The computational resources consumed by
such simulations scale with the number of species contained in the reaction
mechanism.
The mechanism reduction process involves using sensitivity and rate-of-
progress analyses to identify species and reactions that have little or no ef-
fect on the experimental observables in the parameter space of interest. We
generally use 0-D simulations to test elimination of such reactions from the
mechanism, and to ensure that the predictions do not change significantly.
Reduction generally limits the scope of the mechanism to prediction of a
particular set of observables and to a particular window of the operating
parameter space. If simulations are to be carried out for the same chemical
system, but for a different purpose or notably different operating parame-
98 E. Meeks and P. Ho

ters, it is advisable to return to the full mechanism and repeat the reduction
process for those conditions.
Two illustrative examples where detailed gas-phase and surface-reaction
mechanisms were first developed using a low-order well mixed reactor model
and then successfully reduced for use in 2-D plasma simulations are given by
Meeks et al. [14], for BCl3 /Ch/ Ar chemistry, and by Johannes et al. [93] for
C 2 F 6 etching of Si0 2 • For the BCh/Cld Ar chemistry, the mechanism was
reduced from 22 species to 17. For the C 2 F 6 etch chemistry, the mechanism
was reduced from 38 species and 206 reactions to 15 species and 50 reactions.
In these cases, etch-rate predictions remained within a few percent of the full
model for the targeted set of conditions [93].

3.4.4 Sample Reaction Mechanism


Tables 3.5 and 3.6 give sample gas-phase and surface reaction sets, respec-
tively, for a C 2 F 6 /0 2 plasma. This mechanism set was developed using the
approach described above to model the etching of phosphorus-doped oxide,
although we do not explicitly treat the P in the mechanism. It is a variation
of that reported elsewhere [94] and has been applied to the modeling of a
plasma chamber-clean process discussed further in Sect. 3.5.1. The goal of
the modeling was to study the reactor emission rates of C2 F 6 and CF 4 in a
plasma process used to clean deposited oxides from reactor chamber walls.
The gas-phase reaction mechanism in Table 3.5 includes specific examples
of the types of reactions introduced in a more generalized way in Table 3.3.
Starting with the gas-phase reactions, G22 and G27 are examples of simple
electron-impact ionization of F and F 2 , respectively. Ionization reactions for
C 2 F 6 and CF 4 are not included because parent ions of these molecules have
not been observed experimentally [95,96]. Electron impact reactions of C 2 F 6
do result in significant ion production, however, via reactions G7-GI0, which
are competing dissociative ionization reactions. Electron impact of C 2 F 6 also
leads to dissociative attachment (Gll), dissociation to neutral radicals (e.g.,
G6), and excitation to electronically (G4, G5) or vibrationally (GI-G3) ex-
cited states. Although C 2 F 6 has eighteen vibrational modes, we only include
the three that will have the largest effect on the energy balance. For neutral
species, reactions G69 and G70 are examples of neutral reversible reactions
that represent recombination in the forward direction and dissociation in the
reverse. For G69, the pressure dependence has been described by treating
it explicitly as a termolecular reaction in the forward direction and as a bi-
molecular reaction in the reverse direction. In contrast, for G70, the pressure
dependence has been described in more detail by using the Troe formulation
[97], which requires six additional kinetic parameters to describe collisionally
activated unimolecular dissociation. G77 is an example of an atom trans-
fer reaction, while G 72 illustrates a more complex rearrangement reaction.
This mechanism does not include electronically excited molecules as separate
species, so there are no examples of energy transfer or relaxation reactions.
3 Plasma Modeling 99

Table 3.5. Example gas-phase chemical reaction mechanism for C2F6/02 etching
of Si0 2 . Rate coefficients in form kf = ATB exp( -CIT). Units are molecules, centi-
meters, seconds, and Kelvin.

No. Reaction A B c Notes Ref

Gl. E+C2F 6 -+C2F 6 +E 7.04E-6 -6.3E-1 1.84E4 0.14 VE* [118]


G2. E+C2F6-+C2F6+E 3.74E-7 -5.85E-1 1.42E4 0.09 VE* [118]
G3. E+C2F6-+C2F6+E 3.32E-2 -1.17 3.78E4 0.15 VE* [118]
G4. E+C2F6-+C2F6+E 4.1E3 -2.27 1.97E5 7.20 EEt [118]
G5. E+C2F 6 -+C 2F 6 +E 1.06E-26 3.36 1.6E4 11.10 EEt [118]
G6. E+C2F 6 -+2CF 3 +E 8.04E-27 3.71 1.68E4 12.30 Gpt [118,119]
G7. E+C2F 6 -+CFj +CF 3 +2E 2.44E-30 4.33 6.42E3 16.50 Gpt [119]
G8. E+C2F6-+C2Ft +F+2E 1.91E-32 4.73 1.66E4 15.50 [119]
G9. E+C2F 6 -+CFt +CF 4 +2E 1.85E-47 7.5 2.52E4 17.30 Gpt [119]
GI0. E+C2F6-+CF+ + CF 4 +F+2E 1.41E-9 2.1E-l 3.39E5 18.00 Gpt [119]
GIL E+C2F6-+CF~ +CF 3 2.12E-2 -1.61 4.42E4 2.50 Gpt [118]
G12. E+CF 4 -+CF 4 +E 1.95E-6 -4.16E-l 1.27E4 0.11 VE* [95]
G13. E+CF 4 -+CF 4 +E 8.32E-8 -4.51E-1 9.51E3 0.05 VE* [95]
G14. E+CF4 -+CF 4+E 1.22E-2 -1.11 6.98E4 4.00 VE* [95]
G15. E+CF 4 -+CF 3 +F+E 9.05E-33 4.38 1.16E412.50 [95]
G16. E+CF 4 -+CFj +F +2E 3.59E-38 5.89 1.14E4 15.90 [95]
G17. E+CF 4 -+CFt +F2+2E 2.1E-6 -3.19E-15.1E5 22.00 [95]
G18. E+CF 4 -+CF 3 +F- 9.89E-1 -1.99 1.26E5 3.00 [95]
G19. E+CF4-+CF~ +F 1.28E-9 -4.04E-l 4.54E4 4.00 [95]
G20. E+CF 3 -+CFj +2E 6.17E-24 2.94 1.76E4 10.00 [95,120]
G21. E+CF 3 -+CFt +F+2E 2.15E-38 5.84 5.81E317.1O [95,120]
G22. E+F-+F+ +2E 5.04E-12 7.33E-1 3.18E5 17.42 [121]
G23. E+F2-+E+2F 9.62E-13 7.15E-l 1.47E4 3.16 DN" a 3n" [113]
G24. E+F2-+E+2F 6.15E-14 8.71E-l 1.84E4 4.34 DN§ A 'n" [113]
G25. E+F2--->E+F2 5.05E-27 3.6 1.5E4 11.57 EEt C 'L;; [113]
G26. E+F 2 -+F- +F 6.29E-3 -1.5 7.92E3 [113]
G27. E+F2-+Ft +2E 3.07E-35 5.19 1. 73E4 15.69 [113]
G28. E+0 2 -+0 2 +E 4.25 -1.74 1.3E5 3.90 VE* [110]
G29. E+02-+0 2 +E 1.64E-9 1.82E-1 2.01E4 0.98 EEt a '1\.9 [110]
G30. E+02-+0 2 +E 1.72E-13 6.66E-1 1.01E4 1.63 EEt b 'Lg [110]
G31. E+0 2 --->20+E 2.25E-18 1.9 1.06E4 5.12 [110,111]
G32. E+02-+0t +2E 9.85E-29 3.91 1.48E4 12.06 [110]
G33. E+0 2 --->O+O- 4.44E-6 -9.93E-1 5.91E4 0.03 [110]
G34. E+O-+O+E 1.84E-8 -7.71E-2 2.86E4 1.96 EEt 'D [112]
G35. E+O--->O+E 4.19E-14 8.64E-1 2.89E4 4.18 EEt 'S [112]
G36. E+O--->O+E 1.42E-24 2.95 2.33E4 13.00 EEt 3s t 3D o [112]
G37. E+O-+O+E 8.96E-20 2.08 2.47E4 9.00 EEt 38 3 SO [112]
G38. E+C02--->E+C0 2 9.1E-5 -8.33E-l 2.46E4 0.08 VE* [46]
G39. E+C02--->E+C0 2 2.06E-4 -9.23E-l 2.8E4 0.17 VE' [46]
G40. E+C02 --->E+C0 2 6.92E-8 -2.28E-1 1.02E4 0.29 VE* [46]
G41. E+C02-+E+C02 4.5E-2 -1.57 3.9E4 0.34 VE' [46]
G42. E+C0 2--->E+C0 2 8.4E-2 -1.63 4.59E4 0.25 VE' [46]
G43. E+C02-+E+C02 2.04E-3 -1.42 3.68E4 0.42 VE' [46]
G44. E+C0 2--->E+C0 2 2.7E-3 -1.41 3.66E4 0.51 VE' [46]
G45. E+C02--->E+C0 2 1.18E-3 -1.34 3.52E4 2.50 VE' [46]
G46. E+C02--->E+CO+O 2.93E-8 -2.01E-1 5.26E4 7.00 DN§, GP+ [46]
G47. E+C0 2--->E+CO+O 9.36E-22 2.7 3.89E4 10.50 DN§, GP+ [46]
G48. E+C0 2 --->CO+O- 1.25E-7 -8.11E-1 5.8E4 3.85 [46]
G49. E+COF2 -+COF+F+E 4.71E-7 -3.99E-l 1.52E5 copy CF 4
G50. E+CF--->CF+ + 2E 1.58E-11 6.29E-l 1.13E5 10.00 copy CF 2
100 E. Meeks and P. Ho

Table 3.5. Continued.


No. Reaction A B C E Notes Ref

G51. E+SiF4 -->SiF+3F+E 9.05E-33 4.38 1. 16E4 12.00 copy CF 4


G52. E+CF-->CF+E 2.11E-3 -1.45 9.95E3 0.11 VE' estimate
G53. E+CF-->CF+E 2.81E-3 -1.44 1.02E4 0.22 VE* estimate
G54. E+CF3 -->CF3+E 2.35E-7 -3.33E-1 7.78E3 0.14 VE* estimate
G55. E+CF3-->CF3+E 4.46E-4 -7.91E-1 2.39E4 0.15 VE* estimate
G56. E+ CF3-->CF 2+F+E 3.49E-3 -1.12 1.12E5 7.20 EEt estimate
G57. E+F-->F+E 6.91E-7 -4.41E-1 3.98E4 1.96 EEt estimate
G58. 0- +ot -->0+0 2 1.2E-7 0 0 [65]
G59. 0- +0-->02+E 1.4E-lO 0 0 [122]
G60. 0- +CO-->C02+E 6E-lO 0 0 [65]
G61. CF 3 +F-->CF 4 +E 1E-lO 0 0 estimate
G62. CF 3 +CF 2-->C2 F 5+ E 1E-10 0 0 estimate
G63. CF 3 +CF3-->C2 F 6+ E lE-lO 0 0 estimate
G64. F- +COF-->COF 2 +E 1E-lO 0 0 estimate
G65. F- +CF3-->CF4+E 1E-lO 0 0 estimate
G66. CF 3 +X+ -->CF 3+X 6E-7 -0.5 0 ** estimate
G67. F- +X+ -->F+X 8.7E-7 -0.5 0 ** estimate
G68. 0- +CFt -->0+CF3 1.43E-6 -0.5 0 estimate
G69. 2CF3 +M-->C 2F 6 + M 1.5E-29 0 0 [123]
G70. CF3+F(+M)<-+CF4 (+M) 2E-ll 0 0 [124]
Low pressure 1.6E-28 0 0 2 Torr [125]
Troe: 0:', T***, T*. 6.3E-1 1ElO TRtt
G71. CF2+CF 3 ( +M)<-+C2F5( +M) 1E-12 0 0 [126]
Low pressure 2.3E-26 0 0
Thoe: 0:, T***, T*. 3.9E-1 1 1ElO TRtt
G72. F+C 2F 4 <-+CF 3 +CF 2 4.8E-ll 0 0 [125]
G73. C2F5+F<-+CF3+CF3 1E-ll 0 0 [126]
G74. CF+CF2 +-+ C 2F 3 1E-12 0 0 [126]
G75. C2 F 3+F ..... C 2F 4 1E-12 0 0 [126]
G76. CF+F2 -->CF 3 3.9E-12 0 0 [127]
G77. CF3+F2 ..... CF4+ F 3.6E-14 0.5 1.46E3 [124]
Reverse reaction rate 1.66E-12 0.5 4.31E4
G78. CF3+O ..... COF2+ F 3.1E-ll 0 0 [126]
G79. CF 2+O ..... COF+F 1.66E-ll 0.5 0 [128]
G80. CF 2+O ..... CO+F 2 4E-12 0 0 [128]
G81. COF+0 ..... C0 2+F 9.3E-ll 0 0 [128]
G82. COF 2 +O+-+C02+ F 2 2.1E-ll 0 0 estimate
G83. F+0 2 ( +M)<-+F0 2 ( +M) 3E-ll 0 0 [126]
Low pressure 1.6E-32 0 0
Thoe: 0:, T***, T*. 7E-1 1ElO TRtt
G84. F+ F0 2 ..... F 2+ 0 2 1.51E-ll 0 1.51E3 [129]
G85. 0+ F0 2 +-> FO+0 2 5E-ll 0 0 [130]
G86. 0+FO ..... 0 2+F 5E-ll 0 0 [130]
G87. CO+F 2 +->COF+F 7.8E-13 0 6.79E3 [131]
G88. CO+F( +M)<-->COF( +M) 9.4E-ll 0 0 [126]
Low pressure 5.51E-32 0 0 [132]
Troe: 0:', T***, T*. 7.3E-1 1 1ElO TRtt
G89. COF+F( +M)<-+COF2( +M) 1.4E-ll 0 0 [126]
Low pressure 6.5E-29 0 0
Troe: 0::, T***, T*. 6.8E-1 1ElO TRtt
G90. COF+CF 2<-+COF 2+CF 3E-13 0 0 [126]
G91. COF+CF 3 <-+CF 4 +CO 1E-ll 0 0 [126]
G92. COF+CF3<-+COF 2+CF2 1E-ll 0 0 [126]
G93. COF+COF<-+COF2+CO 3.7E-ll 0 1.6E2 [133]
G94. CF+O<-+CO+F 1.2E-ll 0 0 [134]
3 Plasma Modeling 101

Table 3.5. Continued.


No. Reaction A B C E: Notes Ref

G95. O+F2<->FO+F 1.62E-ll 0 5.23E3 [135]


Reverse reaction rate 6.61E-14 0 9.56E3 461-523K
G96. O+O+M<->02+M 5.25E-35 0 -9.06E2 190-4000K [136]
G97. FO+FO<->F+F+0 2 1.5E-ll 0 0 200-300K [130]
* VE indicates a vibrational excitation reaction.
t EE indicates an electronic excitation reaction, to the designated electronically
excited state.
t GP indicates that the neutral products were not determined in the referenced
paper and were thus guessed.
§ DN indicates dissociation via the specified electronically excited state.
** X = CF 3 , CF 2 , CF, F 2 , O 2 , C 2 F 5 .
tt TR indicates troe formulation. See [97] for definition of parameters.

For the ions, G66 represents a set of neutralization reactions between CF;
and the various positive ions in the mechanism. Associative detachment is
illustrated by G61, but examples of charge transfer, with or without dissoci-
ation, are not included.
The sample surface reaction mechanism in Table 3.6 includes two sepa-
rate materials with different chemistries. The first, Depwall, represents the
surfaces covered by the oxide to be removed, and has a full set of reactions
that involve several different surface species. The second, Cleanwall, repre-
sents parts of the wall that remained clean, and only has ion neutralization
occurring on it without designation of specific surface species. The reactions
in Table 3.6 generally represent "lumped reactions" rather than elementary
surface reactions, as indicated by the noninteger coefficients present in many
of the reactions. The presence of fractional molecules in the reactions result
from; 1) the process of lumping reactions while keeping the reactions chemi-
cally balanced, and 2) the fact that we have minimized the number of surface
species due to limited fundamental information. However, the reaction set can
still be discussed in terms of the types of surface reactions listed in Table 3.3.
Reactions S2-S4, as written, represent the adsorption of neutral radicals on
the surface, where Si0 2_F 2 (s) represents a site on the Si0 2 surface with
two adsorbed F atoms. At a more elementary level, however, S2 represents
the combination of two steps: the adsorption of the first F atom to form a
Si02_F(s) species followed by the reaction of another F atom with Si0 2_F(s)
to form the Si0 2_F 2 (s) species. Likewise, S4 really represents the combina-
tion of the adsorption of CF 3 to form Si0 2_CF 3s) followed by elimination
of CF leaving behind Si0 2_F 2 (s) on the surface. Reaction Sl represents the
direct etching of Si0 2 by F atoms, and can be classified as an abstraction
process. S5 represents ion-assisted etching caused by the ions when they are
incident on a surface Si0 2_F 2 (s) species that was formed by a preceding ad-
sorption reaction. S6 represents neutralization of the ions if they are incident
102 E. Meeks and P. Ho

Table 3.6. Surface Chemical Reaction Mechanism for C 2F 6 102 Plasma Etching
of Si0 2 • y = a(Efon - E~h)C

Reaction A B C Notes*

Material Depwall
Sl. F+0.25Si02 (b )->0.25SiF 4 +0.250 2 0.015823 0.0 378.0 Stick, Ref. [137]
S2. 2F+Si0 2 (s)->Si0 2 _ F2 (s) 0.1 0.0 0.0 First order in F. Stick
S3. F 2 +Si0 2 (s)->Si0 2_ F2 (s) 0.1 0.0 0.0 Stick
S4. CF3 +Si0 2 (s)->Si0 2 _ F2 (s)+CF 0.05 0.0 0.0 Stick
S5. X+ +E+ySi0 2 (b )+2ySi02_ F2 (s) 1.0 0.0 0.0 X=CF 3 , CF2 , CF,
->X+ySiF 4 +y02+ 2ySi0 2 (s) F, F 2 , 0, O 2 , C,
and C 2F 5
Yield parameters: a=0.265, Eth=O.OeV, First order in
b=0.5, c=1.0 Si02_Fds). Bohm
S6. X+ +E+Si0 2 (s)->X+Si0 2 (s) 1.0 0.0 0.0 X=CF 3 , CF2 , CF,
F, F 2 , 0, O 2 , C,
and C 2 F 5 . Bohm
S7. CFt +E+ySi02(b)->ySi02+CF3 0.33 0.0 0.0 Bohm
Yield parameters: a=O.Ol, Eth=5.0eV,
b=0.5, c=1.0
S8. CFt +E+ySi0 2(b)->ySi0 2+CF 2 0.33 0.0 0.0 Bohm
Yield parameters: a=O.Ol, Eth=5.0eV,
b=0.5, c=1.0
S9. CF+ + E+ySi02(b)->ySi0 2+CF 0.33 0.0 0.0 Bohm
Yield parameters: a=0.005, Eth=5.0eV,
b=0.5, c=1.0
SlO. Ft +E+ySi0 2 (b)->ySi02+ F 2 0.33 0.0 0.0 Bohm
Yield parameters: a=0.005, Eth=5.0eV,
b=0.5, c=1.0
Sll. F+ + E+ySi02 (b)->ySi0 2+F 0.33 0.0 0.0 Bohm
Yield parameters: a=0.005, Eth=5.0eV,
b=0.5, c=1.0
S12. 0++ E+ySi0 2 (b)->ySi0 2 +O 0.33 0.0 0.0 Bohm
Yield parameters: a=0.005, Eth=5.0eV,
b=0.5, c=1.0
S13. ot +E+ySi0 2 (b)->ySi0 2+02 0.33 0.0 0.0 Bohm
Yield parameters: a=0.013052, Eth=5.0eV,
b=0.56, c=1.0
S14. C+ + E+ySi0 2 (b) ->ySi02 +C 0.33 0.0 0.0 Bohm
Yield parameters: a=0.002, Eth=5.0eV,
b=0.5, c=1.0
S15. C2Ft +E+ySi0 2 (b)->ySi0 2+C 2F 5 0.33 0.0 0.0 Bohm
Yield parameters: a=0.02, Eth=5.0eV,
b=0.5, c=1.0
Material Cleanwall
S16. X++E->X 1.0 0.0 0.0 X=CF 3 , CF 2 , CF,
F, F 2 , 0, 02, C,
and C 2 F 5 . Bohm

* ATE exp( -CIT) gives the sticking or Bohm coefficient, which multiplies the
incoming species flux.

on an open site, while 87-815 are direct sputtering of the 8i0 2 by the various
ions.
The neutral fluorine reaction with 8i0 2 (b) (81) is based on the measured
reaction probability from Flamm et al. [137]. The remaining sticking prob-
abilities and yield coefficients in Table 3.6 are derived from measurements
reported in the literature [85,86]. The ion yield coefficients are scaled by the
mass of the ion, relative to measured ion-yield data. In general, these mea-
surements were obtained through beam experiments on pure 8i0 2 material.
3 Plasma Modeling 103

However, the application is for the etching of phosphorus-doped Si0 2 . One


report of relative etch rates between pure Si0 2 and phospho-silicate glass
(PSG) showed that the phosphorus-doped glass etches about 5 times faster
than pure Si0 2 for these conditions [98]. The rate-limiting etching reactions
in Table 3.6 have therefore been increased by a factor of five to simulate PSG
etching, assuming that the ion-energy dependence remains the same.
Model results using the plasma chemistry described in Tables 3.5 and 3.6
have been compared successfully to mass spectrometric measurements of the
concentration of F, CF 4 , O 2 , and SiF 4 (etch product) under etching condi-
tions similar to the plasma clean process [94], but with a reagent mixture
of CF 4 /0 2 instead of C 2 F 6 /0 2 . An example of these comparisons is shown
in Fig. 3.6 [94]. The experimental measurements shown in the figure were
taken using a differentially pumped mass spectrometer located at a pinhole
exit downstream of a microwave plasma generated within a quartz tube. The
model and experimental conditions are for 0.5 Torr and 30 W power deposited
in a 25 mm diameter tube. In addition to this experimental validation, pre-
dicted etch rates and emission rates under the plasma clean conditions of
interest here are also in good agreement with measurements, as described in
Sect. 3.5.1.
The reaction mechanism for C 2 F 6 /0 2 etching of Si0 2 originally included
36 gas-phase species and 165 gas-phase reactions, plus 3 surface species and
31 surface reactions on the surface being etched and 9 reactions on any chem-
ically inactive surfaces. Eliminating 4 species and many reactions led to the
notably smaller reaction sets in Tables 3.5 and 3.6 for the example presented
in Sect. 3.5. In this case, the reduction was constrained to maintain the pre-
dicted Si0 2 etch rates and the predicted amount of C 2 F 6 and CF 4 in the
outlet gas stream.

3.5 Examples of Application of Plasma Modeling


to Design or Optimization

In this section we discuss some specific examples where plasma modeling


has been applied successfully in the design of plasma processes and plasma
reactor chambers. We have selected four examples that demonstrate the use
of the different levels of plasma models described in Sect. 3.3 for dissimilar
applications.

3.5.1 Optilllization of PlaSllla Cleaning Process


to Reduce Reactor Elllissions

As the general awareness of the environmental impact of global-warming con-


tinues to grow worldwide, so too will the regulation of specific gas emissions
from industrial processes. The microelectronics industry currently depends
heavily on the use of gases classified as "global-warming gases" in both the
104 E. Meeks and P. Ho

a) 1.0,----.----,-----r----r----,----,

CII 0.8
c
.2
'0 CF4 Experiment
t! 0.6 -
I&.
Il
'0
::& 0.4

0.2

o 0.1 0.2 0.3 0.4 0.5 0.6


Inlet Oxygen Mole Fraction

b) 0 .20 r---....----~----.----...._--____,r---__, 800

700 .
(/)
()

iD
Q.
0.15 600
c &:
o
i 500 III
&:
t! (/)
1&.0.10 400 (/)
II G
'0 ::::II
300 !!.
::::E
!.,
..
0.05 200
::::II
100 ;::;:
'<
O.OO~~~~~~----~----L---~----~ 0
o 0.1 0.2 0.3 0.4 0.5 0.6
Inlet Oxygen Mole Fraction

Fig. 3.6. Comparisons of mass spectrometric measurements with predictions by a


well mixed reactor model of a microwave plasma source for CF 4/0 2 mixtures.

direct processing of wafers and in the regular cleaning of deposits from reactor
chambers. For this reason, the semiconductor industry has been increasingly
concerned with the reduction of the overall emissions of targeted species.
One of the highest-volume uses of perfluorinated compounds (PFCs) in the
industry is in the repetitive cleaning of chamber walls in order to maintain
consistent process conditions in dielectric deposition processes. Such postde-
position clean steps are often run after every wafer. A typical process gas is
C 2 F 6 , and the cleaning plasma is often generated at a moderately low pres-
sure (0.5-2 Torr) through rf bias of the chuck in the deposition chamber. In
the optimization of the plasma clean, opportunities abound for the use of
modeling and simulation. Modeling can be employed both in optimizing the
3 Plasma Modeling 105

clean process given a reactor chamber, but also in the future design of the
deposition chamber itself to reduce the cleaning requirements.
Here, we provide an example that demonstrates the use of plasma mod-
eling in the optimization of a postdeposition plasma-clean process for the
reduction of emissions of the perfluorinated compounds, C 2 F 6 and CF 4 . This
study employed the well mixed reactor model, AURORA [39,99], to identify
and quantify reactor effluents under varying process and chamber conditions.
The model incorporated the C 2 F 6 /0 2 plasma chemistry and silicon dioxide
etch mechanisms described in Sect. 3.4.4. In addition to the validation of the
model through comparisons to gas-phase diagnostic measurements discussed
in Sect. 3.4.4, the etch model was also validated against C 2 F 6 and CF 4 emis-
sion measurements made under a nominal set of conditions at SEMATECH
and reported estimates of oxide etch rates from the tool manufacturer. The
model-predicted emission rates were within 5% of the measured values.
Figure 3.7 shows the emission rates predicted for all species under the
nominal operating conditions of the tool studied. As evident from the results,
much of the reagent C 2 F 6 gas flows directly through the chamber without
contributing to the plasma-clean process. In addition, the model prediction
provides a total mass balance for the reactor effluent, identifying species and
determining composition details that would be very difficult (if not impossi-
ble) to ascertain experimentally.

Outflow Species
Fig. 3.7. Example of predicted emission rates of species in a post-deposition
plasma-clean process.

In order to minimize the PFC emissions for this reactor, we varied process
conditions in the model and predicted both the PFC effluents as well as the
dielectric etch rate, which provides a measure of the process throughput. With
process throughput as the only constraint, an optimal process must have a
high oxide etch rate and a low CF 4 and C 2 F 6 emission rate. Figure 3.8 shows
the results of this investigation. The results suggest that a lower pressure, and
higher rf power would yield more efficient clean conditions. The predictions
106 E. Meeks and P. Ho

0.8 2.0 0.8 0.8

,,
0.5
\
C.F. \ C.F.
1.5 0.8 0.8
----\.
\

--\ \
m
0.4 '.
W ..., a-
c
\
, ~ c . .. :::T
.S! \
,, ::D .S!
13 .. ::D
~ 0.3 ,, 1.0
~ I! \
. .. 0.4
~

J . ..
LL LL
,
CD
(5
.!!l
0
. . ., ~
::!l 0.2 ::!l 3"
............ 0.5 2: 0.2
, ,, 0.2
:i"
~

0.1
____ CF4 ,,
... - ...... ,,
"'-- .. -
....... -
0.00 0.0 0.0 0.0
20 40 80 80 0.0 0.2 0.4 0.8 0.8 1.0
Power Density (mW/cm~ Input 02 Mole Fraction

0.4 0.7 0.4 0.6

~ ~
0.6
0.5
,.
0.3
,
.-- -- 0.5
0.3
/ C.F. \
, .......... m 0.4
i a-:::T W
c
,.
i c :::T

,.,.
.S! 0.4
::D
.S! ::D
13
I! 0.2 C.F.
~ ~ 0.2 0.3 aCD
LL ,i 0.3 1= LL
CD
(5 - .,' 3
CD
(5 ~
,.i 3" "3

--
::!l ::!l 0.2

0.1
,.i
i CF4
0.2
a: 0.1 CF4 a
- --- - ... - ..... _- .. ...... _-
0.1 --_ ... --- -------_ .. ---- 0.1

0.0 0.0 0.0 0.0


2 3 4 5 6 0 2 3 4 5 6
Flow Rate (SLM) Pressure (Torr)

Fig. 3.S. Example of predictions by a well mixed reactor model of the dependence
of PFC emission rates and etch rates on operating conditions for a post-deposition
plasma-clean process.

in Fig. 3.8 also showed optimal conditions for both total flow rates (around
2000 sccm and for the percent of oxygen in the reagent mixture (around 60%).
Although other constraints on the process must be considered, such as
power-supply limitations or damage to reactor wall materials that may occur
under high ion-energy bombardment, the model provides valuable guidance
for choosing optimal conditions. Such a model could be used in a virtual "de-
sign of experiments" to narrow the process window and identify nonlinear
effects of process changes. With the computational speed of the well mixed
3 Plasma Modeling 107

reactor model (rv seconds per simulation) , the number of simulated condi-
tions is potentially much greater than what could reasonably be provided
by experiment, assuring that peaks and valleys are not missed in the initial
scoping of the process. The well mixed reactor model is ideal for such studies
of reactor effluents, where details about the process chamber geometry or
process performance are much less important then the details of the chemical
kinetics within the chamber.

3.5.2 Optimization of Chemical Downstream


Etch Process Conditions

Another area where plasma modeling has been successfully applied to process
design is in the simulation of remote-plasma processes, where a plasma gener-
ates reactive species upstream of the reactor chamber. Chemical downstream
etch (CDE) systems, for example, are important alternatives to wet-etch
processes for damage-free wafer cleaning and stripping. Such systems pro-
vide easy integration with other dry-etch process steps and reduce the need
for hazardous wet-etch chemicals. As shown in Fig. 3.9, CDE systems typ-
ically consist of an upstream plasma region, a downstream chamber where
chemical etching of the wafer occurs, and a transport tube in between that
serves to transfer neutral etchant species from the plasma region to the re-
actor downstream. The complex coupling between the different regions of
the CDE system and the tradeoffs between chemical production and trans-
port make empirical optimization of these systems difficult. Goals for process
development include minimization of wafer damage due to impingement of
energetic ions, maximization of etch rate and uniformity at the wafer surface,

Plasma Source
Applicator Tube
- quartz etching
- heat transfer

~ . Transport Tube
microwave guide -ion loss
- etchant loss
- gas cooling
- surface chemistry

Showerhead

Etch Chamber
- wafer etching
- radical loss

Fig. 3.9. Schematic of a typical chemical downstream Etch (CDE) System.


108 E. Meeks and P. Ho

and optimization of etch selectivity of targeted materials on the wafer. Mod-


eling the essential components of the CDE system provides important insight
into process tradeoffs and allows optimization of the overall system. Here, we
present an example of a modeling study of a downstream etch system, which
was reported previously [94]. The approach to modeling the CDE system was
to model each of the system components in tandem, using the level of detail
necessary to achieve meaningful predictions of downstream etch performance.
A well mixed reactor model represented the plasma source, where details of
the kinetics are of dominant importance. A two-dimensional neutral reacting
flow model simulated the downstream chamber, where neutral transport ef-
fects dominate. In the transport tube, both transport and kinetics compete,
but the dominance of convection and geometric simplicity of the tube allow
reduction to a one-dimensional model. The chemistries investigated included
CF 4/0dN2 and NF 3/0 2 etching of polysilicon, with attention to etch selec-
tivity of the polysilicon to silicon oxide on the patterned wafer structures.
These studies used detailed descriptions of the chemistry occurring in these
systems, although we do not reproduce the reaction mechanisms here.
Simulation of the plasma source employed the well mixed reactor model,
AURORA [39,99], since the important result here is the composition of the
plasma effiuent, rather than spatial information within the plasma region.
The well mixed reactor model was also used to develop and validate the
chemistry mechanisms used in the models, through comparisons with ex-
perimental data. Including ion-enhanced and chemical etching of the quartz
microwave applicator tube by fluorine atoms proved to be important for prop-
erly modeling the plasma source. The erosion of the quartz tube by the plasma
substantially reduced the lifetime of the applicator and therefore contributed
significantly to the operating costs of the reactor. In addition, the quartz-tube
etching resulted in a significant loss of the fluorine atoms that were needed
in the downstream-chamber etch process.
Results from the simulation of the plasma-source region are shown in
Fig. 3.10. The figure shows that NF3 dissociates easily in the plasma source
and that the quartz-tube etch products (SiF4 and O 2) represent a significant
fraction of the plasma effiuent. The results in Fig. 3.lOa show that a decrease
in the plasma-source residence time, through increased flow rate, decreases
both the dissociation of NF 3 and the wall-erosion conversion of F atoms to
SiF4. With these two competing effects, the F fraction remains fairly constant
for flow rates greater than about 75 sccm. Fig. 3.lOb shows that, although the
mole fraction of F decreases slightly as the pressure is increased, the atomic
fluorine concentration increases due to the increase in total gas density. These
effects would not be intuitively obvious without the inclusion of the competing
gas-phase and surface kinetic effects.
The transport-tube model, which assumes one-dimensional plug flow,
takes the outlet conditions from the plasma-source model as its inlet con-
ditions. A higher-dimensional model is not required because the goal was not
3 Plasma Modeling 109

a) 10°
F

c:

t
c:

~
10.1
t~ 10.
1
2

I
.!I
:I NF

104~~~~~---L~~-----=~
o 10 100 110 200 210 300 0.4 0.8 1.2 1.8 2
Total Flow Rate [seem] Source P.....UN [Ton1

Fig. 3.10a,b. Example results from the simulation of the CDE plasma source region
using a well mixed reactor model. Results show the dependence of the plasma
composition on operating conditions.

to resolve the radial variations within the transport tube, but rather to de-
termine the overall loss rates versus transport rates for the etchant species.
Convection dominates the transport along the tube axis and diffusion domi-
nates in the radial direction, such that plug-flow assumptions are valid. The
"plasma" in this region was modeled using a quasineutral approximation
to conserve charge. The transport-tube model also included detailed surface
chemistry descriptions of ion neutralization and plasma recombination along
the distance of the transport tube. In this way, the model captures the tran-
sition of the fluid from a plasma to a neutral gas entering the downstream
etch chamber.
An example of the results of the transport-tube simulation is given in
Fig. 3.11. The transport-tube model predictions verify the neutrality of the
gas entering the downstream region. Although the attenuation in ion density
could not be measured quantitatively, the predicted neutrality was corrobo-
rated by a nearly undetectable ion current in the downstream chamber and a
lack of ion damage, as measured by test wafers [100]. The transport-tube sim-
ulations also showed that the length of the transport tube was much greater
than the minimum required to guarantee neutrality. Reduction in the tube
length could improve the process by reducing unnecessary recombination of
the neutral etchant species.
Finally, simulation of the downstream-etch chamber in this study used
conventional methods for modeling the neutral chemically reacting flow. The
two models employed to simulate the downstream region were a I-dimensional
stagnation-flow model [39,101]' and a radially symmetric 2-dimensional model
[102,103]. The I-dimensional model allowed inclusion of more detailed chem-
istry than the 2-D model, and was used to investigate the effects of process
110 E. Meeks and P. Ho

a) 1012

~ 1011
U
;::.
b
! 10'"
!
J 10'
I
en
10'
0 0.5 1 1.5 2
AxIal Position [em]

b) 10°

c
0
1$
....l! N N'3
~ 10.1 SF
:Ii
J
I
en

10 20 30 40 50 60 70 80
Axial Position [em]

Fig.3.11a,b. Example results from the simulation of the CDE transport tube,
showing ion and neutral recombination along the length of the transport tube.

conditions on etch rate as well as to identify dominant processes in the re-


actor. The 2-D model simulated wafer uniformity with a reduced chemistry
set. Comparisons of model-predicted etch rates of silicon and silicon dioxide
at the wafer surface were within 25% of measurements and captured most
of the observed trends well. These comparisons are reproduced in Fig. 3.12.
The main discrepancy between the overall CDE system model and experi-
mental observations was attributed to a lack of detail in the modeling of the
temperature and ion-energy dependent erosion of the quartz applicator tube
in the upstream plasma region. However, the effects of total flow rate, and
inlet gas composition were well predicted by the models.
The combination of the three levels of models for this study provides an
optimal compromise between model efficiency and level of required detail,
yielding a comprehensive view of the system. The study also demonstrates
the difference between optimizing one portion of the system (e.g., the plasma
source) and optimizing the system as a whole. For example, changing the
pressure in the system affects not only the residence time in the plasma
3 Plasma Modeling 111

200r-------~--------,_------_,

..r: 140
di
-3 120
1 ........
Q 100
c ....._................. Fig. 3.12. Example results from
j the simulation of the CDE down-
ii 80 stream chamber, showing com-
parisons of etch rate predictions
600~------~5~0-------1~0~0~----~150
(solid) with experimental measure-
Flow Rata of Added 02 (seem)
ments (dashed).

source, but also the residence time in the transport tube and the efficiency of
etchant transport to the wafer surface in the downstream reactor chamber.

3.5.3 Reactor Design: Scaling-Up from 200 to 300 mm Wafers

Evidence that equipment manufacturers have begun to use plasma simu-


lation directly as a design tool appears in recent publications by develop-
ment organizations within these companies. Widely used among the equip-
ment manufacturers is the 2-D hybrid plasma equipment model (HPEM),
which was developed at the University of Illinois by Ventzek et aI. [23]. This
model performs particularly well in predicting electric field characteristics in
high plasma density reactors. Collison et aI. [104] describe the application of
HPEM to the investigation of plasma uniformity in a reactor scaled up to
handle 300 mm diameter wafers.
The study by Collision et aI. employed a simplified chemistry of pure ni-
trogen to examine the effects of plasma power, chamber pressure, chamber
aspect ratio, and inductive coil configuration on the uniformity of ion cur-
rent just above the substrate surface. The reactor geometry studied is shown
schematically in Fig. 3.13. All model predictions shown for the ion current
were also compared qualitatively to Langmuir-probe measurements in a pro-
totype chamber. In the diagnostic experiments, the effect of aspect ratio was
mimicked through inclusion of variable width spacers below the quartz win-
dow. Figure 3.14 shows the results for both model predictions and diagnostic
measurements of the ion current dependence on chamber aspect-ratio.
The use of both modeling and Langmuir-probe measurements is an impor-
tant feature of this study. Although the Langmuir-probe measurements alone
show the same ion uniformity trends, there are many potential issues in the
application of Langmuir probes, as discussed in Chap. 5. Use of models and
112 E. Meeks and P. Ho

TCP Source Supply

Quartz Window Planar Source Coil

Substrate Temperature
Control and ESC Supply ~ RF Bias Supply

Fig. 3.13. Schematic of transformer coupled plasma reactor modeled in the example
scale-up application. Used with permission from [104].

1.2
Probe Model

1.0

C
~ 0.8
"
(,)
c
s
..
11
.!:!

E
0.6 lem.pacer

~ 0.4

0.2

0.0
-300 -200 -100 0 100 200 300 -300 -200 -100 0 100 200 300
a) Distance From Wafer Center (mm) b) Distance From Wafer Center (mm)

Fig. 3.14a,b. Example results of model predictions of ion current uniformity in the
prototype reactor, as well as Langmuir-Probe measurements. Used with permission
from [104] .

diagnostics together can provide mutual verification of trends predicted or


observed. In addition, the models provide a wealth of additional information
beyond the single line measurement results. The model can help explain the
observed trends and provide insight into areas of the plasma not immediately
accessible to the probe.
3 Plasma Modeling 113

4000

3500

-"e
c
3000

-
- 2500 -. .A-a -'"'

S
.c
2000

1500
B
W
1000

500
O~ ______~__________~____~______~

-200 -150 -100 -50 0 50 100 150 200


Distance from Wafer Center (mm)
Fig. 3.15. Resulting etch rate profiles using reactor conditions and configuration
optimized by modeling and diagnostics. Used with permission from [104J.

The Collison et at., study resulted in the choice of optimal process con-
ditions and chamber configurations for chlorine plasma etching of silicon in
the prototype 300 mm chamber. The results of etching under these conditions
gave uniformity on a blanket silicon wafer of 3.6%, as shown in Fig. 3.15. In
this way, many cycles of prototype and etch were avoided through the use
of modeling and diagnostics. This approach to reactor design was cited as
a marked departure from previous methodology that required processing of
thousands of test wafers to gauge effects of changes in process and chamber
design [104].
Scaling-up reactor chamber size is an excellent example of an application
where plasma modeling can be of great benefit today. For such applications,
many details of plasma chemistry and plasma-surface interactions can usu-
ally be neglected, which makes the multidimensional simulations tractable.
One should, however, consider the choice of representative chemistry with
some care. The representative gas should have similar characteristics to the
chemistry that will ultimately be used in the chamber, such as diatomic
versus atomic species, electronegativity, ionization potential, and diffusivity.
Even with a complex 2-D plasma simulator, modeling is orders of magnitude
cheaper than repeatedly processing test wafers in a series of reactor proto-
types. Validation of the model against data from an existing chamber allows
predictive investigation of the effects of size and chamber configuration on the
plasma behavior, prior even to building the first scaled-up prototype. While
prototyping is still essential, such a methodology reduces reactor design cycles
significantly.
114 E. Meeks and P. Ho

3.5.4 Mapping Pressure Gradients


in Reactor Pump Port and Inlet Regions
Our final example describes the use of a direct simulation Monte Carlo
(DSMC) model to map details of pressure gradients in an axisymmetric
reactor geometry, including pumping port and inlet regions. Although the
simulations do not model plasmas per se, they address some important issues
that are generic to low-pressure plasma reactors. At millitorr or sub-millitorr
pressures, continuum models will not provide accurate predictions of pressure
gradients within the reactor. Knowledge of the pressure field is important to
designing the placement of pressure sensors, for example, or for optimizing
the reactor pumping characteristics. For this application, the Monte Carlo
approach provides the best method for simulating the bulk flow in the reac-
tor. As a demonstration of this capability, Shuffiebotham et al. [105], reported
the results of DSMC simulation of a reactor test chamber and validation of
these predictions against diagnostic measurements.
Figure 3.16 [105] shows the reactor geometry modeled and instrumented
by Shuffiebotham et al. The vacuum chamber was intended to be a close
approximation to a commercial low-pressure plasma processing reactor, but
was kept axisymmetric in order to provide more direct validation of the 2-D
axisymmetric DSMC model. Undissociated nitrogen gas flowed through the
system at near room temperature and with flow rates varying from 50 to
500 sccm. The nominal reactor pressure was a few millitorr. An example of
the pressure profiles predicted by the DSMC model and the comparison to
experimental data is shown in Fig. 3.17. As demonstrated, the model can very
accurately predict the pressure gradients for rarified flows, with predictions
falling within 10% of measured values in all cases.
While the example given here demonstrates the capability of the 2-D
DSMC model, the DSMC method is generally easily expandable to three di-
mensions. 3-D DSMC modeling would be appropriate for investigating density
gradients in the reactor due to asymmetric pump ports or around discreet
inlet nozzles, for example. Such simulations do not require detailed chemistry
or plasma simulation, but can provide much detail regarding the pressure,
concentration, and temperature gradients of the background neutral gas in a
plasma reactor.

3.6 Future Directions of Plasma Modeling


As demonstrated in the previous section, numerical simulation is becoming
an important tool in the design and optimization of plasma reactors and
processes. We expect the usage of plasma modeling to greatly increase as the
simulation tools become more widely accessible and as high-powered comput-
ers continue to become cheaper. Also, as the industry finds more applications
for plasma processing technology, the breadth of applications for plasma mod-
eling will increase accordingly.
3 Plasma Modeling 115

Capacitance Manometer&

.......---~-....- - -....- ....-Gaa Injection Nozzles (16)

I
3.56"

~ "electrode"

6.88"
~ =:=.~
I
side tube

"----I

:
aj
19.9"

1:=~~5-".~~:9_.'~::::::::
4.94" I VAT Gate Valve
I

I Screen
Osaka Vacuum

\ TG2OO3M lMP

TMP Rotor Shaft


axis
of
rotation
I
Fig. 3.16. Schematic of vacuum test chamber used to validate DSMC pressure
simulations. Used with permission from [105].

Increases in computational power should make it more feasible to con-


struct large models that include more of the detailed physics and chemistry
than can be handled today. Even with the barrier of computational time re-
moved, however, it is unlikely that we will soon be including every possible
collision between plasma species in our reaction mechanisms. Instead there
will always be a need to develop reaction descriptions that are targeted to
a specific process of interest to the analyst, due to lack of fundamental data
and uncertainty in the available kinetic parameters. Also, there will always
be a compromise between performing calculations that include more physics
116 E. Meeks and P. Ho

6.00

500accm
5.00 • . • • •

400accm
4.00 · • · · ·
c
i
I! 3.00 ·
300accm

• • • ..
I 2.00
200accm
• ... • • • •

1.00
100accm
· • . • • · · Fig. 3.17. Example results of pres-
sure profiles predicted by 2-D
DSMC simulation and compar-
0.00 0
isons to experimental measure-
1 234 5 6 7 8 9 ments. Used with permission from
Radius (inches) [105].

and chemistry, and performing a larger number of calculations to answer new


questions about a process being studied.
Future modeling work will involve closer coupling between reactor, wafer,
and feature scales. Process engineers are concerned with the microscale etch-
ing results of a plasma process. For models to be truly predictive at the
microscale, they must: 1) describe the changes in the plasma due to varia-
tions in reactor operating conditions, 2) translate the plasma species fluxes
at the wafer into local fluxes over the scale of a die via a "mesoscale" model,
and 3) take the local species fluxes and predict the profile evolution for indi-
vidual features via a profile simulator. The challenges here will be to do this
coupling self-consistently and robustly across the different length scales.
Transient simulations are also likely to become increasingly important for
a number of applications. Modulation in the rf sheath may affect the time-
dependent profile evolution for reactor-to-feature scale coupling. A better un-
derstanding of transients during reactor start-up and reactor wall "condition-
ing" could increase reactor productivity and stability. Pulsed-plasma tech-
nology is also attracting much interest as a means for reducing ion damage
or particle contamination during plasma etching. Advanced reactor-control
strategies will require knowledge of the time-dependent behavior of the sys-
tem. Modeling plasma reactor transients requires overcoming the numerical
challenges in coupling highly disparate time scales, in addition to the dis-
parate length scales.
3 Plasma Modeling 11 7

In parallel with the growth in model complexity and capability, we expect


there to be a continuing need for compact and reduced-order plasma models.
Model reduction, through the tailoring of chemistry or geometry, can enable
new applications for plasma simulation. For example, plasma models will
prove useful in assisting in statistical designs of experiments, both for iden-
tifying important parameters and for minimizing the number of experiments
required. Control algorithms that use physically based models are also likely
to become more common. Reduced-order models that, although lacking in
accuracy, predict qualitative trends will be ideal for these applications. The
challenge here will be to couple together enough of the physics to capture the
important trends while keeping computational time to a minimum.
In the future, plasma modeling should become much more of a predictive
tool than current models, which generally require substantial calibration and
validation with experimental measurements. Making this a reality, however,
requires the acquisition and compilation of much more fundamental physics
and chemistry data than are available today, in addition to advances in the
modeling tools.

Acknowledgements. The work described in Sect. 3.5.1 was performed by E.


Meeks as part of a Cooperative Research and Development Project between
Sandia National Laboratories and SEMATECH in 1997, and was partially
funded by the Environment, Safety, and Health Thrust group at SEMAT-
ECH. The work by P. Ho at Sandia National Laboratories was partially sup-
ported by the Office of Basic Energy Sciences. Sandia is a multi program labo-
ratory operated by Sandia Corporation, a Lockheed Martin Company, for the
United States Department of Energy under Contract DE-AC04-94AL85000.

References
1. M. Mitchner, and C.H. Kruger, Partially Ionized Gases (John Wiley & Sons,
New York, 1973).
2. L.E. Kline, and M.J. Kushner, Crit. Rev. Solid State Mater. Sci. 16, 1 (1989).
3. D.B. Graves, Am. Inst. Chern. Eng. 35, 1 (1989).
4. G.G. Lister, J. Appl. Phys. D 25, 1649 (1992).
5. S.C. Deshmukh, and D.J. Economou, J. Appl. Phys. 72, 4597 (1992).
6. C. Lee, D.B. Graves, M.A. Lieberman, and D.W. Hess, J. Electrochem. Soc.
141, 1546 (1993).
7. E. Meeks, and J.W. Shon, IEEE Trans. Plasma Sci. 23, 539 (1995).
8. E. Meeks, H.K. Moffat, J.F. Grcar, and R.J. Kee, Sandia National Laboratories
Report No. SAND96-8218, (1996).
9. M. Meyyappan, and T.R. Govindan, Vacuum 47, 215 (1996).
10. S. Ashida, C. Lee, and M.A. Lieberman, J. Vac. Sci. Technol. A 13, 2498 (1995).
11. P. Ahlrichs, U. Riedel, and J. Warnatz, J. Vac. Sci. Technol. 16, 1560 (1998).
12. L.J. Mahoney, A.E. Wendt, E. Barrios, C.J. Richards, and J.L. Shohet, J. Appl.
Phys. 76, 2041 (1994).
13. N.S.J. Braithwaite, and J.E. Allen, J. Phys. D 21, 1733 (1988).
118 E. Meeks and P. Ho

14. E. Meeks, P. Ho, A. Ting, and RJ. Buss, J. Vac. Sci. Techno!. A 16, 2227
(1998).
15. D.J. Economou, D.R Evans, and R.C. Alkire, J. Elcctrochem. Soc. 135, 756
(1988).
16. M.A. Lieberman, IEEE Trans. Plasma Sci. 17,338 (1989).
17. V.A. Godyak, Phys. Rev. A 42, 2299 (1990).
18. M.E. Riley, Sandia National Laboratories Report No. SAND95-0115, (1995).
19. M.E. Riley, Sandia National Laboratories Report No. SAND96-1948, (1996).
20. M. Surendra, Plasma Sources Sci. Techno!. 4, 56 (1995).
21. S.A. Self, and L.D. Eskin, IEEE Trans. Plasma Sci. 11, 279 (1983).
22. V. Vahedi, C.K Birdsall, M.A. Lieberman, G. DiPeso, and T.D. Rognlien,
Phys. Fluids B 5, 2719 (1993).
23. P.L.G. Ventzek, RJ. Hoekstra, and M.J. Kushner, J. Vac. Sci. Techno!. B 12,
461 (1994).
24. RK. Porteous, and D.B. Graves, IEEE Trans. Plasma Sci. 19, 204 (1991).
25. D.J. Economou, T.J. Bartel, R.S. Wise, and D.P. Lymberopoulos, IEEE Trans.
Plasma Sci. 23,581 (1995).
26. F. Coron, J. Stat. Phys. 54, 829 (1989).
27. J. Johannes, T.J. Bartel, D. Sears, and J. Payne, Sandia National Laboratories
Report No. SAND96-0590, (1996).
28. E.F. Jaeger, L.A. Berry, and D.B. Batchelor, Phys. Plasmas 2, 2597 (1995).
29. M.J. Kushner, W.Z. Collison, and M.J. Grapperhaus, J. App!. Phys. 80, 1337
(1996).
30. J.I. Ulacia, and J.P. McVittie, J. App!. Phys. 65, 1484 (1989).
31. E.S.G. Shaqfeh, and C.W. Jurgensen, J. App!. Phys. 66, 4664 (1989).
32. T.S. Cale, G.B. Raupp, and T.H. Gandy, J. Vac. Sci. Techno!. A 10, 1128
(1992).
33. S. Hamaguchi, M. Dalvie, RT. Farouki, and S. Sethuraman, J. App!. Phys. 74,
5172 (1993).
34. J. Li, J.P. McVittie, J. Ferziger, and K.A. Saraswat, J. Vac. Sci. Techno!.
B 13, 1867 (1995).
35. G.S. Hwang, C.M. Anderson, and KP. Giapis, Phys. Rev. Lett. 77, 3049 (1996).
36. J.P. Chang, A.P. Mahorowala, and H.H. Sawin, J. Vac. Sci. Techno!. A 16, 217
(1998).
37. RL. Walker, Los Alamos National Laboratories, unpublished, (1998).
38. E. Meeks, and P. Ho, Thin Solid Films, 365, 334 (2000).
39. RJ. Kee, F.M. Rupley, J.A. Miller, M.E. Coltrin, J.F. Grcar, E. Meeks, H.K.
Moffat, A.E. Lutz, G. Dixon-Lewis, M.D. Smooke, J. Warnatz, G.H. Evans,
R.S. Larson, RE. Mitchell, L.R Petzold, W.C. Reynolds, M. Caracotsios,
W.E. Stewart, and P. Glarborg, Chemkin Collection (Reaction Design, Inc.,
San Diego, CA, 2000).
40. E. Meeks, RS. Larson, P. Ho, S.M. Han, E. Edelberg, E. Aydil, and C. Apblett,
J. Vac. Sci. Techno!. A 16, 544 (1998).
41. KL. Bell, H.B. Gilbody, J.G. Hughes, A.E. Kingston, and F.J. Smith, J. Phys.
Chern. Ref. Data 12, 891 (1983).
42. M.A. Lennon, KL. Bell, H.B. Gilbody, J.G. Hughes, A.E. Kingston, M.J. Mur-
ray, and F.J. Smith, J. Phys. Chern. Ref. Data 17,1285 (1988).
43. RS. Freund, RC. Wetzel, R.J. Shul, and T.R Hayes, Phys. Rev. A 41, 3575
(1990).
3 Plasma Modeling 119

44. J.-L. Delcroix, D. Humbert, and C. Leprince, GAPHYOR, A Database for


Atoms, Molecules, Gases, and Plasmas (Laboratoire de Physique des Gaz et
des Plasmas, Orsay, 2000).
http://gaphyor.lpgp.u-psud.fr / gaphyor / gaphyor.html
45. J.K. Olthoff, Electron Interactions with Plasma Processing Gases (National
Institute of Standards, and Technology, Washington, DC, 2000).
http://www.eeel.nist.gov /811/refdata/index.html
46. W.L. Morgan, and W.K.Trail, Graphical Java Cross Section, and Reaction
Rates Database (Kinema Research, Inc., Monument, CO, 2000).
http://www.kinema.com
47. W. Huo, Electron-molecule Collision Cross Sections (NASA-Ames, Moffett
Field, CA, 2000). http://www.ipt.arc.nasa.gov/databasemenu.html
48. L.G. Christophorou, Electron-Molecule Interactions, and their Applications,
(Academic Press, Orlando, 1984), Vol. 1, p. 699.
49. R.A. Stewart, P. Vitello, and D.B. Graves, J. Vac. Sci. Technol. B 12, 478
(1994).
50. R.S. Wise, D.P. Lymberopoulos, and D.J. Economou, Appl. Phys. Lett. 68,
2499 (1996).
51. D.P. Lymberopoulos, and D.J. Economou, IEEE Trans. Plasma Sci. 23, 573
(1995).
52. M. Meyyappan, and T.R. Govindan, J. Appl. Phys. 80, 1345 (1996).
53. J. Johannes, T.J. Bartel, and D.J. Economou, J. Electrochem. Soc. 144, 2448
(1997).
54. J.D. Bukowski, D.B. Graves, and P. Vitello, J. Appl. Phys. 80, 2614 (1996).
55. F. Westley, D.H. Frizzell, J.T. Herron, R.F. Hampson, and W.G. Mallard, NIST
Standard Reference Database 17: NIST Chem. Kinetics Database (U.S. Depart-
ment of Commerce, Gaithersburg, MD, 1993).
56. V.N. Kondratiev, Rate Constants of Gas Phase Reactions (COM-72-10014)
(U.S. Department of Commerce, Washington, DC, 1972).
57. S.W. Benson, Thermochemical Kinetics, 2nd ed. (John Wiley & Sons, Inc., New
York, 1976).
58. V.G. Anicich, J. Phys. Chern. Ref. Data 22, 1469 (1993).
59. J.M. Farrar, Ann. Rev. Phys. Chern. 46, 525 (1995).
60. A.V. Phelps, J. Phys. Chern. Ref. Data 21, 883 (1992).
61. B.L. Kickel, J.B. Griffin, and P.B. Armentrout, Z. Phys. D 24, 101 (1992).
62. C.Q. Jiao, R. Nagpal, and P. Haaland, Chern. Phys. Lett. 265, 239 (1997).
63. C.Q. Jiao, R. Nagpal, and P.D. Haaland, Chern. Phys. Lett. 269, 117 (1997).
64. J. Perrin, O. Leroy, and M.C. Bordage, Contrib. Plasma Phys. 36, 3 (1996).
65. B.M. Smirnov, Negative Ions (McGraw-Hill International Book Company, New
York, 1982).
66. M.W. Chase, C.A. Davies, J.R. Downey, D.J. Frurip, R.A. McDonald, and A.N.
Syverud, J. Phys. Chern. Ref. Data 14, Supplement No.1, 1 (1985).
67. B.J. McBride, NASA Lewis Report No. SP-3001, (1963).
68. P. Ho, and C.F. Melius, J. Phys. Chern. 94, 5120 (1990).
69. P. Ho, and C.F. Melius, J. Phys. Chern. 99, 2166 (1995).
70. M.D. Allendorf, and C.F. Melius, J. Phys. Chern. 101, 2670 (1997).
71. P. Ho, M.E. Colvin, and C.F. Melius, J. Phys. Chern. 101, 9470 (1997).
72. P. Ho, W.G. Breiland, and R.J. Buss, J. Chern. Phys. 91, 2627 (1989).
73. M.A. Lieberman, and A.J. Lichtenberg, Principles of Plasma Discharyes, and
Materials Processing (John Wiley & Sons, Inc., New York, 1994).
120 E. Meeks and P. Ho

74. S.E. Lassig, J. Li, J.P. McVittie, and C. Apblett, presented at the DUMIC
Conference, 1995 (unpublished).
75. J.W. Coburn, and H.F. Winters, Appl. Surf. Sci. 22/23,63 (1985).
76. J.W. Coburn, IEEE 'frans. Plasma Sci. 19, 1048 (1991).
77. D.W. Hess, J. Vac. Sci. Technol. A 8, 1677 (1990).
78. G.S. Oehrlein, Surf. Sci. 386, 222 (1997).
79. L.-Y. Cheng, J.P. McVittie, and K.C. Saraswat, Appl. Phys. Lett. 58, 2147
(1991).
80. R.J. Buss, P. Ho, and M.E. Weber, Plasma Chern. PlasmaProc. 13,61 (1993).
81. E.R. Fisher, P. Ho, W.G. Breiland, and R.J. Buss, J. Phys. Chern. 96, 9855
(1992).
82. G.P. Kota, J.W. Coburn, and D.B. Graves, J. Vac. Sci. Technol. A 116, 270
(1998).
83. H.H. Anderson, and H.L. Bay, "Sputtering Yield Measurements," in Sputtering
by Particle Bombardment I. Phys. Sputtering of Single-Element Solids, (ed. by
R. Behrisch) (Springer-Verlag, New York, 1981), Vol. 47, p. 145.
84. J.W. Coburn, J. Vac. Sci. Technol. B 12, 1384 (1994).
85. J.W. Butterbaugh, D.C. Gray, and H.H. Sawin, J. Vac. Sci. Technol. B 9, 1461
(1991).
86. D.C. Gray, 1. Tepermeister, and H.H. Sawin, J. Vac. Sci. Technol. B 11, 1243
(1993).
87. N. Hershkowitz, and H.L. Maynard, J. Vac. Sci. Technol. A 11,1172 (1993).
88. M. Balooch, M. Moalem, and A.V. Hamza, J. Vac. Sci. Technol. A 14, 229
(1996).
89. J.P. Chang, and H.H. Sawin, J. Vac. Sci. Technol. A 15,610 (1997).
90. C.C. Cheng, K.V. Guinn, V.M. Donnelly, and 1.P. Herman, J. Vac. Sci. Tech-
nol. A 12, 2630 (1994).
91. C. Steinbruechel, Appl. Phys. Lett. 55, 1960 (1989).
92. M.E. Barone, and D.B. Graves, J. Appl. Phys. 77, 1263 (1995).
93. J. Johannes, E. Meeks, D. Economou, and J. Feldstein, presented at the The
29th AIAA Plasma Dynamics, and Laser Conference, Albuquerque, NM, 1998
(unpublished).
94. E. Meeks, S.R. Vosen, J.W. Shon, R.S. Larson, C.A. Fox, and D. Buchenauer,
Sandia National Laboratories Report No. SAND96-8241, (1996).
95. L.G. Christophorou, J.K. Olthoff, and M.V. Rao, J. Phys. Chern. Ref. Data
25, 1341 (1996).
96. L.G. Christophorou, and J.K. Olthoff, J. Phys. Chern. Ref. Data 27, 1 (1998).
97. R.G. Gilbert, K. Luther, and J. 'froe, Ber. Bunsenges. Phys. Chern. 87, 169
(1983).
98. Ikegami, Jpn. J. Appl. Phys. 30, 1556 (1991).
99. E. Meeks, and J.W. Shon, J. Vac. Sci. Technol. A 13, 2884 (1995).
100. J. Stevens, M. Blain, and T. Meisenheimer, SEMATECH Report No.
95042781A-ENG, (1995).
101. M.E. Coltrin, R.J. Kee, and G.H. Evans, J. Electrochem. Soc. 136,819 (1989).
102. G. Evans, and R. Greif, Int. J. Heat Mass 'fransf. 34, 2039 (1991).
103. G. Evans, and R. Greif, Int. J. Heat Mass 'fransf. 37, 1535 (1994).
104. W.Z. Collison, T.Q. Ni, and M.S. Barnes, J. Vac. Sci. Technol. A 16, 100
(1998).
105. P.K. Shuffiebotham, T.J. Bartel, and B. Berney, J. Vac. Sci. Technol. B 13,
1862 (1995).
106. W.L. Morgan, Plasma Chern. Plasma Proc. 12,477 (1992).
3 Plasma Modeling 121

107. L.G. Christophorou, J.K Olthoff, and M.V. Rao, J. Phys. Chern. Ref. Data
26, 1 (1997).
108. L.G. Christophorou, J.K Olthoff, and Y. Wang, J. Phys. Chern. Ref. Data
26, 1205 (1997).
109. L.G. Christophorou, and J.K Olthoff, J. Phys. Chern. Ref. Data 27, 889
(1998).
110. Y. Itikawa, A. Ichirnura, K. Onda, K. Sakirnoto, K. Takayanagi, Y. Hatano,
M. Hayashi, H. Nishimura, and S. Tsurubuchi, J. Phys. Chern. Ref. Data 18,
23 (1989).
111. P. Cosby, J. Chern. Phys. 98, 9560 (1993).
112. Y. Itikawa, and A. Ichirnura, J. Phys. Chern. Ref. Data 19, 637 (1990).
113. W.L. Morgan, Plasma Chern. Plasma Proc. 12, 449 (1992).
114. R.K, Janev, W.D. Langer, K. Evans, and D.E. Post, Elementary Processes in
Hydrogen-Helium Plasmas (Springer-Verlag, New York, 1987).
115. A.V, Phelps, and RJ.V. Brunt, J. Appl. Phys. 64,4269 (1988).
116. T.J. Somrnerer, and M.J. Kushner, J. Appl. Phys. 71, 1654 (1992).
117. E. Meeks, RS. Larson, S.R. Vosen, and J.W. Shon, J. Electrochern. Soc. 144,
358 (1997).
118. M. Hayashi, Gaseous Dielectrics V, (eds. L. Christophorou, and D. Bouldin)
(Pergamon, New York, 1987).
119. H.D. Poll, C. Winkler, D. Margreiter, V. Grill, and T.D. Mark, Int. J. Mass
Spectrorn. Ion Proc. 112, 1 (1992).
120. V. Tarnovsky, P. Kurunczi, D. Rogozhnikov, and K. Becker, Int. J. Mass Spec-
trom. Ion Proc. 128, 181 (1993).
121. T.R. Hayes, RC. Wetzel, and RS. Freund, Phys. Rev. A 35, 578 (1987).
122. J,1. Steinfeld, S.M. Adler-Golden, and J.W. Gallagher, J. Phys. Chern. Ref.
Data 16, 911 (1987).
123. A.M. Velichko, E.B. Gordon, A.A. Nadelkin, A.1. Nikitin, and V.L. Tal'roze,
High Energy Chern. 19, 58 (1985).
124. I.C, Plumb, and KR Ryan, Plasma Chern. Plasma Proc. 6, 11 (1986).
12.5. N.1. Butkovskaya, M.N. Larichev, 1.0. Leipunskii, 1.1. Morozov, and V.L.
Talroze, Kinet. Catal. 21, 263 (1980).
126. I.C. Plumb, and KR Ryan, Plasma Chern. Plasma Proc. 6, 205 (1986).
127. J. Peeters, J.V. Hoeyrnissen, S. Vanhaelerneersch, and D. Verrneylen, J. Phys.
Chem. 96, 1257 (1992).
128. J.T. Herron, J. Phys. Chern. Ref. Data 17, 967 (1988).
129. J.L. Lyman, and R Holland, J. Phys. Chern. 92, 7232 (1988).
130. W.B. DeMore, D.M. Golden, RF. Hampson, C.J. Howard, M.J. Kurylo, M.J.
Molina, A.R Ravishankara, and S.P. Sander, Jet Propulsion Laboratory Report
No. 81-41, (1987).
131. J.M, Heras, A.J. Arvia, P.J. Aymonino, and H.J. Schrnacher, An. Asoc. Quim.
Argent. 50, 120 (1962).
132. D.L. Baulch, J. Duxbury, S.J. Grant, and D.C. Montague, J. Phys. Chern.
Ref. Data 10, 1 (1981).
133. M.M. Maricq, J.J. Szente, and G.A. Khitrov, Chern. Phys. Lett. 199, 71
(1992).
134. C.-P. Tsai, and D.L. McFadden, Chern. Phys. Lett. 173, 241 (1990).
135. R.H. Krech, G.J. Diebold, and D.L. McFadden, J. Am. Chern. Soc. 99, 4605
(1977).
136. W. Tsang, and R.F. Hampson, J. Phys. Chern. Ref. Data 15, 1087 (1986).
137. D.L. Flamm, C.J. Mogab, and E.R. Sklaver, J. Appl. Phys. 50, 6211 (1979).
4 Plasma Reactor Modeling

M. Meyyappan

4.1 Introduction

Plans to introduce pilot lines for 300 mm processing are in progress. The IC
technology is simultaneously moving towards 0.18 11m and 0.151lm linewidths.
The convergence of these two trends places unprecedented stringent demands
on processes and equipments. The challenges faced by equipment manufac-
turers are enormous. Their dual role in the IC business involves design and
development of new generation equipment and development and demonstra-
tion of processes compatible with their reactors. More than ever, computa-
tional modeling is called upon to playa complementary role in equipment and
process design. The pace in hardware/process development needs a matching
pace in software development: an aggressive move towards developing "vir-
tual reactors" is desirable and indeed essential to reduce design cycle and
costs. This goal has three elements: reactor scale model, feature level model,
and database of physical/chemical properties. With these elements coupled,
the complete simulation package should function as a design aid in a TCAD
environment.
A reliable TCAD tool must be physically accurate, executable with rea-
sonable computing resources, include the necessary database, and of course,
user friendly. Researchers at universities and various national laboratories
are engaged in developing physically accurate models at both reactor and
feature levels. Commercial software vendors convert these models into a form
usable by a wider community through addition of a user friendly interface,
grid generation capabilities, and state-of-the art visualization of simulation
results. In this chapter, we present a discussion on various approaches to re-
actor modeling (Sect. 4.2) and simulation of profile evolution during etching
and deposition in Sect. 4.3. A brief overview of database needs is given in
Sect. 4.4. Finally, some concluding remarks, comments on commercial simu-
lation packages, and future directions for complete plasma reactor modeling
are presented in Sect. 4.5.

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
124 M. Meyyappan

4.2 Reactor Scale Model

4.2.1 A Review of Various Approaches

An equipment design engineer looks to a modeler for help in terms of optimum


choices for reactor dimensions, aspect ratio, location and size of inlets and
exit ports, placement of power source coils or antenna, location of wafer and
its support, and other relevant reactor geometrical details. Similar questions
arise during process design:

• For a given reactor, what are the effects of power, pressure, and vari-
ous flow rates on process performance (such as etch or deposition rate,
uniformity)?
• What are the effects of diluent gases (such as argon, helium, oxygen, etc.)
on process performance?
• Given a target processing rate and uniformity, what should be the knob-
settings?

The answers may be obtained from global or O-dimensional (O-D) models,


continuum reactor simulations, kinetic or particle models, and hybrid mod-
els. Each of these approaches, except particle/kinetic model, is discussed in
Sects. 4.2.2-4.2.4 respectively.
The dynamics of the various species (electrons, ions, neutrals) and their
collisions are described by the Boltzmann equation. Ideally, a multidimen-
sional solution of the Boltzmann equation would provide all the information
we wish to know in reactor design. Unfortunately, numerical solution of the
Boltzmann equation for practical reactor geometries and realistic reactive
gas mixtures is not yet possible. A strong academic research in this area is in
progress and must be continuously pursued until this approach becomes prac-
tical, since it is the most accurate means to model reacting plasmas. Kolobov
and Godyak [lJ provide a recent review of kinetic schemes in plasma reactor
modeling. A direct simulation Monte Carlo (DSMC) scheme is an alternative
kinetic approach. DSMC is a particle scheme in which the flow dynamics is
represented by several thousand simulated particles each of which represent
orders of magnitude more real particles. Molecular collisions, elastic and in-
elastic collisions, and collisions with surfaces are included. Various groups
have readily used the DSMC technique to study gas flow issues in plasma
reactors at low pressures [2-5J. Following a couple of neutral species and ions
in a plasma has not been a problem for DSMC. However, it has not been pos-
sible to date to follow the electrons freely, due to the disparate time scales
of electrons and ions/neutrals and as such, there is no self-consistent DSMC
model and code in the community. Given the computer resource intensive
nature of this approach, there is no hope that DSMC will become a TCAD
candidate in the near future. Nevertheless, this is another approach which
must be pursued in the future and advanced schemes need to be developed
4 Plasma Reactor Modeling 125

to follow the plasma electrons along with other species. Even if they can-
not be used in TCAD tools, availability of DSMC codes with the complete
physics or complete multidimensional Boltzmann solvers would be valuable
to benchmark continuum and hybrid approaches.

4.2.2 Global Model


While one can carefully build the correct level of physics and chemistry in
continuum, kinetic or hybrid approaches, practical problems involving 20 or
more species participating in 50-100 reactions are too difficult to solve in
two or three dimensions. At this level, overnight computer runs are still not
possible. In this regard, well mixed reactor or zero-dimensional (O-D) mod-
els have appeared in the literature which provide preliminary understanding
of plasma characteristics very rapidly [6-11]. As the name suggests, a O-D
model is obtained by volume-averaging the governing conservation equations
of mass and energy, which implies that the reactor is well mixed. The set
consists of algebraic equations for n species (each ion and neutral), electron
energy balance, and gas energy balance for a total of (n + 2) algebraic equa-
tions. The electron density is obtained from the quasineutrality assumption.
It is easy to see then why a global model can provide results rapidly-in a
matter of seconds on a personal computer-even for 20 or more species with
hundreds of reactions. All that is involved is solution of (n + 2) algebraic
equations. The computer code to solve a global plasma reactor model can
be easily written as a part of graduate course assignment. General purpose
O-D codes-that include detailed handling of gas phase and surface reactions,
sensitivity analysis, etc.-such as SAMPR [12,13] and AURORA [10] have
been successfully used to analyze plasma reactors. Further details on 0-D
model are discussed by Meeks in this book in the context of reaction design.
It is noted that volume-averaging results in a loss of information on plasma
and process uniformity in a O-D model. Nevertheless, this approach is useful
as an analysis tool to rapidly obtain the effects of plasma power, pressure,
and flow rates on plasma density, electron temperature, density of radicals,
rates of desirable reactions, etc. One can also obtain a quick accounting of
how the applied power is spent: energy to sustain the plasma, power spent on
accelerating the ions in the sheaths, and losses due to inelastic collisions. The
0-D model can also be effectively used as a prelude to multidimensional sim-
ulations. For example, a O-D model can be used to reduce a large species and
chemistry set to a more manageable smaller set suitable for multidimensional
simulation, through sensitivity analysis.
References [7-13] give examples of O-D results for argon, chlorine, CF4 and
other plasmas and typically provide electron density, electron temperature,
and key rates of reactions as functions of plasma power and pressure. In a
pulsed plasma, the source power is pulsed typically with a period of 10-500 J..ls.
A O-D model can be used to study the pulsed plasma reactor if the algebraic
equations are solved repeatedly throughout the pulse at sufficiently small time
126 M. Meyyappan

8.0 8

--..
~
..,I
-
E
()

-....-
I-
..,,,.::. 4.0
'"-04
E
-....
()
>-
.r;;

-.
-0 c
CI)
C
c
0.0 0

60 _-3
I
..,I fII
I

!: 40 52
'"-0
-....
II
C

20 S1
as
a:
0
0.5 1.0 0.0 0.5 1.0
Scaled period Scaled period
Fig. 4.1. Analysis of a pulsed plasma reactor using a O-D model. lOsccm of SF6
flow at 20mTorr. The time-averaged power is 200W with lOO~s pulse period at
50% duty ratio [13].

intervals. Figure 4.1 shows results from such an analysis [13) for a pulsed SF6
plasma. The analysis included 14 neutral and ionic species and 21 electron
impact reactions; neutral-neutral reactions were ignored at the low pressure
typical in a high density plasma reactor. The results in Fig. 4.1 are for an
inductively coupled plasma (rep) reactor of diameter d = 10 cm and length
L = 15 cm. An SF6 flow of 10 sccm at 20 mTorr is considered. A simple square
wave modulation of the input power is studied. The pulse period, which is
the sum of the on- and off- time (Le., the pulse width+interval) is 100/ls.
The duty ratio is 50% which is the ratio of on-time to the total period.
Figure 4.1 shows the variation of several key plasma parameters during a
pulse for a time-averaged power of 200 W. The time scale for power absorption
by the electrons is very short and the electron temperature rises to its peak
instantaneously. This period lasts typically less than 2/ls. Soon after, the rates
of the electron impact reactions begin to be appreciable during the power-on
segment and the onset of ambipolar diffusion happens; these events result in
energy loss and the electron temperature decreases from the peak value. Once
the power is turned off, the electron temperature levels off asymptotically to
an insignificant value. Since the plasma potential (and hence ion energy) is
4 Plasma Reactor Modeling 127

a few multiples of the electron temperature, it is very small during the pulse
interval. The electron density continues to increase during the on-part of the
pulse; during this time, the generation of electrons by ionization far exceeds
the loss due to attachment and ambipolar diffusion to the wall. When the
power is off, ionization is negligible and the electron density decays with a
decay rate determined by an effective time constant given by:

The first term on the right corresponds to ambipolar diffusion. Aeff is an


effective area, V is the reactor volume, and UB is Bohm velocity. The second
term represents loss of electrons due to attachment. Ka is the rate constant
and N is the SF 6 number density.
All electron-impact reactions with the exception of attachment reactions
are negligible during the off-part of the cycle since the electron temperature is
mostly below 1 eV. The attachment rate constants increase with a decrease in
temperature and as a result, the rates are significant during the pulse interval
as seen in Fig. 4.1. Though the electron temperature continues to decrease,
a simultaneous decrease in electron density tends to reduce the attachment
rates during the later part of the pulse and hence the rates exhibit a peak
in the interval. The densities of SF6" and SF5" exhibit a strong modulation
during the 100 f.Ls pulse. The ratio of ne/n peaks around 70 at t = 0.5. The
dominant neutral species in a high density SF 6 discharge is atomic flourine
and SF(j is nearly depleted. In the present case, the SF x (x = 25) densities
are approximately 9 x 10 11 cm- 3 . SF is the dominant radical (6.3 x 10 13 cm- 3 ).
The densities of neutral species do not exhibit any modulation. The SF 6
density decreases somewhat until t = 0.5 due to strong dissociation and
begins to recover during the pulse interval. Due to the high concentration of
F atoms, F+ is the major positive ion followed by SFt and SFt. A parametric
study for various duty cycles and pulse widths is given in [13].

4.2.3 Continuum Reactor Model

Continuum or fluid modeling is by far the most widely used analysis to study
plasma reactors. It is often wondered if fluid models would be valid to describe
a plasma at low pressures. With the chamber size increasing and reactor pres-
sure remaining at 1-20 mTorr through many generations, the mean free path
versus characteristic reactor dimension still favors the use of a continuum
approach. The most important aspect dictating the validity and utility of
continuum models is the description of electron impact reactions. In kinetic
models, the nature of electron energy distribution function (EEDF) is an
explicit output. This, along with the input of fundamental collision cross sec-
tions, does not leave any ambiguity in the treatment of inelastic collisions in
the case of kinetic schemes. In contrast, the information on EEDF needs to be
fed to the fluid model somehow. Often, a Maxwellian distribution is assumed
128 M. Meyyappan

and the rate constants are evaluated from collision cross sections. However,
the possible deviation of the EEDF from Maxwellian and the presence of a
high energy tail in the distribution would make the rate constants suspect
and result in a poor predictive capability. Alternatively, one may solve the
Boltzmann equation locally (a zero-dimensional solution) and use the ensu-
ing EEDF to compute the rate constants. Though this approach may provide
partial relief, Kolobov and Godyak [1] advocates some nonlocal approaches.
With the improvements mentioned above, it is possible to develop continuum
models into reliable predictive TCAD tools. It is critical to conduct thorough
validation by comparison against experimental results.
Below, we describe a complete continuum model suitable for high density
plasma reactors. For a discussion on rf capacitivity coupled plasma reac-
tors, the reader is referred to [14]. The model consists of mass conservation
equations for each of the neutral and ionic component in a multicomponent
mixture, momentum equation for the mass-averaged velocity of the mixture,
gas and electron energy equations all of which are listed below:
8Pi
at + V . Pi U = -V· Ji + Ri (4.1)

8
-pu+ V·puu = -Vp+ V· II +pg (4.2)
8t

pCp [~~ +U.VT] =V·KVT+u·Vp


N N
- LCpiJi· VT - LhiRi + Qex (4.3)
i=l

8
8t (3/ 2nekTe) +V . neu e3/2kTe = -neue· E - V . PeUe
+ V· Ke VTe - L RejHej + Pext · (4.4)
j

Here, Pi is the mass density of species i, J i is the diffusional mass flux of


species i, and Ri is the mass rate of production or consumption of species i
from all homogeneous reactions. U is the mass averaged velocity and p is the
total mass density, computed from Li Pi. II is the viscous stress tensor and
9 is the gravitational force vector. p is pressure given by:

ion ion

Here T stands for temperature. For the results shown here, ions are as-
sumed to be at a constant given temperature and an ion energy equation is
4 Plasma Reactor Modeling 129

not solved. Te is the electron temperature. The electron density, n e , is ob-


tained from the quasineutrality condition. Note that in high density plasma
reactors, the contribution of electron pressure (last term in (4.5) to the total
can be significant. This may result in a strong coupling between the electron
transport and gas flow equations.
Further nomenclature related to the governing equations is as follows. Cpi
is the specific heat of species i, hi is enthalpy of species i per unit mass, U e is
the electron velocity, k is Boltzmann constant, K is thermal conductivity of
the mixture, Ke is electron thermal conductivity, and E is electric field. The
electron thermal conductivity is given by 3/2 kneDe where De is the electron
diffusivity related to the electron mobility (/1e) through De = 3/2 kTe/1e.
In (4.3), the term Qex represents the heat gain by the gas through elastic
collisions with electrons and charge exchange collisions with ions. In (4.4),
Hej denotes heat loss due to electron inelastic collision process j. P ext is the
power coupled to the plasma from the external power source which can be
obtained from solutions to the Maxwell's equations. A convenient form of the
Maxwell's equation is:
V2Eo = iW/1oaEo (4.6)
where Eo is the azimuthal component of electric field, a is plasma conduc-
tivity, W is driven frequency and /10 is permeability constant.
The above description provides only a concise account of the model due to
page constraints; further details including multicomponent diffusion model,
self-consistent multitemperature description, expression for the ambipolar
electric field and other relevant discussion are given by Meyyappan and
Govindan [15] and Ramshaw and Chang [16]. Appropriate boundary con-
ditions need to be specified for the solution of the above governing equations.
Due to the rarefied nature of the flow, a slip velocity boundary condition
must be used at the walls. The inlet conditions are imposed based on the
known species flow rates, velocity direction, and temperatures. A subsonic
exit boundary condition is applied by enforcing a constant pressure, while
the remaining variables are obtained by a first-order extrapolation from the
interior. Typically, the governing partial differential equations and boundary
conditions may be discretized using finite differences or finite elements. In
general, sheaths in front of the wafer and walls may be difficult to resolve
using sufficient number of grid points. In addition, if Poisson's equation is
not solved and quasineutrality is assumed (which allows easy computation of
electron density from the known densities of all ions), then the information on
plasma density, ion flux, etc. obtained at the wafer surface would be wrong.
This can be fixed by using an analytical sheath model in conjunction with
the above analysis.
Recently Bose et al. [17] used the complete continuum model described
above to model a Lam ICP reactor for which Langmuir probe measurements
of electron density and temperature in a nitrogen plasma were available [18].
Figure 4.2 shows the electron density and temperature inside the ICP reactor
130 M. Meyyappan

N2 Plasma (100 seem, 10 mTorr, 500 W)


•• • • ••

1.45

Electron Temperature (eV)

•• • • ••

Fig. 4.2. Results from a complete


fluid model [17] for an ICP reactor.
,&1-\°1 Reactor conditions: 100 sccm nitro-
gen flow at 10 mTorr and 500 W ap-
Electron Density ( cm-3 ) plied power.

for 100 sccm N2 at 10 mTorr and 500 W power. The model included ionization
of molecular and atomic nitrogen, various excitation processes, and dissoci-
ation of molecular nitrogen. The peak electron temperature is about 3.5 eV
and occurs near the roof of the chamber with a "stove-top" power coil. The
electron temperature just above the wafer does not appear to be uniform
based on the isotherms in Fig. 4.2. The electron density has a peak value
of about 3 x 1010 cm- 3 and occurs away from the centerline of the reac-
tor. These predictions agree well with the measurements in [18]. Figure 4.3
shows the azimuthal electric field and power deposition for the conditions
discussed above. As expected, most of the power is deposited near the roof
and the magnitude of the azimuthal electric field is large in the vicinity. Bose
et al. provided a detailed parametric study varying coil power, pressure and
nitrogen flow rate, and the predicted qualitative dependence of plasma char-
acteristics on the above parameters was consistent with experiments. The
quantitative comparison with the measurements was reasonable, given the
accuracy of Langmuir probes.
Bose et al. [17] also conducted numerical experiments to assess the effect of
solving the N avier-Stokes equation for gas flow and the gas energy equation,
given by (4.2) and (4.3) respectively. The reason was that the traditional
plasma modeling community always assumed to consider 'frozen' gas flow
at a fixed gas temperature, thus eliminating the need to solve these "non
plasma" equations. It is important to assess the validity of the simplified
approach. Thus Bose et al eliminated (4.2) and (4.3) and added an equivalent
4 Plasma Reactor Modeling 131

N2 Plasma (100 seem. 10 mTorr. 500 W)


•• • • ••

Azimuthal Electric Field (VIm)

•• • • ••

I
Power Deposition ( W1m3 )
I Fig. 4.3. Contours of azimuthal elec-
tric field and power deposition corre-
sponding to conditions of Fig. 4.2.

gas residence time term in (4.1) for all species continuity equations. When
compared with complete continuum model described earlier, the abbreviated
model did agree reasonably well for pressures above 20 mTorr. In general,
at low pressures and large flow rates characteristic of high density plasma
reactors, the complete model is more accurate but it does require significantly
more computational resources than the abbreviated model since the time
scales of (4.2) are substantially different from those of the electron energy
equation in (4.4).
The abbreviated continuum model mentioned above has been widely used
in the literature to analyze ICP and ECR reactors [19-24] and several ex-
amples are given below. Wise et al. [20] used such an analysis embodied in a
code called MPRES [25] to study the Gaseous Electronic Conference (GEe)
reference plasma reactor. Figure 4.4 shows their results for a chlorine plasma
at 20 mTorr, inductive coil power of 180 W at 13.56 MHz and 20 sccm of pure
chlorine. The substrate is not biased in their study. Wise et al. used a fairly
detailed chemistry including ionization of Ch and Cl, dissociative ioniza-
tion of C1 2 , dissociation of Ch, electronic excitation of atomic and molecular
chlorine, attachment and dissociative attachment to molecular chlorine, and
various ion-ion and neutral recombination reactions. Figure 4.4 shows both
the atomic chlorine density and the density of the dominant ion, Cl+. The
ion density peaks on the axis and the plasma is well confined between the
two electrodes in the chamber. The atomic chlorine density is highest at the
center and smoothly decays in the radial direction. Figure 4.5 shows the
computed line-averaged electron density and negative ion (Cl-) density as a
132 M. Meyyappan

CI+ Density CI Density


(10 16 m-3 ) (1020m-3) 0.10

0.05

0.00

Radial Distance (m)

Fig. 4.4. Chlorine plasma in a GEC reference plasma reactor. Contours of Cl+ ion
(left) and Cl atom (right) for 20 sccm, 180 Wand 20 mTorr [20].

'l'
E 35 15
'"
'0 30
'"I
~

~ E
·iii 25
c
Q)
10 ~o
0 20
~

c ~
e 15
·iii
c
t5
Q) Q)

iIi 5 0
u
10
G
• •
Q)

~ 5
OJ
2
.E: 0 0
Q)
c 50 100 150 200 250 300 350
::J Power (W)

Fig. 4.5. Comparison of simulation results and measurements for a chlorine plasma
in GEC reference plasma reactor [20].

function of power along with microwave interferometry and photo detachment


measurements [20]. The electron density increases with power and computer
simulation results agree well with measurements. The predictions for negative
ion density agree within a factor of two with the photodetachment data.
Choi and Veerasingham [22] used the MPRES fluid code [25] to under-
stand the plasma chemistry of BCl3 /Ch mixtures in etching aluminum metal
layers. They also focused on the GEC reference inductive reactor geometry
(as in Fig. 4.4) for which data was more readily available than in commer-
cial reactors. An extensive species and reaction compilation was used in the
analysis. Figure 4.6 shows predicted radial profiles of electron density, elec-
tron temperature, and plasma potential along with the corresponding probe
4 Plasma Reactor Modeling 13:3

5r-------~--------~--------~------_,
- Model
7 .......... Experiment
§ 4
'0
~

3
.c
"iii
c
Q)
"0 2
c
e
"0
Q)
Qi

o~------~--------~--------~------~
-10 -5 0 5 10
radial position (em)
(a)

6r---------~----------~--------~
- - - Model
__--------_
........ Experiment
>"
~
5
~

?_-_._-
::l
~ 4
Q)
a.
E
2 3
c
e
"0
Q) 2
Qi

~1~0~----_--_45----------~0----------~5~--------~10"
radial position (em)
(b)

24r---------~~--------_,----------~----------~
- - Model
22
........ Experiment
>" 20
]! 18
~ 16
o
:; 14
~as 12
Q. 10
8

6~--------~--------~--------~--------~
-10 -5 o 5 10
radial position (em)
(e)

Fig. 4.6. Comparison of simulation results and measurements for a BChjCb


plasma in GEC reference plasma reactor [22]"
134 M. Meyyappan

measurements. The predictions and measurements in Fig. 4.6 correspond to


a location of 1.7cm above the lower electrode for a 1:2 ratio gas mixture of
BCh/CI2 at 300W and 12.7mTorr pressure. The electron density predictions
are twice the measurements. The electron temperature is underpredicted and
the plasma potential is overpredicted. Given the unknown probe accuracy and
uncertainties in certain rate coefficients in the model, Choi and Veerasingham
[22] concluded that the comparison is reasonable.
As mentioned earlier, computer simulation can effectively serve as a de-
sign tool in equipment design and development. Conventional ICP reactors
use "stove top" coils at the top of the reactor in an otherwise cylindrical
reactor geometry. Feldsien and Economou [24] investigated a dome-shaped
reactor using computer sin;tulation with a view to improve plasma uniformity.
Figure 4.7 shows the dome-shaped reactor along with contours of atomic chlo-
rine density and electron temperature, in a chlorine plasma at 10 mTorr and
1000 W. The electron temperature seems to exhibit a very strong gradient
which leads to a localized plasma production closer to the coils. Also, the
electron temperature is much smaller near the wafer which may be advan-
tageous to minimize charge damage. Feldsien and Economou conducted an
extensive parametric study for the dome-shaped configuration and concluded
that the uniformity improves significantly with a reduction in pressure.

4.2.4 Hybrid Model


The best known hybrid model is from Kushner's group at the University of
Illinois [26-30]. It eliminates fluid continuity and energy conservation equa-
tions for the electrons thus avoiding any a priori assumption of EEDF. In-
stead, a Monte Carlo scheme is used to follow the electron dynamics. This
is coupled to a fluid analysis of ions and neutrals and Maxwell's equation
described in the previous section. A detailed description of the hybrid ap-
proach is provided in [26]. The University of Illinois hybrid code HPEM [31]
is the most widely used plasma analysis tool in the industry now and it has
been applied to study chemistries of argon, oxygen, nitrogen, CF 4 and other
reactive mixtures.
Figure 4.8 shows the application of HPEM with an analytical sheath
model (that circumvents the need to use a very fine mesh for the purpose
of resolving the sheaths) to an argon/chlorine discharge [28]. The ICP reac-
tor has a flat spiral 4-turn coil to power the plasma. The wafer is located
7.5 cm downstream from the quartz window. The 8 inch. wafer is surrounded
by an aluminum focus ring. For 200 W applied power and 100 V rf bias to the
wafer, a peak electron density of 4.6 x 1011 cm- 3 is predicted. The contours in
Fig. 4.8 correspond to the percentage of maximum electron density. Figure 4.9
shows HPEM results for an Ar/CF 4 /0 2 mixture for etching silicon dioxide.
The composition of gases is 85/12/3 respectively. The results in Fig. 4.9 are
for 15 mTorr, coil power of 900 Wand a wafer bias of 75 V at 13.56 MHz. The
power deposition is confined to a toroidal-shaped region just under the roof.
4 Plasma Reactor Modeling 135
High
Bottom Position
Position
0.25

0.2
I Ql
c 0.15
()

~
i5
Cii 0.1
·x
«
0.05

0.2 0.2

1 7 13 19 1 4 7 10 13 16 19
[m 1 2.90E+19 5.40E+19 7.91E+19 1.D4E+20 1.04 1.42 1.98 2.19 2.67 2.59 3.34 [eV]
0.25
Electron
Temperature
0.2
E
Ql
c 0.15
()

~
i5
Cii 0.1
·x
«
0.05

0
0.2 0.15 0.1 0.05 0 0.05 0.1 0.15 0.2
Radial Distance (m)

Fig. 4.7. A dome-shaped Iep reactor (top). Various coil positions and computa-
tional grid are shown. The bottom figure shows contours of atomic chlorine density
(left) and electron temperature (right) in a chlorine plasma [24].

The power deposition due to wafer biasing is confined near the wafer and
serves primarily to accelerate the ions through the wafer sheath. The peak
electron density exceeds 10 12 cm- 3 and the radical uniformity is reasonable.
Contours of key ions such as CF3 + and 0- are also shown in Fig. 4.9. The
analysis also showed that ion energies at the substrate in this geometry, for
the parametric range investigated, are fairly uniform radially with the values
near the dc bias [28J.
A three-dimensional version of HPEM has been used in [27J to study the
effect of asymmetries in pumping and inductive coil arrangement. This study
136 M. Meyyappan

17.0 r---;:======I=========~~======4=:t===::;----,

Eo
::- 8.5
.s:::
Cl
·m
::r::: Wafer

Pump
Port
OU---~~----~----------~------~--~--~~----~
18 9 o 18
Radius (em)

16·~-------------------------------..

100=4.6x10 em

E
.3-
1:
Cl
·m
::r:::

Radius (em)

Fig.4.8. Hybrid model results for an lCP reactor. Schematic (top) and electron
density contours (bottom) are shown [28].

examined polysilicon etch characteristics in a chlorine plasma and correlated


the asymmetries in etch behavior to the asymetries in electric field and ion
production rates. Figure 4.10 shows etch profiles and ion flux at the wafer for
two different reactors with one- and five-turn coils respectively. Though the
etch rate itself is determined by the atomic chlorine, the etch rate uniformity
largely depends on the ion flux uniformity. The peak etch rates in the two
reactors in Fig. 4.10 are nearly the same at 0.19 and 0.21~.Immin-l. This
4 Plasma Reactor Modeling 137

§ 5.0
E
Cl

~ 2.5
0.0 L..:\~~~~L.....I..L.--L~
1
10.0 7.5 5.0 2.5 0 2.5 5.0 7.5 10.0
(a) Radius (cm)

o.:~~~~
10.0 7.5 5.0 2.5 0 2.5 5.0 7.5 10.0
(b) Radius (cm)

Fig. 4.9. Hybrid model results for CF 4/ Ar/02 plasma in an ICP reactor. (a) elec-
tron density (left) and power deposition (right) and (b) eFt (left) and 0- (right)
density contours.

observation correlates to the nearly equal peak ion fluxes of 9.8 x 10 15 and
1.1 x 10 16 cm- 2 s-l. For the one-turn coil, the etch rate exhibits a serious side-
to-side variation, with the maximum etch rate on one end of the wafer. The
location of maximum etch rate corresponds to the location of the maximum
for the ion flux. For the five-turn coil, the side-to-side variation in etch rate
is not so severe as seen in Fig. 4.10 and the etch rate is azimuthally more
symmetric compared with the one-turn case.

4.3 Feature Level Modeling

Profile evolution modeling consists of two steps: (a) computing the etch/ depo-
sition rate based on first principles and (b) advancing the interface at every
time step based on the computed rates. Naturally, one would expect the rate
to be derived from a reactor model self-consistently since reactor model can
138 11. 11eyyappan

ETCH RATE (100 • 1810 AImIn) ION FLUX (100 • I.e x 10 15 tan2-c)
12~~~~----,-----------,

I-TURN
12~----------~----------~
10 o 12 0 12
.) POSITION (em) c) POSITION (em)

ETCH RATE (100. 2CHW Almin) ION FLUX (100 .1.1 x 1016 fan2.s)
12r-----~----~--------~--_,

12L-----------~----------~
10 o 10 12 0 12
bl POSITION (em) d) POSITION (em)

Fig. 4.10a-d. Three-dimensional analysis using a hybrid model [27]. Two different
coil configurations are examined: one-turn coil (top) and five-turn coil (bottom).
Experimental etch rates for polysilicon wafer and computed ion flux to the wafer
are shown.

provide the etch/deposition rate as a function of those parameters for which


there are knobs on the control panel (namely, pressure, flow rates, power,
etc.). But unfortunately this has not been the case to date since reactor
modeling has been on an evolutionary path in the past five years. In the
meantime, researchers engaged in profile evolution modeling have ultized ad
hoc, case-dependent models to generate the needed information on rates. Typ-
ically, the rate may consist of a pure chemical component (which is isotropic)
and an ion-assisted component (anisotropic). One needs information on neu-
4 Plasma Reactor Modeling 139

tral flux, ion flux, ion energy, angular dependence of ion characteristics, etc.,
in addition to physical properties and surface-reaction-related parameters
in order to compute the chemical and ion-assisted contributions. The ion
characteristics can be obtained using a Monte Carlo procedure through the
plasma sheath, as was demonstrated in early profile evolution studies [32-34].
The near-surface mass transport of neutral radicals were also obtained using
Monte Carlo techniques [34]. Tokonami and Makabe [35] solved the Boltz-
mann equation numerically to obtain the needed information. Since Monte
Carlo techniques and solution of the Boltzmann equation are time consum-
ing, IslamRaja et al. [36] replaced the MC approach with an analytical model
and provided detailed results for step coverage in circular vias. Alternatively,
integral equations have been proposed [37,38] to describe free molecular flow
and film deposition in various geometries.
The above approaches are reasonably physically based though the bulk
plasma and the reactor were not considered. The profile evolution literature is
full of case studies where rates have been based on questionable assumptions,
simple solution of diffusion equation or empirical models. What is sorely
lacking is self-consistent input from a reactor model and to date, only one such
demonstration has appeared in the literature; Hoekstra et al. [30] integrated
a profile evolution module to the HPEM code [31] and predicted etch profiles
in ICP etching of polysilicon using Ar/Ch chemistry.
The second step in profile evolution modeling, after computing physically
based rates, involves moving the interface at every time step. This step has
been traditionally accomplished using a 'string' algorithm. In this approach,
the plasma-solid interface is represented by nodes distributed along a line.
The etch rate (which is the velocity of the interface) is calculated at each
node using approaches described above and the string is then advanced by
moving the nodes. The nodes can be redistributed and the front propagation
calculation is repeated until the simulation end time is reached. The string
algorithm is fairly simple to implement but if corners and cusps develop in the
moving interface, artificial loop formation becomes a problem with this tech-
nique. Consequently, extensive delooping procedures would be required. In
general, string methods find topological changes somewhat difficult to handle
and require significant additional work to extend to three dimensions. Alter-
natively, the method of characteristics [39] and shock-tracking algorithms
[40] have been proposed. More recently, Adalsteinsson and Sethian [41] have
proposed an elegant alternative to string algorithm based on a level set for-
mulation.
The level set equation in given by:
(4.7)
where F is the etch rate. cp(x) at t = ° is given. CPt is the time derivative of
cp. For a derivation of (4.7), level set theory, its advantages over conventional
approaches, and all other details, the reader is referred to [41]. The authors
of [41] demonstrated the approach for etching using some arbitrary input
140 11. 11eyyappan

information. In contrast, [42] provides an application of the level set tech-


nique where the etch rate F is computed based on first principles for silicon
etching using a chlorine plasma. The etch rate is given by YsiI1a/ Psi, where
Psi is the atomic density of silicon, Ysi is the silicon etch yield and I1 is the
incident ion flux computed using an isotropic Maxwellian distribution func-
tion. No re-emission of ions is considered. a is surface coverage by chlorine
atoms which, among other things, depends on the neutral flux. The neutral
transport includes both incident flux and re-emitted flux. Surface diffusion
of atoms, ions or products is not included. Figure 4.11 shows the computed
etch profiles in chlorine etching of silicon. For a narrow trench opening, the
profile is tapered as seen in Fig. 4.11. This is due to the fact that neutrals
are blocked by the mask and narrow opening, leading to a low surface cover-
age. The profile is extremely curved in an undesirable fashion. The RIE-Iag
effect, or the phenomena that smaller features etch at a slower rate than
larger features, is readily apparent. Hwang et al. [42] conducted a parametric
study and showed that etch depth for deep submicrometer contacts depends
linearly on the inverse of contact diameter (Fig. 4.12) as seen experimentally
by McNevin and Cerullo [43] .

-
...JO

>

-1.0
Fig.4.11. Etch profile evolution in
-1.0 -0.5 0.0 0.5 1.0 polysilicon etching using a chlorine plasma
X/L [42].

1.2 r-------------,
1.0
5c..0.8
.- - - .. -
r nO I r;o = 100
- - - - - - - - ..
CD
C
'tJ 0.6
!
.a 0.4
W
0.2
Fig. 4.12. Computed etch depth for
0.0 '--_ _'--_--'_ _--1._ _- - ' various contact diameters. Results for
0.5 1.0 1.5 2.0 2.5 two different ion flux/neutral flux ratios
1 I Contact Diameter (1 I L) are shown [42].
4 Plasma Reactor Modeling 141

4.4 Database Needs

The virtual reactor concept would be useless without an accompanying reli-


able database that contains the needed information on:

• electron~molecule collision cross sections


• rate constants for ion~ion, ion~neutral, neutral~neutral reactions
• transport properties
• thermochemical properties
• surface chemical reaction mechanisms, rate constants

Information on the categories above are readily available only for noble
gases and some reactive gases such as chlorine, CF 4 and SF 6 . The current sit-
uation is rapidly aggravated by the ever newer chemistries emerging to meet
device fabrication demands. A recent NRC sponsored study [44J emphasizes
the database needs in plasma modeling and provides a list of areas that need
further work. Experimental measurements of cross sections, ion reactions and
surface chemistry are urgently needed for several precursors widely used in
plasma processing. Large scale computational chemistry efforts are critical
as experiments alone cannot meet database needs due to the difficulties as-
sociated with such controlled experiments as well as the high costs. In many
cases, measurements may be difficult or unreliable. For example, electron-
impact collision cross sections for molecular gases can be generated using
well known experimental techniques, but similar experiments for radicals are
rather difficult.
A list of sources that contain data useful in plasma modeling is given
below for the benefit of the reader. Electron~molecule collision cross section
for a variety of gases can be found in Christophorou [45], HPEM [31J, and
websites [46,47J. Thermochemical properties can be found in JANNAF [48J
and CHEMKIN [49J tables and in a website [47J.

4.5 Concluding Remarks

We have presented in this chapter the current status in plasma reactor mod-
eling. The progress in the last five years has been impressive. Both fluid and
hybrid reactor models have evolved rapidly and three-dimensional simula-
tions are now possible. Predictive capabilities of these models are reasonable
and largely depend on the quality of the available information on plasma
and surface chemistry. Profile evolution modeling has advanced from string
algorithms to more robust approaches involving level set methods or similar
variations. There has been very little work to date to integrate the reactor-
scale and wafer-scale models, though this is expected to change in the near
future. Unfortunately, commercial software for plasma processing is not as
common as for CVD and process or device modeling. At present, some of
142 M. Meyyappan

the commercial software companies specializing in computational fluid dy-


namics (CFD) are developing plasma modules to complement their general
purpose fluid dynamics software. In the profile evolution software arena, com-
mercial products are readily available and the underlying algorithms range
from string method to level sets. Some level of physically based input using
Monte Carlo analysis may be possible but there is no commercial product
that combines reactor and wafer level models currently. This may not change
soon since, at the time of writing, most vendors specializing in reactor mod-
eling are from the CFD community and the profile modeling vendors are
from the device and process modeling community. These two communities
are completely different breeds. However, if an integrated tool emerges from
a university or laboratory, it may be easier for any commercial vendor to
convert it into a widely usable product.
Within the next 3-5 years, every effort must be made to realize a 'virtual
reactor.' Availability of such a TCAD tool would allow equipment and process
design to become more cost effective. In order to reach the goal, the following
must be achieved. Comprehensive fluid model codes (coupled flow, plasma,
Maxwell solver) with capability to solve multiple species reacting plasmas
are needed. The run time should be a few hours in advanced workstations.
Advanced profile evolution models and codes need to be developed and in-
tegrated seamlessly with reactor models. Continued development of DSMC
and other kinetic codes is necessary to benchmark the fluid models. Obvi-
ously it can be argued that the best validation can come from comparison
against experiments. It must be noted, however, that experimental results are
readily available only for figures-of-merit such as etch rate, uniformity etc.;
limited probe data for electron density and temperature may also be avail-
able. However, availability of a physically accurate kinetic model would allow
validation of continuum codes through comparison of several internal quan-
tities such as species concentrations, temperature, fluid flow patterns, etc.
Finally, in order to make use of the 'virtual reactor' TCAD tool in realistic
situations, a database containing physical/chemical properties and reactions
must be available. Continued work in this area is crucial for modeling to play
its intended role in equipment and process design.
In the long term, attempts must be made to integrate device, process,
and equipment models on a common platform. These three models, to a cer-
tain extent, are coupled. For example, process model results such as doping
profiles or gate definition affect device characteristics. Equipment model out-
puts in many cases serve as input to process modeling, for example, in profile
evolution. Combining these models would make sense since all three simu-
lations can be posed as partial differential equation based models. Solution
approaches, databases, grid generation packages can be shared. This would
allow an entire TCAD department to use a common set of definitions, algo-
rithms, and tools. This integration would then only be a small subset of large
scale factory modeling.
4 Plasma Reactor Modeling 143

Acknowledgements. The author thanks his colleagues Deepak Bose, Helen


Hwang, and T.R. Govindan for valuable contributions.

References
1. V.I. Kolobov, and V.A. Godyak, IEEE Trans. Plasma Sci. 23, 563 (1995).
2. D.J. Economou, T.J. Bartel, R.S. Wise, and D.P. Lymberopoulos, IEEE Trans.
Plasma Sci. 23, 581 (1995).
3. D. Hash, and M. Meyyappan, J. Electrochem. Soc. 144, 3999 (1997).
4. G.I. Font, and I.D. Boyd, J. Vac. Sci. Technol. A 15, 313 (1997).
5. V. Singh, B. Berney, and A. Krishnan, J. Vac. Sci. Tech. A 14, 1252 (1996).
6. C. Lee, and M.A. Liberman, J. Vac. Sci. Tech. A 13, 368 (1995).
7. S. Ashida, C. Lee, and M.A. Liberman, J. Vac. Sci. Tech. A 13, 2498 (1995).
8. M. Meyyappan, and T.R. Govindan, IEEE. Trans. Plasma Sci. 23, 623 (1995).
9. M. Meyyappan, and T.R. Govindan, Vacuum 47, 215 (1996).
10. E. Meeks, and J.W. Shon, IEEE Trans. Plasma Sci. 23, 539 (1995).
11. R.S. Wise, D.P. Lymberopoulos, and D.J. Economou, Plasma Sources Sci. Tech.
4,317 (1995).
12. M. Meyyappan, and T.R. Govindan, SAMPR: A Computer Code for Simple
Analysis of Materials Processing Reactors, NASA Research Publication 1402,
(April 1997); contact the author for a copy of the code.
13. M. Meyyappan, Jpn. J. Appl. Phys. 36, 4820 (1997).
14. M. Meyyappan, Plasma Modeling, Chap. 5 in Computational Modeling in Semi-
conductor Processing, (ed. M. Meyyappan), (Artech House, 1995).
15. M. Meyyappan, and T.R. Govindan, J. Appl. Phys. 78, 6432 (1995).
16. J.D. Ramshaw, and C.H. Chang, Plasma Chern. Plasma Process. 13, 489
(1993).
17. D. Bose, T.R. Govindan, and M. Meyyappan, J. Electrochem. Soc. 146,2705
(1999).
18. W.Z. Collison, T.Q. Ni, and M.S. Barnes, J. Vac. Sci. Tech. A 16, 100 (1998).
19. D.P. Lymberopoulos, and D.J. Economou, IEEE Trans. Plasma Sci. 23, 573
(1995).
20. R.S. Wise, D.P. Lymberopoulos, and D.J. Economou, Appl. Phys. Lett. 68,
2499 (1996).
21. R.A. Stewart, P. Vitello, D.B. Graves, E.F. Jaeger, and L.A. Berry, Plasma
Sources Sci. Tech. 4, 36 (1995).
22. S.J. Choi, and R. Veerasingham, J. Vac. Sci. Technol. A 16, 1873 (1998).
23. H.M. Wu, D.B. Graves, and R.K. Porteous, Plasma Sources Sci. Tech. 4, 22
(1995).
24. J. Feldsian, and D.J. Economou, Electrochem. Soc. Proc. 97-9,260 (1997).
25. MPRES is a properitary multidimensional fluid plasma code from Professor
D.J. Economou, University of Houston.
26. P.L.G. Ventzek, R.J. Hoekstra, and M.J. Kushner, J. Vac. Sci. Technol. B 12,
461 (1994).
27. M.J. Kushner, W.Z. Collison, M.J. Grapperhaus, J.P. Holland, and M.S.
Barnes, J. Appl. Phys. 80, 1337 (1996).
28. M.J. Grapperhaus, and M.J. Kushner, J. Appl. Phys. 81, 569 (1997).
29. S. Rauf, and M.J. Kushner, J. Appl. Phys. 83, 5087 (1998).
144 11. 11eyyappan

30. R.J. Hoekstra, 11.J. Grapperhaus, and 11.J. Kushner, J. Vac. Sci. Technol.
A 15, 1913 (1997).
31. HPE11 is a properitary hybrid plasma reactor code from Professor M.J. Kush-
ner, University of Illinois.
32. T.J. Colter, M.S. Barnes, and 11.E. Elta, J. Vac. Sci. Technol. B 6, 542 (1988).
33. J.I. Ulacia, and J.P. McVittie, J. Appl. Phys. 65, 1484 (1989).
34. H.C. Wulu, KC. Saraswat, and J.P. 11cVittie, J. Electrochem. Soc. 138, 1831
(1991).
35. T. Tokonami, and T. 11akabe, J. Appl. Phy. 12, 3323 (1992).
36. M.11. IslamRaja, 11.A. Cappelli, J.P. 11cVittie, and KC. Saraswat, J. Appl.
Phys. 10, 7137 (1991).
37. T.S. Cale, G.B. Raupp, and T.H. Gandy, J. Appl. Phys. 68, 3645 (1990).
38. T.S. Cale, and G.B. Raupp, J. Vac. Sci. Technol. B 8, 1242 (1990).
39. V.K Singh, E.S.G. Shaqfeh, and J.P. 11cVittie, J. Vac. Sci. Technol. B 10, 1091
(1992).
40. S. Hamaguchi, 11. Dalvie, R.T. Farouki, and S. Sethuraman, J. Appl. Phys. 14,
5172 (1993).
41. D. Adalsteinsson, and J. Sethian, J. Comput. Phys. 120, 128 (1995).
42. H. Hwang, T.R. Govindan, and 11. 11eyyappan, J. Electrochem. Soc. 146, 1889
(1999).
43. S.C. 11cNevin, and 11. Cerullo, J. Vac. Sci. Technol. A 16, 1514 (1998).
44. Database Needs for Modeling, and Simulation of Plasma Processing, National
Research Council Report, (National Academy Press, Washington D.C., 1996).
45. Electron-Molecule Interactions, and Their Applications, (ed. L. Christor-
phorou), (Academic Press, 1984).
46. http://www.snLnet/kinema/ is a website maintained by W.L. Morgan, Kinema
Research.
47. http://www.ipt.arc.nasa.gov is a website maintained by NASA Ames Research
Center.
48. JANAF Thermochemical Tables, Natl. Stand. Ref. Data Ser. NSRDS-NBS-37
(1991).
49. The CHEMKIN Thermodynamic Database, Sandia Report SAND87-8215 B
(1991).
5 Overview of Plasma Diagnostic Techniques

G.A. Hebner, P.A. Miller, and J.R. Woodworth

5.1 Introduction

Plasma diagnostics is a broad area of technology that encompasses a di-


verse, venerable field of experimental techniques designed to provide informa-
tion about the characteristics of a plasma. Plasmas used in microelectronics
processing fall into the broad category of low temperature plasmas. Typical
plasma characteristics include electron densities between 109 and 10 12 cm- 3 ,
electron temperatures from 0.1 to 10eV, pressures of a few Torr to millitorr
or below and excitation frequencies from dc to microwave. These parameters
span a relatively wide range of conditions and make the selection of the best
diagnostic tools challenging.
In addition to applying diagnostic techniques developed for microelectron-
ics plasmas, practitioners in the plasma diagnostic field borrow and adapt
techniques from other industries or groups that use plasmas for applications
such as lighting, fusion research, surface cleaning and ion implantation. The
complexity of the measurement techniques range from the determination of
potential and current characteristics of a steady-state dc plasma to multi-
laser techniques involving multiple rf and dc plasmas in a common geometry.
However, the one point in common with all diagnostic techniques is the desire
of the experimentalist to characterize and understand a particular aspect of
the plasma or process, be that electron density or radical species concentra-
tions. The data gathered can be used for a number of applications including
improving basic understanding of discharge phenomena, validating discharge
process models, documenting tool performance for fault detection, and pro-
viding feedback signals for process control.
Depending on the desired information, there may be any number of better
or worse techniques to provide that information. The goal of this chapter is
to provide the reader with a brief overview of the more common diagnostics
techniques, discuss the merits and limitations inherent in the experimental
methods, and provide references for additional investigation. We will focus
on those techniques that are commonly used to obtain the data discussed in
scientific journal articles, conferences and seminars. Due to time and space
constraints, many authors do not describe the more basic details of many
of the diagnostic techniques. Hopefully, this chapter will serve as a bridge

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
146 G.A. Hebner, P.A. Miller, and J.R. Woodworth

between those two slides at the beginning of every talk where the experimen-
tal technique is presented and the conclusions where results are discussed.
Without a firm understanding of the merits and limitations inherent in any
diagnostic method, it is possible to be misled about the utility and accuracy
of a given technique. Conversely, a listener may be discouraged from pursuing
a measurement path due to the jargon and learning curve involved. Plasma
diagnostics has greatly benefited from the diverse backgrounds and technical
viewpoints of its users. We would encourage the interested reader or listener
to discuss an experimental technique with the author presenting the paper
or seminar, since we all like to talk about things we know best!
The chapter is divided into two sections, electrical and optical diagnostic
techniques. The electrical techniques have the common thread of character-
izing properties of the plasma such as electron density, ion species or power
input by measuring a current or potential change related to the plasma. Op-
tical techniques rely on either the optical emission from the plasma or an
external light source such as a lamp or laser to probe the plasma species.
In many cases, the optical measurements attempt to characterize a specific
species since optical emission and absorption wavelengths are unique to a
given atom or molecule.
As the reader becomes more familiar with the myriad of possible exper-
imental techniques, he or she will no doubt develop an appreciation for the
limitations of each technique and a healthy skepticism of some (maybe all)
of the techniques discussed below. We hope our many colleagues in this area
of work will be patient with our attempts to bring additional workers into
this very exciting field.

5.2 Plasma Electrical Characterization


This section covers a number of diagnostic techniques designed to provide
information about the electrical and charged particle characteristics of the
plasma. Due to their continued prevalence in many recent papers, a large
part of this section deals with probe techniques. In addition, this section
reviews microwave measurements of the plasma density and ion energy mea-
surements.

5.2.1 Electrical Diagnostics

In this section we discuss several diagnostic techniques that we call "electrical


diagnostics". This category includes plasma diagnostic techniques that mea-
sure electrical signals generated by the plasma either as a part of its normal
behavior or in response to other electrical signals applied as stimuli by the
experimenter. This categorization is useful because it combines techniques
that share related sets of sensors, similar data-acquisition and analysis tech-
niques, and, most importantly, similar problems in hardware implementation
5 Overview of Plasma Diagnostic Techniques 147

and in data interpretation. "Electrical diagnostics" include Langmuir probes,


electric-field and magnetic-field probes that are inserted into the plasma, and
sensors that are used to measure radio-frequency (rf) potential and rf current
at electrodes that couple power into the plasma.
The issues that arise in developing and applying electrical diagnostics in-
volve much electrical engineering and some mathematics and plasma physics;
atomic physics and chemistry are involved only to a limited extent. Many of
the electrical diagnostics involve hardware that is, at least in part, intrin-
sically simple and inexpensive to fabricate. Consequently, in many cases, it
is cost effective for a worker to develop electrical diagnostics that meet the
specific needs of a project. However, many aspects of electrical diagnostics
are subtle and mistakes in implementation and interpretation are common.
Complete mastery of modern electrical diagnostics requires facility with prin-
ciples of transmission lines, rf shielding, filters, Fourier transforms, complex
variables, circuit analysis, electromagnetic fields and waves, particle distri-
bution functions, plasma-sheath phenomena, digitizers, computer interfaces,
and programming. Fortunately, many diagnostic products are commercially
available from companies that have expertise in these areas. These products
perform electrical diagnostics while requiring minimal expertise on the part
of the user, and provide the user with definite numerical answers to diagnostic
questions. Nevertheless, a user who understands the underpinnings of elec-
trical diagnostics will make better build-or-buy decisions and will appreciate
the limitations on reproducibility and absolute accuracy of various diagnostic
techniques. A goal of this section is to provide the non-expert reader with a
better appreciation of electrical diagnostics.
Accuracy, precision, and reproducibility are common issues in all the elec-
trical diagnostic techniques. A worker in a standards laboratory may re-
quire sensors for rf power with absolute accuracy near the 1% level that are
traceable to internationally recognized standards. A researcher attempting
to validate a first-principles plasma model might be pleased if the experi-
mentally measured plasma electron density agreed with the model results to
within 50%. A person in charge of plasma reactors in a production line might
not fully appreciate the meaning of a particular electrical measurement, but
would require that measurements on all reactors be essentially identical in
order to assure similar performance. If each reactor had its own sensor, those
sensors primarily would need to be precise, reproducible, and calibrated with
respect to each other; absolute accuracy would be secondary. In contrast, if a
single portable sensor were used to check the tools periodically, then stability
would be the main concern. These are three examples of widely varying re-
quirements for sensor performance. It is important for the worker to evaluate
the actual performance needs of a project and to appreciate the capabilities
of various diagnostics prior to selecting specific hardware.
In Sect. 5.2.1.1, we discuss Langmuir probes. First we present an elemen-
tary analysis that describes the principle behind Langmuir probes and then
148 G.A. Hebner, P.A. Miller, and J.R. Woodworth

we discuss numerous complicating issues that must be faced in actual use


of probes. These complications may be discouraging to the casual user, but
Langmuir probes provide a powerful method for measuring plasma parame-
ters that are very difficult to measure by any other means. In Sect. 5.2.1.2 we
mention other probes that are inserted into the plasma to measure electric
and magnetic fields. The implementation and interpretations of signals from
these probes are considerably simpler than is the case with Langmuir probes.
In Sect. 5.2.1.3, we describe measurement of rf potential and current in the
power supplies that energize the plasma and that apply rf bias to the wafer
chuck.

5.2.1.1 Langmuir Probes


Langmuir probes constitute one of the oldest plasma-diagnostic techniques,
yet advances in their use continue to be made. They are conceptually very
simple and extremely powerful, and the hardware is fairly inexpensive. Con-
sequently, they have been used with a wide variety of plasmas, ranging from
low-density space plasmas to dense, energetic fusion plasmas. Our focus here
is low-pressure (<< 1 Torr), high-density (1010 ~ 10 12 cm ~3) plasmas used for
etching and deposition in the fabrication of microelectronic devices.
Basically, a Langmuir probe is an electrically biased wire that is immersed
in a plasma. Usually, the wire is contained in a tube that supports the wire,
and only the tip of the wire, a few millimeters long, is directly exposed to
the plasma. Raw data from the probe consists of a set of measurements of
probe current versus probe potential, the latter being controlled by a probe
power supply. Analysis of the variation of probe current with applied po-
tential can provide spatially resolved information on plasma-electron and
ion-distribution functions that is difficult to obtain by other means. Direct
application of the simplest Langmuir-probe theory, described below, can pro-
duce results that are quite useful and often sufficiently accurate. However,
there are many approximations in the simple theory that have been better
treated by more detailed analyses. We shall mention several of those areas of
improvement.
The most basic drawback to a Langmuir probe for diagnosing a process-
ing plasma is that the probe comprises an object inserted into the plasma,
thereby perturbing the plasma whose unperturbed properties are of interest.
Probes normally are designed to minimize these perturbations and the ef-
fects have been quantified and documented in some cases [1~3l. The tube or
holder that supports the probe tip provides a loss surface for electrons and
ions, thereby depressing the nearby charge density. Making the diameter of
the holder small, typically a few millimeters, minimizes this effect. If mate-
rials in the probe holder sputter or react chemically with the plasma, strong
perturbations can be expected and possibly unacceptable contamination of
the reactor chamber may result. Material selection and probe diameter are
restricted by the requirement for sufficient mechanical rigidity and by the
5 Overview of Plasma Diagnostic Techniques 149

need for survival at elevated temperatures in a possibly aggressive chemical


environment. The probe holder often is made electrically insulating (glass or
ceramic) to reduce the perturbation of electrical fields in the plasma, and
the tip wire may be made of metals such as platinum, tungsten, or nickel-
chrome alloy. If the probe tip conducts too much current, that current can
affect the plasma by causing additional ionization or by changing potentials.
Naturally, this effect is reduced as the tip size is decreased. However, if the
tip is too small, then the geometry of the tip becomes more difficult to treat
by probe theory and the effect of the holder becomes relatively more signifi-
cant. When the probe tip is biased negatively with respect to the plasma, it
is subjected to ion bombardment. This is a normal regime for operation, and
the ion bombardment can be beneficial by keeping the probe surface clean in
plasmas that deposit films on surfaces. However, excessive ion bombardment
can lead to overheating of the probe or to erosion by sputtering of the probe
tip.
One technique that can reduce some of these potential problems is to
insert the probe into the plasma only for brief periods of time. In this tech-
nique, a mechanical system translates the probe rapidly without breaking
vacuum, and the data acquisition system records probe data as the probe
is translated. Such systems, which are commercially available, can reduce
probe heating, probe erosion, and contamination of the plasma by reacted
or sputtered probe materials. However, the time scale for mechanical mo-
tion of the probe normally is much longer (many milliseconds) than the time
scales for many of the important process in the plasma, such as collisions and
ionization. Consequently, perturbation of the plasma will still occur.

Elements of Langmuir-Probe Theory. There are many excellent articles


that provide very clear, detailed developments of Langmuir-probe theory and
critiques of probes [4-9J. The interested reader should consult such works
to gain a comprehensive understanding of probe theory and experimental
techniques. Here we review a few elements of probe theory in its simplest form
for those workers who need only a basic understanding of the capabilities of
probes and issues in their use. The reason for presenting the basic theory is
to establish a clear foundation for subsequent discussion of trouble areas that
must be treated with caution when using Langmuir probes.
Consider a steady-state plasma consisting of electrons of density ne and
mass me, singly charged positive ions of density Ni and mass mi, and neutral
atoms of density No. The densities are functions of position inside the vacuum
chamber containing the plasma. Assume that the electrons and ions that
reach material surfaces of the chamber walls, or the surface of a Langmuir
probe inserted into the plasma, will be neutralized and thereby lost from
the inventory of charged particles in the plasma. In steady-state operation,
input power from the plasma source provides continual ionization that exactly
balances the electron and ion losses. The neutral density is maintained by
recycling from the surfaces and/or flowing-gas input.
150 G.A. Hebner, P.A. Miller, and J.R. Woodworth

Assume that the electrons have a Maxwellian velocity distribution func-


tion with characteristic temperature Te that is much greater than the tem-
peratures of the ions T. and neutrals To. Because of their light mass and high
temperature, the electrons move much faster than ions and will tend to leave
the plasma more quickly than the ions. However, in steady state, electron and
ion loss must exactly balance to maintain constant potential. A phenomenon
that necessarily accompanies steady state, provided that the electron density
is sufficiently high, is the development of a thin sheath at the interface of the
plasma with every material surface touching the plasma. The plasma divides
into two regions, a bulk region with low electric fields where ne '::' N i , and a
surrounding thin sheath region containing high electric fields normal to the
sheath. "Sheath" merely means a thin region across which plasma properties
change rapidly. A potential develops across the sheath that reduces the loss,
by deceleration, of the fast electrons, and enhances the loss, by acceleration,
of the slow ions. When the plasma is first energized, the potential drop across
the sheath must increase in time until the loss rates of electrons and ions are
equal. Consequently, the potential in the plasma is positive with respect to
all of the surfaces contacting the plasma, with the possible exception of very
small areas such as the tip of a Langmuir probe.
It is important to appreciate the term "plasma potential" (sometimes
called the space potential) since it is the main potential reference for probe
work. If a very small test electrode, such as the tip of a Langmuir probe, is
inserted into the plasma and biased so that it generates no electric field in the
surrounding plasma, then that bias potential is the local plasma potential Vp.
In this special case, the sheath surrounding the test electrode vanishes. The
fluxes of electrons and ions incident on the probe will be just the random
thermal fluxes of electrons and ions, so that the electron and ion current
densities are given by their "saturation" values:

(5.1)
and

jis = -Niev i/ 4, (5.2)


where

(5.3)
We define e to be the magnitude of the electronic charge. Our sign convention
defines current from the probe into the plasma to be positive. The equations
use SI units. Since the electron thermal velocity Ve is much greater than Vi,
the electron saturation current will be much larger in magnitude than the
ion current.
If the probe bias is made more negative, a sheath will develop around the
probe and electrons will be repelled. Because of the assumed Maxwellian dis-
tribution of electron velocities, the electron current to the probe will decrease
5 Overview of Plasma Diagnostic Techniques 151

in magnitude exponentially as the probe potential V is made increasingly neg-


ative with respect to Vp. Quantitatively, the electronic contribution to probe
current will vary as

(5.4)

where A is the probe area, V < Vp , and we have assumed that No and Ni
are low enough so that collisions in the thin sheath may be neglected. This
quasi-steady-state formulation is valid if any time variation of (V - Vp ) is
slow enough so that the capacitive current across the sheath is negligible. We
will discuss the feasibility of measuring (V - Vp ) in the following section.
Increasingly negative bias will also attract ions. Under these conditions, a
region called the presheath will develop around the sheath, which surrounds
the probe. The presheath will accelerate ions so that they enter the sheath
with a velocity given approximately by the ion sound speed

(5.5)

The presheath has a fairly large spatial extent and it is a nearly charge-neutral
region, in contrast to the sheath, which is thin and nonneutral. Without
the presheath there would be no steady-state solution to the equations that
describe the electron and ion flow in the sheath region. Because of the relative
sizes of the electron and ion masses and temperatures, we have

(5.6)
The resulting ionic contribution to the probe current will be

(5.7)

This is commonly called the BollIn sheath criterion. Combining (5.4) and
(5.7) we obtain the total probe current

(5.8)

At a potential defined as the floating potential VI, the net current to the
probe will be zero. The floating potential is very different from the plasma
potential, and the two are occasionally confused with each other. VI is the
equilibrium potential attained by an unbiased (floating) electrode in contact
with the plasma. VI is obtained from (5.8) with 1=0 and using Ni = ne:

(5.9)
Note that the logarithm is negative, and, thus, the floating potential is
less than the plasma potential, as expected. The relationship between probe
potential and current given by this simple probe theory is shown graphically
by the dashed curve in Fig. 5.1. (Limitations of, and improvements to, this
description will be mentioned below.) In the ion-saturation region (V « ""',),
essentially all electrons are repelled from the probe and the probe collects all
152 G.A. Hebner, P.A. Miller, and J.R. Woodworth

...,.
_J. 1e-2
...!..
j 1e-3

30

region

5 10 15 20
probe potential (V)
Fig. 5.1. Variation of Langmuir-probe current I with applied bias potential V in
an inductively coupled 10-mTorr-argon discharge. The asymptotic current limit
at negative potential is the ion saturation current (Iisat) which was estimated at
-0.7 rnA for these data. One vertical marker denotes the steepest point on the
curve which occurs at the plasma potential Vp = 13.7 eV. The other vertical marker
denotes the potential at zero current (floating potential Vr = 2.6 V). The dashed
line is a fit of (5.8) to the data for potentials below the plasma potential, yielding
ne = 1.1 x 1011 cm- 3 and Te = 3.5eV. For a Maxwellian distribution function, the
slope of the logarithmic plot is proportional to liTe for V < Vp •

the ions that are incident on the sheath edge. In the transition region (Vr <
V < Vp ), ions are attracted and electrons are repelled, but the more energetic
electrons reach the probe. In the electron-saturation region, electrons are
attracted and ions are repelled. This is a region of very high probe current
and its detailed characteristics are not addressed in most Langmuir-probe
work.
The standard measurement technique is to sweep the probe potential
repetitively through a wide range of voltages and to record the instantaneous
probe potentials and currents. By fitting the shape of the experimentally
measured data to a curve such as the one in Fig. 5.1 to (5.8), we can determine
Vp , T e , and ne (~ N j ). The shape of transition region of the curve shown in
Fig. 5.1 depends strongly (exponentially) on electron temperature. The higher
the electron temperature, the larger the extent of the transition region and
5 Overview of Plasma Diagnostic Techniques 153

the smaller the curvature (d 2IjdV2) or concavity of the I-V curve. If (5.8)
is manipulated appropriately, one finds that a plot of In(I - Ii) versus V will
be a straight line in the transition region, with Te determined by the slope
of the line. This probably is the most common technique for determining Te
from probe data. In our simple analysis, the value of Ii can be determined
simply by examining the asymptotic behavior of the curve in Fig. 5.1 in the
ion-saturation region. The value of Vp may be determined by identifying the
potential at which the slope of the curve (dI j d V) is a maximum. This, of
course, is the point where the curve changes from being concave up to concave
down. Electron density can be determined from the value of probe current
at the point V = Vp using (5.8) and the value of Te determined from the
transition region. For this evaluation, the contribution of the ion current can
be neglected. Alternatively, the value of electron density could be determined
by the value of Ii in the ion-saturation region, using Ni '::::' ne. However,
this value may be less reliable for reasons that will be discussed below. The
very simple probe theory described above captures the essence of Langmuir
probes. In many practical cases, that simple analysis gives numerical values
for plasma parameters that are well within a factor of two of the correct
values. However, there are many issues beyond those mentioned above that
must be considered in evaluating the best approach for using Langmuir probes
for a particular project. As the reader will realize, the needs and constraints of
a particular project often will compel the worker to accept compromises and
to violate guidelines for optimal use of Langmuir probes. We mention several
of those factors and we present a few examples in the following sections. For
a more detailed discussion, see, for example, Godyak et al. [8].

Reference Electrodes and Double Probes. Recall that experimental


potential measurements are really measurements of potential differences, not
absolute potentials. There is no way to avoid this limitation. A measurement
of the probe potential V will actually be a measurement of the potential
difference (V - v;.ed, where v;.ef is the potential of a reference object such
as a metallic component of a reactor chamber. Equation (5.8) and Fig. 5.1
suggest that much information about the plasma can be gained by fitting
the theory to the shape resulting from an experimentally measured data set.
However, (5.8) and Fig. 5.1 actually present theoretical results in terms of the
potential difference (V - Vp ). Only if the chosen reference v;.ef has a known
relationship to Vp (usually constant) can we obtain correct information by
fitting data to a theory such as the one given by (5.8). The simplest case
arises if the reactor has a "large" metallic wall that is in contact with the
plasma. The wall needs to be large enough so that it maintains essentially
constant potential difference with respect to Vp while the probe potential is
swept through the range of interest. The probe current must flow from the
probe, through the plasma, and back to the wall (reference electrode). If the
wall is not large enough, then the measured data will not provide a distortion-
free representation of the probe I-V characteristics. The wall can be thought
154 G.A. Hebner, P.A. Miller, and J.R. Woodworth

of as a second, extremely large Langmuir probe, and the impedance between


the wall and plasma must be much lower than the impedance between the
plasma and the diagnostic Langmuir probe. For example, when the diagnostic
Langmuir probe is driven to collect electron saturation current jesA, then the
metallic wall will be collecting net ion current. In that case, the wall must have
sufficient area Awall so that jisAwali » jesA, where jes and jis are evaluated
at the plasma densities adjacent to the probe and the wall. If those densities
are the same, the requirement is
(5.10)
For the case of argon, this is Awall/A » 10 2 • However, the probe often will
be used in the center of the plasma where the density is much higher than
near the chamber walls, and (5.10) will not be a sufficient criterion. Perhaps
a safer criterion would be A wall / A > 104 for common cases. If such a criterion
is not satisfied, the likely result will be inferred values of Vp and Te that are
too high.
A way to check for sufficient size of the reference electrode is to measure
the potential of an auxiliary, floating probe inserted into the plasma. If the
potential of this auxiliary probe, measured with respect to v;.ef, is modulated
strongly by the swept potential of the Langmuir probe, then the reference
electrode is not adequate.
Equation (5.10) frequently cannot be satisfied because many reactors of
interest have little or no conducting material in contact with the plasma
due to wall anodization or insulating materials. In some cases, deposited or
sputtered layers of insulating material can coat even large metallic chambers.
In such cases, there are two solutions. One is to insert a metallic electrode
satisfying (5.10) into the plasma to provide a reference potential. The ref-
erence electrode could be a plate that is conformal to the chamber wall or
a large metallic tube serving as the Langmuir probe's support tube. This
solution may be unacceptable because the electrode may contaminate the
reactor and it may establish plasma conditions that are different from those
of interest. The other solution is to use two electrodes intentionally as part of
the Langmuir probe circuit and to analyze the data accordingly. This is the
double-probe technique. When the two probes have equal areas, an extension
of the simple theory above leads to probe current
I = jisA tanh(eV/2kTe ), (5.11)
where here V is the difference in potential between the two probes. In this
case, V is directly measurable and there is no dependence on Vp. In this
arrangement, current I from one probe tip must flow back through the other
probe tip. The excitation circuitry must be isolated from ground to enforce
that condition. A fit of (5.11) to the measured I-V relationship determines
Te and jis·
The double-probe technique is advantageous because it eliminates the
need for a large reference electrode. Also, the maximum probe current is
5 Overview of Plasma Diagnostic Techniques 155

the ion saturation current rather than the much larger electron saturation
current, thus reducing the perturbation to the plasma. However, with dou-
ble probes there is no simple direct way to measure the plasma potential
Vp , though it may be estimated using (5.9) if a measurement of Vr is made
with the double probe system. The double-probe technique measures the I-V
characteristics near the floating potential, where most of the electrons are re-
pelled from the probes. That means that the value of Te is obtained from the
high-energy part of the electron velocity distribution function, rather than
the entire distribution as is done with single probes. Consequently, double-
probe data are more sensitive to deviations from the assumed Maxwellian
distribution function.

Sheath Sizes. The simple theory above implicitly assumes a one-dimensional


(I-D) probe geometry with probe area A. In many cases the probe actually
is made small and cylindrical, and the sheath thickness may be comparable
to or larger than the probe radius. In those cases, the area of the sheath edge
will be significantly larger than the probe surface area, and the area A in
the above equations must be increased commensurately. This complication
can be mitigated if the probe radius is kept much larger than the sheath
thickness, which usually is approximated by the Debye length in the plasma,
given by

(5.12)

This is the length over which the plasma screens out perturbing fields from
small test particles. For a 3-eV plasma with ne = 3 x 10 11 cm -3, AD =
0.0235 mm. For such a case, the I-D approximation will be reasonably accu-
rate if the probe radius is much larger than this value.

Plasma Uniformity. The simple theory assumes that the plasma is de-
scribed by spatially uniform values for T e , n e , and the other plasma para-
meters. This requirement is equivalent to the requirement that the gradient
scale lengths for changes in plasma parameters must be much larger than
scale lengths for plasma processes such as scattering and ionizing collisions.
In practice, mean free paths at low pressures are frequently comparable to
plasma dimensions, and there is no simple way to circumvent this conflict.
Also, this uniformity requirement advises against using probes near the edges
of plasmas, close to where the plasma interacts with walls and workpieces.
However, these locations often are the regions of most interest to the worker.
In practice, probes have been used near sheath edges, with apparent, perhaps
fortuitous success [10].

Perturbation of Plasma by Probes. The simple theory assumes that


the probe does not perturb the plasma. This suggests that the probe holder
as well as the probe tip should be as small as possible, and this favors a
156 G.A. Hebner, P.A. Miller, and J.R. Woodworth

double probe over a single probe because of the reduced probe current lev-
els. As mentioned above, the dimensions and materials of the probe holder
frequently are determined by mechanical, thermal, and chemical consider-
ations. In a typical industrial plasma reactor, diagnostic access is, at best,
very limited, and a holder several millimeters in diameter must support the
probe horizontally over a distance of tens of centimeters. While the probe tip
invariably is smaller than the holder, perturbations also can be caused if the
probe current is "too high". Quantitatively, "too high" depends on the vol-
umetric rate of plasma production and on collision lengths. Experimentally,
testing different probe sizes to determine if the measured plasma parameters
depend on the probe size can identify this problem. In one laboratory exper-
iment [11], the size of the probe holder and the probe tip were varied and the
computed plasma electron density was compared against measurements by a
microwave interferometer. While the microwave interferometry technique is
more reliable than Langmuir probes for measuring electron density, it requires
much greater access to the plasma and it yields line-integrated electron den-
sities (see Sect. 5.2.2). Figure 5.2 shows the geometry of different probes that
were tested in this experiment and the resulting inferred values of electron
density. Clearly, the plasma perturbations were significant even for the small-
est probe tested in this work. The experiment did not clearly separate the
effects of holder versus probe tip, but the overall lesson was clear: smaller is
better. If a cross-calibration method is available for a particular application,
then its use is highly recommended.

Improved Ion-Collection Theory. Equation (5.7) for the ion current to


the probe is subject to many theoretical modifications that change its behav-
ior quantitatively. For example, constraints on the ion and electron densities
in the sheath lead to a reduction in ion current by approximately a factor
of two below that given by (5.7). This also modifies the logarithmic term in
(5.9). Orbital-motion-limit (OML) theory addresses the case where the probe
radius is much smaller than the sheath thickness and the sheath is entirely
collisionless. In that case, incoming ions with significant angular momentum
may miss the probe, thus reducing the collected ion current. A comprehensive
treatment of the ion sheath has been discussed by several authors [12,13,15]
who developed corrections to (5.7) and (5.8) as functions of the ratio of probe
radius rp to AD. The correction factors have been presented for cylindrical
and spherical probes in forms that facilitate application to experimental data.
Note that the end effects of a short cylindrical probe might be quite signifi-
cant in applying these corrections. These corrections make the ion saturation
current, (5.7), dependent on applied potential, and this effect is indeed seen in
most experiments. For probe diameters much less than AD, Ii '" (Vp - V)1/2.
For larger rp/AD' the potential dependence weakens and approaches the form
of (5.7) for sufficiently large rp/AD.
Many workers measure ion saturation current as a function of position in
plasma reactors at fixed negative probe potential in order to assess spatial
5 Overview of Plasma Diagnostic Techniques 157

c:
A
.Q
iij
5
.S?' B
Co r-----------------------~
o
.2: c
[ ~------~------~------~----~
o

microwave

o 2 3 4 5 6

:
line-integrated electron density (10t2/cm2)
6mm 10 mm

A
f ~
D
10
B
!a lazzz
IS}
D
5.6
C pzzz

D
2.9
0 tz;zz~

Fig_ 5.2. Variation of computed electron density with probe size in a 10 mTorr ar-
gon discharge. The 1.5 mm-diameter insulators were alumina; the larger insulators
were Pyrex TM. The probe tips were all 0.63 mm-diameter wire. The computed line-
integrated values of electron density increased as the size of the probes decreased,
indicating that smaller probes perturbed the plasma less than larger probes. The
electron density measured by microwave interferometer (bottom bar) was approx-
imately 25% above the value obtained with the smallest probe tested. The probe
size did not significantly affect the inferred values of Vp and Te .

uniformity of the plasma. Because of uncertainty associated with inferring ab-


solute ion density from the measurements, which includes uncertainty in ion
species, charge state, and electron temperature, they simply report the spatial
variation of ion saturation current density. That procedure can then be used
in optimizing the reactor for spatial uniformity and relative ion density [15].
Figure 5.3 presents an example where ion-saturation-current measurements
interpreted using (5.7) were compared to electron density measurements. A
multiplicative factor of 0.69 was required in (5.7) to match the peak densities.
158 G.A. Hebner, P.A. Miller, and J.R. Woodworth

cI)
E
u
op-
op- 4 ·························r ·T··························
0
C
CD
c::

-5 o 5 10
radius (em)
Fig. 5.3. Comparison of radial dependence of electron density inferred from electron
and ion characteristics of a Langmuir probe in a 10 mTorr argon discharge. The ion
data were taken at a fixed bias (-20 V) and were fit to the electron data using
ne = 0.69(jis/ecs), with Cs computed using the radially dependent values of Te
obtained from the electron-collection characteristics of the probe. The numerical
value 0.69 was used to fit the peak value of the curve.

Non-Maxwellian Distribution Functions. The simple theory assumes


that the electrons have a Maxwellian distribution of energies. The Maxwellian
distribution results when electron-electron collisions occur rapidly enough to
force a sharing of energy among electrons. This effect weakens at lower elec-
tron densities and higher gas pressures where inelastic collisions can rapidly
deplete the population of energetic electrons. This first affects the high en-
ergy tail of the distribution function, where double probes gather most of
their information. In such a situation, a double probe would yield a lower
Te than would a single probe. The Maxwellian assumption can also fail in
the presence of plasma instabilities that give rise to energetic, rather than
depleted tails.
A powerful solution to this problem is to use a single Langmuir probe
directly to measure the electron energy distribution function F. It has been
shown [6,16] that, in the transition region of the probe's characteristics, F is
related to the second derivative of I(V) by
F = (4/e 2A)[m(Vp - V)/2e]1/2d 2I/dV2. (5.13)
Because differentiation of experimental data is a noisy process, it is necessary
to use care in attempting to evaluate this formula. Techniques, requirements,
and examples have been discussed extensively by Godyak [7] and by Godyak
et al. [8,17,18].
In many cases, workers use measured values of ne and Te solely for com-
parison of different systems or different plasma-excitation methods. In those
5 Overview of Plasma Diagnostic Techniques 159

cases, it may not be necessary to have certainty about the absolute accu-
racy of Te values. Rather, it might suffice merely to use the same diagnostic
technique in the different systems.

Electronegative Plasmas. The simple theory above was developed for a


plasma containing electrons and only one type of positive ion. If the species
of positive ion is unknown, then other techniques such as ion mass analysis,
spectroscopy, or laser absorption can be used to identify the dominant ion.
In many cases, such as intense discharges in chlorine, negative ions will also
be present in substantial numbers. However, because the electron mobility is
much higher than the ion mobility, energetic electrons will still try to leave
the plasma more rapidly than negative or positive ions, and Vp will still be
positive. This will confine the negative ions to the bulk plasma, and the sheath
behavior at the walls or at the Langmuir probe will be minimally affected.
Thus the probe behavior in the transition region and ion-saturation region
(Fig. 5.1) will be relatively unchanged even when the negative-ion density is
substantially higher than the electron density.

Secondary Emission and Probe Surface Conditions. The simple the-


ory above treats the probe surface as a perfectly conducting absorber for
electrons and ions. It neglects production of charged particles at the probe
surface due to impact of energetic electrons or ions, due to impact of excited
species such as metastables, or due to ultraviolet radiation. Most importantly,
the surface conditions of the probe are assumed constant in time while the
I-V data are being gathered. All of these assumptions may be violated in
practical situations, and the effects on the probe's electrical characteristics
are difficult to quantify.
Probe surface conditions, and temporal changes therein, will depend on
the gases in the plasma. As a precaution against changing probe surface con-
ditions, I-V data are normally gathered using digitizers while the probe bias
is swept rapidly and repetitively. Given that a monolayer of material can be
deposited on a surface in one millisecond at a pressure of one milliTorr, and
that the deposition might depend on probe potential, sweep rates should be
tens to thousands of sweeps per second. Any dependence of the I-V data
on sweep rate, or hysteresis in I-V characteristics, are indications that the
sweep rate is not fast enough. The safest technique is to maintain the probe
at a high negative bias for most of the repetition period and to apply short
positive pulses during which I-V data are gathered. This mode of operation
subjects the probe to almost continuous ion bombardment that heats and
cleans the probe tip, thereby minimizing the chance for changes in the probe
surface. However, this approach requires more complex bias-control systems
and the use of faster data measurement techniques. Depending on the imple-
mentation, the fastest measurements (obtaining a complete I-V trace in a
single pulse) may require use of digitizers with only 8 bits of resolution rather
than 12 to 16 bits of resolution in order to attain the highest speeds. This
160 G.A. Hebner, P.A. Miller, and J.R. Woodworth

limits dynamic range. Also, the fastest measurements require corrections for
parasitic reactances in the probe circuit.
Secondary emission generally is not treated quantitatively in probe analy-
sis. Ultraviolet radiation and metastable fluxes to the probe surface should
not depend directly on the probe bias, while secondaries generated by electron
and ion impact could be time dependent. Secondaries modify the potential
distribution in the sheath surrounding the probe. Secondary electrons would
leave the sheath, except in the electron-saturation region, and would con-
tribute to the probe current. One might estimate the magnitude of these
effects in the theory by artificially changing the charge of the positive ion or
by adding secondary current terms. Experimentally, a variety of probe mate-
rials could be tested to detect sensitivity to material properties, though this
is not commonly done.

Langmuir Probes in RF-Excited Plasmas. Until now, we have treated


Vp , T e , n e , and the other plasma parameters as if they were functions of space
but constant in time. In plasmas excited by rf supplies, Vp is often modulated
at the excitation frequency. In capacitively excited parallel-plate reactors, the
modulation will be quite strong, perhaps hundreds of volts. In inductively
excited systems, the modulation due to the plasma generation process is
usually more modest. Faraday shields have been used in inductive systems
to reduce the modulation well below one volt in some cases. In systems using
microwave sources to generate plasma, modulation due to the plasma source
can also be low. However, in any system with rf bias applied to a wafer
chuck, large modulation of Vp will result regardless of the primary mode of
generation of the plasma. The following comments relate to rf-modulated Vp ,
assuming the other parameters are constant in time.
The importance of the modulation in Vp is that it prevents simple mea-
surement of (V - Vp ) by standard low-frequency techniques. If nothing is
done about the modulation, then the result will be that the time-averaged
probe current is measured as a function of the time-averaged probe potential.
Because of the nature of the nonlinear potential-current relationship, this av-
eraged curve will deviate from the true shape of the I-V curve for a single
Langmuir probe and will lead to erroneous values for Vp. If the distribution
function is Maxwellian and if the modulation is small enough so that (Vp - V)
remains positive at all times, then the correct value of Te will still result from
evaluating the slope of the In(I - Ii) versus V straight line from the averaged
curve. However, computation of the distribution function by evaluating the
second derivative will fail. Unfortunately, the modulation will rarely be small
when a strong rf bias is applied to a wafer chuck.
One standard technique to mitigate the rf-modulation problem is to allow
the probe tip potential to be modulated identically to the plasma potential
so that the difference (V - Vp ) has no rf component. This condition can
be achieved by making the rf electrical impedance at the probe tip much
higher than the impedance of the probe-plasma junction (the sheath). The
5 Overview of Plasma Diagnostic Techniques 161

rf impedance at the tip depends on the probe holder and on the electrical
excitation and measurement circuitry connected to the probe. High rf im-
pedance can be achieved by properly designed rf components such as tuned
circuits and chokes [8,19]. However, note that this impedance control is to-
tally distinct in purpose from any filtering that may be used to keep rf signals
out of measurement hardware. Filtering and impedance control are separate,
frequently misunderstood topics. The design and implementation of a suit-
able tuned circuit can be challenging. The circuit must have sufficiently high
impedance at all frequencies that comprise the modulation of Vp. This can
include several harmonics of both the plasma-generation source frequency
and the rf bias frequency, which may be different. Because of the presence
of parasitic capacitance and inductance in circuit components, it is common
for the actual circuit performance to differ from the intended performance.
Consequently, it is imperative to validate the design of impedance-control
circuits by measuring the probe's input impedance characteristics.
The high-input-impedance requirements of a Langmuir probe in the pres-
ence of large ~) oscillations can be relaxed if the effective rf sheath impedance
can be reduced. This is the purpose of a compensating electrode, which is
an auxiliary insulated electrode that is capacitively coupled to the plasma
and connected to the tip of the Langmuir probe [20,21]. The compensating
electrode decreases the probe-to-plasma rf impedance but does not affect the
current flow from the probe at low frequency, where the probe I-V measure-
ment is made. Alternatively, active circuitry may be used to force the probe
tip to follow the rf modulation in Vp , which is detected independently from
the probe [22].
Symmetric double probes can be used successfully even in the presence
of large Vp oscillations, as can be inferred from (5.11), which is independent
of the value of Vp. This is similar to the situation of a single probe biased to
keep (Vp - V) positive. Both cases restrict the probe to sampling the tail of
the distribution function.
Gas temperatures inside dense plasmas can approach 1000 K. If a probe is
to survive for several minutes of continuous exposure to plasma, any filtering
or compensating hardware located near the probe tip must be robust.

5.2.1.2 Electric- and Magnetic-Field Probes in Plasmas


Measurements of electric and magnetic fields inside plasmas provide informa-
tion about the plasma and about interactions with external power sources.
These fields can be divided into static and time-varying components. Static
electric fields can be determined from measurements of the floating potential
and plasma potential by use of a Langmuir probe as discussed previously. In
this section we will describe use of probes inserted into plasmas to measure
time-varying electric and magnetic fields. These probes perturb the plasma
by their physical presence, but, unlike Langmuir probes, they do not draw
appreciable currents.
162 G.A. Hebner, P.A. Miller, and J.R. Woodworth

Capacitive RF-Potential Probes. A capacitive probe may be used to de-


tect the time-varying component of the modulation of the plasma potential
Vp [23]. For minimal perturbation of the plasma, a capacitive probe can be
conveniently fabricated from semirigid coaxial cable and completely enclosed
inside a small-diameter sealed glass tube. Figure 5.4 shows an example of
such a probe. The tip of the coaxial cable is attached to a small area (typ-
ically <1 cm 2 ) of unshielded conductor. The coaxial cable is connected to a
high-frequency data recorder and the cable is terminated in its characteristic
impedance R t . Displacement current Id flows from the plasma, through the
glass, to the probe tip, and a signal IdR t is recorded. (The larger displacement
current coupled to the outer grounded coaxial shield of the probe may perturb
the plasma, but it will not contribute to the recorded signal provided that
coaxial geometry is maintained continuously from the probe to the recorder.)
The magnitude of Id is determined by the frequency w of the potential os-
cillations and the capacitance C p from probe tip to plasma. Provided that
wRtCp « 1, the recorded signal is simply given by RtCp dVp/dt.

Pyrex tube capacitive pickup

~) semi-rigid coaxial cable

Fig. 5.4. Construction details for a simple capacitive probe used to measure the
time-dependent component of Vp in high-density plasmas. The probe's output is
terminated in matched impedance (typically 50Q) at a high-speed data recorder. If
the probe is immersed in the plasma for extended periods, high probe temperatures
can result that may affect the probe's integrity.

For high-density plasmas, the sheath thickness surrounding the glass is


usually much smaller than the mechanical distance from the probe tip to
the plasma, so that Cp is essentially independent of plasma parameters. C p
may be measured by surrounding the tip of the probe with conducting foil,
exciting the foil with a calibration source, and measuring the probe output.
If R t = 50.Q and Cp = 5 pF, then the amplitude of the recorded signal will
be Vp /47 at a frequency of 13.56 MHz.

Magnetic-Field Probes. Simple magnetic field probes may be used to


measure time-varying magnetic fields inside the plasma [24]. These probes
are often used to measure the penetration of fields generated by external
induction sourCeS that energize the plasma. The transport and attenuation
of magnetic fields are dependent on plasma properties, and thus provide a
quantitative measurement of plasma properties. For example, in the simplest
5 Overview of Plasma Diagnostic Techniques 163

case, an applied rf magnetic field in a collisionless plasma might decay in


space over a length given by the collisionless skin depth <5 = c/w p , where
c is the speed of light and wp = (nee2/meEo)1/2 is the plasma frequency.
In such a case, the decay length would be simply related to the electron
density: for ne = 1011 cm- 3 , <5 = 1.68cm. In a collision-dominated plasma,
the more conventional skin depth <5 = (2/w/la)1/2 would apply, where the
conductivity a depends on the average electron-neutral collision frequency
in the plasma as well as on the electron density. This makes the interpretation
somewhat more complex than for the collisionless case. However, cases have
been reported where the skin depth is dependent on more complex processes
[25,26]' and data interpretation is more complicated than for the foregoing
two limiting cases.
Similar to capacitive probes, the magnetic probes can be entirely enclosed
in insulating tubes. The basic sense element can be a small loop of wire (a
"B-dot" loop) at the end of a length of semirigid coaxial cable inside the
tube, with the cable terminated in its characteristic impedance Rt at a high-
speed recorder. The signal generated by a small loop of area A 100p is given by
A 100p dB /dt, where B is the magnetic field normal to the plane of the loop.
For example, a field of 50 G varying at 13.56 MHz will generate a 0.426 V
signal in a loop with area 1 mm 2 . However, the presence of the insulating
tube can perturb the plasma, and more accurate results may be obtained by
use of bare magnetic-field probes immersed in plasma [27).
The magnetic field has a direction as well as magnitude. To completely
characterize the field, several measurements can be made at each spatial
point with the probe loop oriented in different directions. Alternatively, si-
multaneous multiple measurements may be made using compound probes
that incorporate loops oriented in multiple directions [27).

5.2.1.3 RF Potential and Current Measurement at Electrodes


Measurement of rf potential and current associated with plasma excitation
sources provides valuable information and can be performed without signifi-
cantly perturbing the plasma. The information on potential and current may
be useful for process control and for learning about the energy balance of the
plasma. Furthermore, since the interaction of the excitation sources and the
plasma is controlled by plasma properties, rf potential and current measure-
ments can be used to diagnose plasma properties. Usually, single-frequency
sources are used to energize plasmas and to provide bias to substrates exposed
to the plasma. However, due to the intrinsic nonlinearity of the plasma, the
plasma generates harmonics of the excitation frequencies. The sheath non-
linearity is frequently the dominant factor in harmonic generation, so the
harmonic amplitudes and phases are strong functions of sheath parameters.
There is a similarity here to the I-V characteristics of Langmuir probes,
although spatial resolution is not available. Comparatively little work has
164 G.A. Hebner, P.A. Miller, and J.R. Woodworth

been done to take advantage of rf potential and current measurements in


diagnosing processing plasmas.

Sensors. There are many techniques for measuring rf potential and current
in conductors [24,28]. Potential may be measured by resistive voltage dividers,
including oscilloscope probes, as well as by capacitive dividers. Considera-
tions in selecting hardware include frequency response, linearity, suitability
for high-voltage operation, and calibration accuracy. A common difficulty
with simple resistive dividers is that parasitic capacitance can affect their
frequency response and must be dealt with carefully. A variety of voltage
sensors are available commercially that perform well.
Capacitive potential sensors that provide signals proportional to dV /dt
are advantageous for harmonic measurements because the differentiation fea-
ture increases the relative signal levels of the harmonics. These sensors are
similar in principle to the capacitive plasma probe discussed above. The sen-
sors are compact, inexpensive, simple to fabricate, can have excellent fre-
quency response, and are well suited for research work. A capacitive sensor
can consist of a metal plate 1-10 cm 2 in area placed in proximity to the con-
ductor whose rf potential is to be measured. The metal plate is connected
directly to a coaxial cable that is terminated in its characteristic impedance
R t at a high-speed data recorder. The recorded signal will be jwRtCV, which
equals 8.52V for R t = 50n, C = 2pF, and V = 1000V at 13.56MHz. Of
course, the value of C depends on the separation between the sensor plate
and the rf conductor, which must be sufficient to avoid electrical breakdown.
The differentiation feature must be remembered when interpreting waveforms
from these sensors. Using Fourier transforms and inverse transforms, along
with algebraic manipulation in the frequency domain, it is simple to recon-
struct the actual signals from digital records.
Current measurements can be performed with current-viewing resistors
(CVRs) or with magnetic-field probes. CVRs are series resistors across which
a potential drop is measured. Since the fundamental measurement is a poten-
tial difference, the resistances of the CVRs must be chosen to give acceptable
signal levels and acceptable power losses. A common mistake in using CVRs
at high frequencies is to ignore the contribution to the measured potential due
to the inductive potential drop across the CVR. In poorly designed CVRs,
or CVRs used above their design frequency limit, the inductive drop may
even dominate the resistive component of the signal, so that the CVR really
becomes a magnetic field sensor. CVRs respond to dc currents as well as to
ac currents, though that is seldom important for rf-plasma work.
In rf work, it is more common to measure current using magnetic field
sensors, such as single-turn "B-dot" loops described above in Sect. 5.2.1.2
or Rogowski coils [24]. Both types of sensor produce a signal related to the
magnetic field caused by the current flow in conductors. The signal from
a B-dot loop will depend on the configuration of all the nearby current-
carrying conductors, whereas a Rogowski coil is a hoop that surrounds the
5 Overview of Plasma Diagnostic Techniques 165

conductor of interest. B-dot loops can have better frequency response than
Rogowski coils, but Rogowski coils often are more convenient and easier to
calibrate. Magnetic field sensors have an internal inductance L and operate
into a load resistance RL. If L / RL » T, where T is the period of the rf being
measured, then the sensor is "self-integrating" and provides signals directly
proportional to the current being sensed. In the other limit, L/ RL « T, the
signal is proportional to the derivative of the current. The difference in these
two cases is important in interpreting waveforms that contain harmonics
(distortion). Self-integrating current sensors are available commercially. The
prospective user needs to be aware of both the upper and lower frequency
limits of these units. Some current sensors also have maximum current limits
due to their use of magnetically saturable materials.
With use of potential and current sensors at a common point on a trans-
mission line, it is possible to compute the rf power being delivered to the load.
One way to compute power is to integrate the product of the time-dependent
potential and current waveforms over one repetition period. Another way is
to compute the product of r.m.s. potential and current at a chosen harmonic
and to multiply that product by the cosine of the phase angle between the
potential and current. A sum over the powers at all harmonics should be
equal to the power computed by the time-dependent technique. If the plasma
load is highly reactive, these methods can suffer in accuracy. Their sensitiv-
ity to small errors in signal timing, or, equivalently, phase measurement [29],
becomes large at phase angles approaching 90 0 • Commercial packages are
available that automate the power computation for the user. Some of these
packages use digital electronics and compute power only at a specific oper-
ating frequency, and use filters to avoid interference from harmonics. Other
commercial packages ("wattmeters") are analog, broadband, and very con-
venient. In general, net power at the fundamental excitation frequency flows
from the rf source into the plasma whereas net power at harmonic frequen-
cies flows out from the plasma and is dissipated by losses in the rf circuitry.
The net power at the harmonics normally is small although the harmonic
potentials and currents can be quite large.
The main advantage of using broadband signals from potential and cur-
rent sensors rather than power measurement to characterize plasmas is that
the former signals are rich in diagnostic information. To date, most workers
have not taken advantage of that available information.

Data Interpretation. Unlike Langmuir probes, rf potential and current


probes are essentially nonperturbing diagnostics, but they are located at a
distance from the plasma and they deal only with integrated (global) plasma
properties. Consequently, even if the potential and current measurements
are very accurate, significant manipulation is required to relate all aspects
of the measured signals to intrinsic plasma parameters. This manipulation
involves two major elements, a model of the rf circuitry that interacts with
the plasma [30,31] and a model of the plasma itself. These two parts of a
166 G.A. Hebner, P.A. Miller, and J.R. Woodworth

system model are not separable because the plasma responds nonlinearly
to electrical excitation. It is this nonlinear interaction that is most directly
measured by diagnosing the harmonic generation process.
In a simple measurement example, potential Vm and current 1m are mea-
sured as close to the chuck of a reactor as possible on the lead that feeds rf
power to the chuck. A small inductance L is associated with the power feed
between the measurement point and the location of the wafer on the chuck,
and the chuck has a shunt capacitance C to the body of the reactor. Elemen-
tary circuit analysis shows that the chuck potential Vc and chuck current Ie
are solutions to

(5.14)

and

dIm / dt = (Vrn - Vc) / L. (5.15)

vVorking in the frequency domain, these differential equations become al-


gebraic equations that can be solved directly for the chuck potential and
current:

(5.16)

and

(5.17)

If L = 100nH, C = 200pF, Vrn = 100V, and 1m = 5A, then we compute the


terms wLIm = 43 V, wCVrn = 1.7 A, and w 2 LC = 14.5%. Obviously, for this
hypothetical example, there is significant difference between the measured
potential and current and the actual values at the chuck-plasma interface.
This particular circuit model is a reasonable approximation for many situ-
ations, but it neglects resistive losses and does not address more complex
situations with distributed capacitance and inductance.
In the simplest ideal case, a theoretical model of a plasma reactor might
predict all plasma parameters as functions of the input power. Within the
limits of that model, measurement of rf power would constitute determination
( "measurement") of all plasma parameters. However, existing plasma models
all have levels of uncertainty that make such an approach unwise. A more
conservative approach is to compare all aspects of the potential and current
signals to model predictions, along with signals from all other diagnostics.
Plasma models are quite complex and many different ones have been de-
veloped. Some are comprehensive and some deal in detail only with processes
in the bulk or sheath of the plasma. Frequently, the rf circuits that are coupled
to the plasma are not incorporated into plasma models. In one study, a plasma
sheath model was employed in combination with an rf circuit model to study
discharge behavior. Substantial agreement was found between predicted and
measured harmonic signals at an rf-biased wafer chuck [10]. However, this
5 Overview of Plasma Diagnostic Techniques 167

work involved only nonreactive argon gas and did not employ a plasma bulk
model. There is much room for further work in taking advantage of informa-
tion contained in rf potential and current signals.

5.2.2 Microwave Diagnostic Techniques

5.2.2.1 Background

A common alternative to Langmuir probes for measuring electron density


utilizes the transmission properties of microwave radiation through plasmas.
When an electromagnetic wave traverses a plasma, the wave can be atten-
uated and/or phase shifted relative to a wave that traverses a plasma-free
path, vacuum or otherwise. The phase shift and attenuation can be used to
derive the line-integrated electron density. In rough terms, the frequency of
the wave at which attenuation and phase shift become large is given by the
plasma frequency, wP ' defined in Sect. 5.2.1.2. The relationship for plasma
frequency is usually derived by applying an external force to separate the
positive ions from the negative electrons in a plasma and then calculating
the electrons' natural oscillation frequency when that force is removed. For
a typical plasma density of lOll cm- 3 , the plasma frequency is 2.8GHz. The
plasma frequency can be thought of as the dividing line between the plasma
looking like a conductor and a dielectric. An electromagnetic wave below this
frequency will be strongly attenuated and refiected, while a wave at a fre-
quency above will be less affected. As the probe frequency becomes much
greater than the plasma frequency, the attenuation and phase shift approach
free space values. For most of the plasmas of interest to microelectronics
processing, microwave frequencies in the range of 2--140 GHz are adequate
for probing the plasma properties by measuring the propagation characteris-
tics of the microwave beam.
In general, the propagation constant r for an electromagnetic plane wave
propagating through a media as e-'z is given by

r = a + j{3, (5.18)

where a is the attenuation coeffienct and {3 is the phase shift. In most exper-
iments, the phase shift is the desired quantity so the microwave frequency is
generally chosen to be higher than the plasma frequency. In this limit, w > wI"
the attenuation and phase shift are given by the approximate relationships

a = (w/c)(vw~/2w3)(1 - W~/W2)-1/2 (5.19)

{3 = (w/c)(l - W~/W2)1/2, (5.20)

where w is the microwave probe frequency, and v is the electron-neutral


collision frequency [32]. Note that the index of refraction for a plasma, given
by {3c/w, is less than one, in contrast to normal dielectric material. Since the
168 G.A. Hebner, P.A. Miller, and J.R. Woodworth

collision frequency is a fundamental plasma property, it is tempting to use a


measurement of the microwave attenuation to derive the collision frequency.
However, such a measurement is seldom accurate, even in order of magnitude,
due to a number of issues such as the electron-temperature dependence of
the collision frequency, unknown plasma spatial distributions and microwave
propagation issues such as focusing, defocusing and reflections.
For a microwave beam propagating through a uniform plasma of length,
L, we can use (5.20) to derive a relationship between phase shift and electron
density. In the limit of w » 1/, the phase shift, 11¢, is

11¢ = L(f3p - f3free space) (5.21)

with an electron density given by

ne = 11¢cwmeco2/ Le 2 . (5.22)

5.2.2.2 Interferometers

While there are many hardware adaptations, all microwave-based plasma di-
agnostic techniques exploit the change in the index of refraction caused by
the electrons in the plasma. An example of a basic microwave interferometer
is shown in Fig. 5.5. In principle, the microwave interferometer is identical
to its optical analog [11,32-35]. In both cases, a common radiation source is
split into two beams that traverse different paths. The two beams are then
recombined to form an interference signal that depends on the relative am-
plitude and phase shift of the two signals. In a microwave interferometer, the
wavelengths are much longer than optical wavelengths and the interference
pattern occurs in a waveguide and is detected by a microwave diode. In prac-
tice, a fraction of the microwave power is transmitted through the plasma
using a pair of microwave horn or lens antennas. The beam that traverses
the plasma is combined with a beam that traverses a reference leg to produce

attenuator
horn antenna
'-m-ic-r-o-w-a-ve--s-ou-r-c-e~I~_~~~~~====~______~<
l
. Gunn. klystron I / r
10 dB coupler

magic T microwave
phase attenuator signal ~odes
shifter combiner ----pr-
~--------------v-------------,/
reference path

Fig. 5.5. Simple schematic of a microwave interferometer.


5 Overview of Plasma Diagnostic Techniques 169

a de signal that is proportional to the relative phase shift and attenuation


of the two signals. In the simplest case, the output from the microwave de-
tector attached to the signal combiner (an EH cross or "magic T") is first
set to zero using a variable phase shifter and attenuator in the reference
path (the non-plasma path) to balance the interferometer in the absence of
a plasma. The discharge is then turned on and the phase and attenuation
are then readjusted to renull the interferometer. The difference between the
no plasma/plasma phase shift and attenuation measurements are due to the
plasma. For example, for a plasma electron density of 10 11 cm-3, path length
of 30 em and a microwave frequency (f = w /27f) of 35 GHz, the resultant
phase shift is 1.44 rad or 82 deg relative to free space propagation. The atten-
uation (including reflection and refraction losses) is strongly dependent on
the microwave probe frequency, collision frequency and electron density. For
a microwave probe frequency of 10 GHz, the attenuation can be on the order
of 1-20 dB while a probe frequency of 80 GHz will have an attenuation of less
than 0.2dB.
For measuring electron densities, a microwave interferometer has a num-
ber of advantages over probe techniques. The main advantage is that it is
nonperturbative and noncontact; it does not affect the plasma properties.
Microwave interferometers can be used to benchmark spatially resolved Lang-
muir probe measurements (Fig. 5.2) to verify the operation of the probe and
analysis software [11 ,33]. In general, the agreement between the integrated
spatial information provided by probes and interferometry is within a fac-
tor of two. As shown in other work, one of the biggest sources of variability
between the two techniques can be the probe construction and materials
[11]. In addition, the microwave technique can measure very fast, nanosec-
ond scale, changes in the plasma density. This capability makes it useful for
some experiments such as laser-induced photodetachment of negative ions or
characterization of pulse-modulated plasmas [36 -40].
The advantages of microwave diagnostic techniques are gained at the ex-
pense of spatial resolution. The measured phase shift is the spatial overlap
between the electron spatial distribution and the spatial pattern of the mi-
crowave probe. In the case of a uniform slab of plasma, the overlap integral
just reduces to the path length across the plasma. For most real plasma
systems, the electrons may have a significant spatial variation over which
the propagating microwaves will average. This limitation can be partially
compensated for by making ion saturation current measurements and using
plasma quasineutrality to deduce the electron spatial distribution and ab-
solute density. In addition, the microwave power must be kept low to avoid
heating the electrons and modifying the plasma ionization [32]. For most typ-
ical microelectronics plasmas, microwave powers <0.1 W at 8 GHz and < 1 W
at 100 GHz will not result in electron heating.
For lower frequency microwave systems and geometries that are on the
order of the microwave wavelength, the pattern of the electromagnetic ra-
170 G.A. Hebner, P.A. Miller, and J.R. Woodworth

diation between the horns and scattering from surfaces in the chamber can
be important. Misalignment of the microwave horn antennas can produce
highly scattered beams that may take several different paths through the
plasma [33,34]. Since each of these beams will have propagated through a
different length of plasma, the measured phase shift will be a jumble of mul-
tipath contributions to the total phase shift. Ideally, the microwave mode
pattern will be mapped using a small dielectric bead or rod to insure that
scattering is not important. An example of a microwave pattern is shown in
Fig. 5.6 [34]. However, for most commercial plasma tools, plasma access is
limited to small windows with curved chamber walls that encourage multi-
path signals. At higher microwave frequencies, 35 GHz and up, it is possible
to use microwave lenses or mirrors to focus the radiation to greatly reduce
scattering and produce a well defined beam that is a good approximation to
a line [32,34].

Fig. 5.6. Microwave intensity pattern


in the center of the plasma. The pat-
tern was measured by translating a
2 mm diameter glass bead through the
beam and monitoring the phase change
of the interferometer [34].

Since the interferometer output signal is the result of interfering two dif-
ferent waves, there can be some confusion as to the relative phase shift. For
example, the interferometer output signal for a relative phase shift of Q rad is
the same as a relative phase shift of Q + 271" rad. In cases where the microwave
frequency is low and the electron density is high, the phase shift can become
more than 271" rad. For those cases, provision is usually made to measure the
phase shift from zero radians to avoid phase ambiguity. However, in most
plasmas of interest to the microelectronics community, it is relatively easy to
pick the microwave frequency and path length to avoid this complication.
In addition to the challenges associated with designing a clean, well de-
fined microwave probe beam, common sources of frustration include rf pickup
from the plasma and standing waves in the waveguide due to misaligned
waveguide or imperfect impedance matches between components and rf pickup
in the detector diodes. These problems can be especially severe at the higher
microwave frequencies where the waveguide is small and the diode detectors
are less efficient.
5 Overview of Plasma Diagnostic Techniques 171

5.2.2.3 Cavity Resonance Techniques

Another class of microwave based techniques for determining electron density


uses the 8tanding wave produced by microwave excitation of a metal cavity
[36-40]. Any enclosed metal box has a number of fundamental and higher
order rC80nance8 for electromagnetic radiation. With the proper orientation
of exciting antennas, it i8 possible to excite these mode8. For m08t normal
sized boxes, or, more commonly, cylinders of 3-15cm diameter, the lowest
order mode is on the order of one wavelength or 1-5 GHz. As a tunable
microwave source is scanned over a resonance, the power into the cavity
increases and the reflected power decreases at the cavity resonant frequency.
If a plasma is placed inside the resonant structure, the frequency of the
mode will change since the plasma changes the dielectric constant or the
effective path length of the microwaves in the structure. The excited mode
is generally cho8en to have a desired spatial distribution which is verified by
probing the cavity mode using a small dielectric bead or rod [36]. Due to
the lower microwave frequency, cavity technique8 can have higher sensitivity
to electron8 than interferometers. Since the derivation of the relationship
between the frequency shift and electron density depends on a number of
variables such as the mode and geometry, an exact solution will not be given
here. Instead, the interested reader is referred to a number of recent studies
[36-38].
The main limitation to the cavity resonance method is the need for a
cavity. While this is not a serious limitation for some configurations, it is
an impediment to general implementation and greatly restricts access to the
plasma by other diagno8tics. In addition, the technique require8 the measure-
ment of the change in the resonant frequency. For m08t implementations, a
repetitive 8ignal is required since the microwave frequency is 8canned in fixed
increments to map the change in the resonant frequency. Thus a narrow reso-
nance will provide the best resolution. The width of the resonance is defined
a8 the Q, defined as

Q = wo /i1w, (5.23)

where i1w is the FWHM of the rC80nance, and Wo i8 the center frequency. A
well designed experiment will have a Q on the order of 200-2000 at typical
microwave frequencies. The Q is reduced by collisional absorption in the
plasma, low conductivity cavity walls, or holes in the cavity required for
experimental access.

5.2.3 Ion-Energy Analyzers

Ions 8triking the wafer play an important role in removing native oxides, etch-
ing surfaces and providing ani80tropic etching in trenches and vias. Therefore,
a number of techniques have been developed to measure both ion energies and
172 G.A. Hebner, P.A. Miller, and J.R. Woodworth

ion angular distributions. In this section we will first discuss simple gridded
energy analyzers then mention electrostatic sector energy analyzers, which
in some cases, can become quite complex. We will mention instruments that
analyze both the energy and mass of incoming atoms. Finally, we will discuss
ways to measure ion energies at dc- and rf-powered electrodes.

5.2.3.1 Gridded Energy Analyzers


Figure 5.7 shows a schematic of a simple gridded energy analyzer located
behind an aperture next to a plasma. The analyzer consists of two high-
transparency screens held at negative and positive potentials and an ion
collector electrode which is connected to an ammeter. Electrons or negative
ions passing through the aperture will be repelled by the negative potential
on the first screen, whereas positive ions will pass through the first screen. If
ions have an energy higher than the positive potential on the second screen,
they will pass through the screen and be measured at the collector. Varying
the potential on the positive screen and then plotting the derivative of the
collector current as a function of the potential yields a plot of the ion energy
distribution as in Fig. 5.8. If the size of the aperture and the transmissions
of the screens are known, the integral of the distribution functions in Fig. 5.8
can also yield the ion fluxes through the aperture [41-46J.
In order to prevent collisions inside the analyzer from affecting the data,
it is important to have a good vacuum inside the analyzer. As a rule of
thumb, the vacuum must be high enough so that the ion mean free path
inside the analyzer is longer than the separation between the screens and the

c8
-r - - - - -J~
V -=-f
l=
.
---------
- -- - - - - electron
Aperture plate
repelled
analyzer grid
collector

+
V
~ . Ammeter

Fig. 5.7. A simple gridded energy analyzer. Electrons passing through the aperture
will be repelled by the negative potential on the repeller screen. Positive ions will
either be repelled, or pass through the analyzer grid depending on their energy. Ions
passing through the collector grid will be detected by the ammeter. Varying the
potential on the analyzer grid and plotting dI jdV yields the ion energy distribution.
5 Overview of Plasma Diagnostic Techniques 173

3
- - P u r e Argon
2.5

2
-PureCl2
'A !\ V
/}4 5

jij 1.5
- .. - CI2IBCI3 Mixture .... "T ~~
c:
DI
t~ ,..J'.¥'
.. r\J.,
.,. y
(j)
~
1
CIS •
a:: ;. \
.J' j\ ...... ~
0.5

-0.5
-
o 2 4 6 8 10 12 14 16 18 20
Ion Energy (eV)

Fig. 5.8. Ion energy distributions at the grounded electrode of a Gaseous Electron-
ics Conference rf reference cell for three different gas mixtures. Total gas pressure
was 30 mTorr and rf power was 200 W. The significant differences seen in the ion
energy distributions depend on subtleties of the plasma environment.

collection electrodes. Thus, as the size of the analyzer shrinks, the vacuum
requirements become less severe. Using microelectronic processing techniques,
one group has succeeded in building a gridded energy analyzer into a silicon
wafer [47] with grid spacings of only a few micrometers. This analyzer can
operate directly in the plasma environment of many processing discharges
and requires no differential pumping.
Figure 5.9 shows a variant of the basic ion energy analyzer which can be
used to measure both ion energies and ion angular distributions [48-50]. In
this detector, the screens and the collection electrodes have all been formed
into sections of concentric hemispheres, which are all centered on the pinhole.
Thus, no matter what direction an ion is going when it passes through the
pinhole, it will be travelling parallel to the electric fields and the angle of its
trajectory will not be deflected on the way to the collector. If the collector
is broken into a number of concentric rings, current from the various rings
will give a measure of the angular distribution of the ions. Replacing the
collection electrodes with a phosphor screen and then viewing the phosphor
screen with a TV camera gives the same information [50].

5.2.3.2 Electrostatic Sector Energy Analyzers

Figure 5.10a shows a schematic of a simple electrostatic sector energy ana-


lyzer. In this type of analyzer, the electric field between the two flat, parallel
plates bends the ion's trajectory. Only ions of the proper energy will pass
174 G.A. Hebner, P.A. Miller, and J.R. Woodworth

Pinhole
/'
-
"1%~z~~"'z"'zO"'lzO"'l~O"'l~~z~~"""'- ~40VA

=r-

Polyimide
Block

To Electrometer
Fig.5.9. An ion energy analyzer which can also measure angular distributions.
The screens and the annular electrodes are all sections of concentric hemispheres
centered on the pinhole.

(a)

(b)
Fig.5.10. Three energy analyzers
which function by electrostatic de-
flection of the ions. Each analyzer
has entrance and exit slits and the
ions are detected by a Faraday cup.
Ions enter from the left: (a) A sim-
(c) ple parallel plate electrostatic ana-
lyzer, (b) a spherical sector electro-
static energy analyzer (both plates
are actually sections of spheres) and
(c) a cylindrical mirror energy ana-
lyzer or " Bessel Box".

through the exit slit and be detected. By varying the potential between the
two plates, one can map out the ion energy distribution [51-53]. Higher reso-
lution and throughput can be achieved if the two plates are actually sections
of spherical surfaces as shown in Fig. 5.10b [54,55].
5 Overview of Plasma Diagnostic Techniques 175

Another type of electrostatic ion energy analyzer in common use has cylin-
drical, instead of spherical symmetry. Figure 5.lOc shows a schematic of a
cylindrical mirror energy analyzer [56-60]. Both the spherical and cylindrical
analyzers are typically designed not only to disperse the ions versus energy,
but to focus the beam of desired energy ions at the exit slit. The design of
these analyzers can thus become complex but they are available commercially.
Electrostatic energy analyzers can also be put in tandem with quadrupole
mass spectrometers so that the energy distribution of individual ions in a
discharge can be studied [61-65] and several such tandem instruments are
available commercially [66].

5.2.3.3 Data from rf-Biased Electrodes


Many plasma process steps involve biasing a wafer at a dc or rf potential
while it is processed. Measuring the ion distributions striking a biased wafer
poses significant challenges. Researchers have obtained data of ion energies
striking biased wafers, however, using several approaches.
The first approach to this problem is to build a small, battery-powered
ion energy analyzer, which floats at the rf potential of the wafer, and then
couple signals in and out of the analyzer using fiber optics. In this case, the
rf-biased electrode is typically enlarged somewhat so that the ion analyzer
can be put inside it in a metal box, to prevent rf fields from affecting the
analyzer's operation [51,52].
The second approach to this problem is to float the analyzer at the wafer
potential, but to couple signals in and out of the detector through low pass
filters. These filters look like high impedances at the rf frequencies, but read-
ily transmit signals at low frequencies or dc. The authors have discovered
through painful experience that many commercial low-pass filters are de-
signed to ground the incoming rf energy. This is clearly not acceptable as
it would ground the wafer, probably burning up the low-pass filter in the
process. Only low pass filters which have a high input impedance at rf fre-
quencies can be used. Since the wafer will normally acquire a de offset when
it is rf biased, the voltmeters and microammeters attached to the analyzer
must be allowed to float at a dc potential. Since many commercial voltmeters
and micro ammeters have the ability to let their "high" and "low" terminals
float at a dc potential relative to their case ground, this dc offset does not
normally cause problems [67,68]. Figure 5.11 shows a schematic of this type
of apparatus and Fig. 5.12 shows some ion energy data for an argon discharge
as a function of the rf and dc bias potential on the wafer [67].
A third approach to this problem, which approximates to the second ap-
proach, is often used with commercial analyzers [63-65]. This approach has
the analyzer electrically isolated from the rf-biased wafer but floating at the
wafer's dc potential. If the capacitive coupling between the analyzer and the
wafer is large and the input and the output leads to the analyzer are relatively
high impedance at rf frequencies, then the analyzer will tend to follow the rf
176 G.A. Hebner, P.A. Miller, and J.R. Woodworth

,
Reactor Vacuum
wall
Fig. 5.11. A gridded
energy analyzer set up to
measure energies of ions
Pump_
striking an rf-biased elec-
trode. The ion analyzer
floats at whatever rf and
dc potentials are induced
on the electrode. Note
that potentials, signals
--- Ammeter and and even the dc ground
Power Supplier return are coupled out
Floating at DC Volts of the biased electrode
~ = Insulator through
filters.
LC low-pass

0.0035

0.003 ---......- no bias


zoo
g 0.0025 -5.5 V DC bias. 13 V rf p-p

1
~
(ij
s:::
0.002

0.0015

0.001
---....- B.2 V

- +<-
DC bias. 19 V rf p-p

16.4 V DC bias, 37 V rf p-p

Cl 0.0005
en
0

-0.0005
0 10 20 30 40 50 60 70 80
Ion energy (eV )

Fig. 5.12. Ion energy distributions at an rf-biased electrode for a 10 mTorr Ar


discharge in a GEC reference cell. As the rf bias increases, the distribution broadens
and then splits into two peaks, whose separation is dependent on the rf potential
on the electrode. Both the dc bias and the rf peak-to-peak potentials are shown.

oscillations of the wafer. If the analyzer potential does not perfectly follow
the wafer potential, then there will be an rf potential between the wafer that
will smear out the measured ion energy distributions. In many cases, this
broadening will be small enough to be neglected.
5 Overview of Plasma Diagnostic Techniques 177

5.3 Optical Diagnostic Techniques

Optical techniques generally exploit the optical emISSIOn from the plasma
or probe the plasma species using an external light source such as a lamp
or laser [69,70]. In general, the optical measurements attempt to character-
ize a specific species because optical emission and absorption wavelengths
are unique to a given atom or molecule. While optical emission signals are
usually straightforward to acquire, useful correlations of the optical emission
to a given plasma condition are difficult to obtain. The more sophisticated
techniques of optical absorption or laser-induced fluorescence may provide
more specific information, but the tradeoff is added complexity, expense and
application of technology that is not yet appropriate for production line ap-
plications. However, these techniques will be discussed below since they are
in common laboratory usage and provide a powerful means to obtain specific
information.

5.3.1 Optical Emission


Plasma optical emission measurements can be grouped into two categories
depending on thc emitting species; atomic or molecular. Atomic emission is
characterized by discrete lines characteristic of the atomic energy levels. For
typical plasma processing pressures of less than a few Torr, the width of the
emission lines are generally well fit by a Gaussian lineshape with a linewidth
on the order of 109 Hz, small compared with typical visible frequencies of
10 14 Hz. There are extensive tables of atomic emission lines in a number of
references [71-73]. Depending on the reference, the lines are either ordered
by atom or by wavelength. The latter can be useful for identifying unknown
atomic lines. However, precise optical wavelength calibration is critical if one
wants to avoid being swamped with possible lines. Most line tables also in-
clude a rough indication of the relative emission line strength. These line
strengths should be used as very approximate guides since the electron ex-
citation parameters in the plasma used to obtain the tabulated intensites is
likely to be very different from the plasma of interest. In addition to listings of
atomic emission wavelengths, there are a number of standard references that
show the atomic energy levels by energy and transition probability [74-77].
Molecular emission spectra are generally more complicated than atomic
line spectra due to the large number of potential energy levels for optical
transitions. Due to the large number of degrees of freedom possible in a
molecule, the energy levels of a molecule can be specified to first order by
its electronic state, its vibrational state and its rotational state. Electronic
transitions involve changes in the electron shell configuration while vibra-
tional and rotational energy levels are related to changes in those config-
urations. The assignment of molecular energy levels and the nomenclature
is a very detailed topic that is best left to specialized texts. For the sake
of discussion, a simple two-electronic level diagram for a diatomic molecule
178 G.A. Hebner, P.A. Miller, and J.R. Woodworth

Fig. 5.13. Typical en-


ergy level configura-
tion for a diatomic
>-
r3 molecule showing elec-
2'
Q)
~ x tronic, vibrational and
c
W rotational energy lev-
V2 els. The arrows in-
V,
dicate possible pump-
Nuclear separation distance
and laser-induced fluo-
-------------------------.. rescence transitions.

is shown in Fig. 5.13. The ground state configuration, X in this case, and the
excited state electronic level, A, have a number of vibrational and rotational
levels within each electronic structure. While there are numerous exceptions,
electronic transitions have wavelengths roughly between 10000 and 100000
cm- 1 (near infrared, IR, to vacuum ultraviolet wavelengths) while typical
vibrational spacings are 100-1000 cm- 1 (near IR to far IR) and rotational
spacings are 0.5-5cm- 1 (microwave region). The large number of energy lev-
els gives rise to an enormous number of possible visible and IR transitions.
Molecular emissions observed in the visible or ultraviolet regions will typi-
cally appear as a "clump" of overlapping emission lines. All the lines in each
clump will involve a transition between the same two electronic states, but
may involve transitions between a host of different vibrational and rotational
levels within the two electronic states. Molecular emission features will usu-
ally have a strong peak and a smeared-out (or "degraded") side to the red
or blue side of the main peak. The exact structure depends on the mole-
cule and temperature. A number of standard reference texts list wavelengths
for the stronger molecular band heads, degradation and molecular constant
information [78-84].

5.3.1.1 Optical Emission Spectroscopy


in the Visible and Near Ultraviolet

Since the vast majority of plasmas used by the microelectronics industry


emit copious amounts of light, examination of the optical emission spectrum
is a natural first step that can provide useful information about the plasma
state or changes in the plasma due to tool drift or process endpoint. Fig-
ure 5.14 shows a typical apparatus for examining the optical emission from a
plasma. Light from the plasma is conveyed through a window and a lens to
the entrance slit of a spectrometer. Alternatively, a fiber optic may be used
5 Overview of Plasma Diagnostic Techniques 179

Lens

~:~--------------{)-----
l
It---:~~:::~/lc(
I
Grating Slit Detector

Spectrometer Plasma
Reactor
----------------~ ...
Fig. 5.14. A spectrometer-plus-detector setup to examine visible or near UV light
from a plasma. In many instances, a fiber optic cable may be used to convey the
light from the window to the spectrometer entrance slit instead of using a lens.

to convey the light from the window to the spectrometer. The diffraction
grating and the concave mirrors in the spectrometer disperse the light and
focus it onto the detector at the exit focal plane of the spectrometer.
There are two classes of detection systems. In the first, the exit plane
contains a slit that passes only one narrow wavelength band to a high gain
detector such as a photomultiplier tube and the grating is rotated about its
axis to vary the wavelength that passes through the slit. In the second class of
Hystems, the slit is removed and a photodiode array or charge coupled device
(CCD) camera is placed directly in the exit plane to detect many wavelengths
simultaneously. The first type of system is cheaper and normally gives higher
reHolution spectra, while the second class of system collects data much more
rapidly.
It is important to assure that all the components of the optical system are
compatible with the wavelength of light that the experimenter wishes to de-
tect. Standard glass windows, lenses, and optical fibers will not transmit light
below about 350 nm. Therefore, for work in the ultraviolet (UV), components
made of quartz or another short-wavelength-transmitting material should be
used. Reflective optics, which typically are coated with aluminum with an
Si0 2 overcoat, generally reflect well from the near infrared to the shortest
wavelengths that air will transmit in the ultraviolet (about 190nm). Mechan-
ically ruled diffraction gratings normally are "blazed" or designed for peak
efficiency at a particular wavelength. A ruled grating's efficiency may suffer
factor-of-two or more losses in efficiency for wavelengths shorter than one-half
the blaze wavelength or more than twice the blaze wavelength. Holograph-
ically recorded diffraction gratings are not as efficient as ruled gratings but
have a relatively flat efficiency over a very broad spectral range. It should also
be noted that in addition to producing spectral lines at the true wavelength,
180 G.A. Hebner, P.A. Miller, and J.R. Woodworth

diffraction gratings will produce higher order lines at integral multiples (2, 3,
4, etc.) of the true wavelength [85J.
Figure 5.15 shows an emission spectrum [86J of a chlorine/boron trichlo-
ride plasma in an inductively driven Gaseous Electronics Conference (GEC)
reference cell [11,30,87J containing a patterned wafer; Si, Si0 2 , Al and pho-
toresist were in contact with the plasma. This type of plasma is often used
to etch patterns in aluminum interconnects in microelectronic devices. The
spectrum contains a fairly narrow boron-chloride molecular emission band at
272 nm that peaks off scale at "-'2.5 nA and a series of very broad molecular
chlorine emissions that extend from at least 200 nm to 800 nm. A number of
narrow atomic emissions of boron, and aluminum are seen in the ultraviolet
and a forest of atomic chlorine lines appears between 700 and 950 nm. Note
that two second-order lines of boron appear at 418 and 500 nm and that the
BCI band appears in second-order at 544 nm. Figure 5.16 [88J shows another
example of an emission spectrum in a plasma designed to etch silicon dioxide.
To obtain this spectrum, a patterned wafer with both photoresist and silicon
dioxide on its surface was exposed to a pure C 2 F 6 plasma in an Applied Ma-
terials 5300 high-density plasma reactor [89J. This spectrum contains a large
number of broad molecular features from radical species in the discharge as
well as atomic emissions from silicon, fluorine, oxygen and hydrogen.
Changes in the optical emission spectra can be used to detect when a
plasma process has reached its endpoint. As an example, a sudden disappear-

0.5

Be I CI

0.4

iE AICI
<C 0.3
o
! B

~ 0.2
DI AI
iii
B AI
Bx2 BClx2

f.
0.1
~
o
200
.J
300 400 500
1 600 700
lI.lI~, l .
800
I

900
11,1
Wavelength (nanometers)

Fig. 5.15. Emission spectrum of a 25 mTorr, 70/40 ratio chlorine-boron trichloride


discharge in a GEe Reference cell. This type of discharge is often used to etch pat-
terns in metal interconnects. Note that the spectrum contains many sharp atomic
emission lines, a narrow molecular emission band at "-'270 nm. The spectrum also
has broad molecular chlorine emission bands stretching from at least 200 nm to
800nm.
5 Overview of Plasma Diagnostic Techniques 181

12000
C2

10000

.r:i
8000
~ Si
Z-
'iii
c 6000
Q)
C
Si
4000 C2
~C2
F"
2000

0
200

Fig. 5.16. Emission spectrum of a pure C 2 F 6 discharge. This type of discharge is


often used to etch patterns in silicon dioxide. In addition to sharp atomic lines the
spectrum shows a number of broad molecular bands from radical species. Some of
the vihrational structure of the bands can be resolved.

ance of the oxygen lines from the spectra in Fig. 5.16 might indicate that the
plasma had etched completely through the Si0 2 layer and was beginning to
etch the pure silicon substrate.

5.3.1.2 Optical Emission Spectroscopy in the Vacuum Ultraviolet

For some time, there has been concern that vacuum ultraviolet (VUV) light
generated by the plasma used to process wafers may damage the integrated
circuits on the wafer. This concern has centered on possible damage to the
silicon dioxide layers in the gates of field-effect transistors, or to the silicon
dioxide layers used to insulate the metal interconnects. In order to be ab-
sorbed and cause damage, photons must have an energy greater than the
8.8eV band-gap energy of Si0 2 . In addition to this concern, VUV spec-
troscopy is of interest because most of the atomic transitions to the ground
state, which are typically the brightest emission lines, occur in the VUV.
Figure 5.17 shows a VUV spectrum above 8.8eV (140.2nm) from a chlo-
rine/boron trichloride plasma used to etch metal interconnects in microelec-
tronic circuits [90]. In this case, virtually all the emission lines seen are
from neutral chlorine atoms. Most of the photon flux in this spectrum is
between 8.9 and 9.3eV. At these energies, the photons may penetrate up to
1 micrometer into the Si0 2 before being absorbed.
A VUV spectroscopy system necessarily looks quite different from a sys-
tem designed for the visible or near UV. VUV systems fall into two general
classes -those that can detect photons with energies above 10.5 eV (the ab-
182 G.A. Hebner, P.A. Miller, and J.R. Woodworth

13.7 eV 8.8 aV

1.6 ~ ~

.
CI amissl ons
1.4

..;" •....
.!
1.2
-0
1
••u ~
0.8

N 'a
E 'a
~c .; 0.6
:g
~
.c 0.4
D..
0.2

o . ~~ .1
90 100 110 120 130 140
Wavelength (nanometers )

Fig. 5.17. Vacuum ultraviolet emission spectrum of a 10mTorr, chlorine/boron-


tricbloride discharge in an Applied Materials DPS metal etching tool. Almost all of
the emission features are from neutral atomic chlorine. Note that the VUV fluxes
depend strongly on the type of wafer in the tool.

sorption edge for magnesium fluoride windows) and those built for photon
energies below 10.5 eV.
Figure 5.18 shows a schematic of a VUV spectrometry system built to look
at photon energies from rv4 eV to 30 eV. A spectrometer of the Seya-Namioka
design [91] is attached to a plasma processing reactor. The spectrometer has
a single curved grating that both diffracts the light and focuses it onto the
exit slit. This minimizes the number of reflections inside the spectrometer
since reflection efficiency is less than 50% for most metal surfaces at energies
above lOeV.
For energies above 10.5 eV, a "ChanneltronTM" or microchannel plate
[92], detector will be placed behind the exit slit. Either of these detectors are
high gain, windowless detectors usable above about 8 eV. The sensitivity of
these detectors may be extended to lower energies by coating the photocath-
ode with cesium iodide or cesium telluride. While photodetector arrays are
beginning to become available in the vacuum ultraviolet, they are far from
"turnkey" systems.
To transmit the VUV light and to avoid shorting out the windowless de-
tectors, the entire light path must be evacuated to a pressure of rv 10- 5 Torr.
To look at light of energies above 11.5 eV (the absorption edge of lithium
fluoride) no window can be placed between the processing plasma and the
spectrometer. Therefore, differential pumping is needed between the process-
ing plasma and the spectrometer, as shown in Fig. 5.18.
For photon energies below 10.5 eV, things are much easier. In this case,
a magnesium fluoride window may be placed between the discharge and
5 Overview of Plasma Diagnostic Techniques 183

Pump c;--:.. Bias


L-.--1~ Power

SEYA
. . - Spectrometer I DPS Tool --..

Fig. 5.18. Setup to measure VUV emissions from an Applied Materials DPS plasma
reactor for light between 4 and ~:}Q eV. Since there are no solid transmitting ma-
terials for energies above ~ 11 eV, there are no windows or lenses in the optical
system. A single curved diffraction grating in the Seya-Namioka spectrometer both
diffracts the light and focuses it to the exit slit.

the spectrometer, eliminating the differential pumping stage. A magnesium-


fluoride-windowed photomultiplier tube may be used as a detector and a
spectrometer like the Czerny-Turner system in Fig. 5.14 may be used, al-
though the spectrometer must be purchased with VUV compatible optics.
The light path still must be evacuated or purged with a nonabsorbing gas
such as argon.
In order to determine absolute photon fluxes, it is necessary to calibrate
the absolute sensitivity of the VUV spectrometer. This absolute calibration
is a complex task that is beyond the scope of this book. More information
on calibrations and on VUV techniques in general can be found in several
reference books [91,93,94]. Emitting species can still be identified without
calibrating the absolute sensitivity of the system.

5.3.1.3 Actinometry

Optical actinometry is a technique that exploits the relative intensity of the


atomic and molecular emission lines from the plasma to provide informa-
tion about the number density of some plasma species and in some cases,
the electron temperature. It uses the ratio of the plasma-induced optical
emission from a species at a known density, the actinometer, to the optical
emission from a second species to infer the unknown density of the second
species [95-98]. The known species is generally added to the gas mixture
in concentrations of a few percent and assumed not to perturb the discharge
properties. Important assumptions are; 1) the optical emissions for both lines
184 G.A. Hebner, P.A. Miller, and J.R. Woodworth

are proportional to the ground state population, 2) the two species undergo
known electron-impact excitation processes, 3) the transitions are not ra-
diation trapped, 4) two excitation energies are approximately equal, 5) the
optical emission branching ratio of the line of interest and other deactivation
steps are constant with changes in the plasma, and 6) the excitation processes
of both species have the same dependence on electron energy. This is a rather
formidable list of requirements that is not generally met. There are a number
of examples in the literature of the failure of optical actinometry to capture
changes in the ground state density. However, there are also a number of spe-
cific examples where the conditions appear to be met and actinometry can
provide information of the unknown species density and even the electron
temperature [97].
Focusing on the cases where the minimum set of conditions above are
met, we can now examine the principles behind actinometry. In general, the
optical emission from an electron-impact-excited ground state species is given
by

(5.24)
where Is is the intensity of the optical emission, Ns is the ground state density,
Fs is the branching ratio for the optical emission relative to other deactivation
pathways, radiative or otherwise, and ks is the excitation rate coefficient. The
excitation coefficient incorporates the electron energy distribution function
and the collisional cross section for excitation. The density of the unknown
species, N x , compared with a know density of gas, N a , is then given by

(5.25)
If the electron impact cross sections have a constant ratio as a function of
electron energy, the ratio of kal kx will be constant. If collisional deactivation
is not important, usually true for typical process conditions of less than one
Torr, but not always, then the Fal Fx will also be a constant. In this limit
(5.26)

where C is a constant that would incorporate the ka/k x and Fal Fx terms.
A common actinometer gas is argon, which is added to the discharge at
concentration of a few percent. In many cases the addition of a small amount
of argon has minimal impact on the discharge parameters, however, this is
not true in all cases.

5.3.1.4 Optical Endpoint Detection


Optical endpoint detection uses a change in the plasma-induced optical emis-
sion to determine when a process is finished. Consider the relatively simple
case of aluminum etching in chlorine-containing environments. During etch-
ing, atomic aluminum emission lines are produced by the electron induced
5 Overview of Plasma Diagnostic Techniques 185

dissociation of the AlxCl y etch products from the surface to produce atomic
Al (Fig. 5.15). By monitoring the decrease in the atomic Al plasma-induced
emission (PIE) signal with time, it is possible to determine when all the alu-
minum is etched from the surface. For other processes, the optical endpoint
is not as straightforward. For example, monitoring CO emission lines during
fluorcarbon plasma etching of oxides is relatively easy. However, the change
in the optical emission signal at the endpoint can be very small if the amount
of exposed oxide to be etched is very small. Typical oxide open areas on a
wafer can be well below one percent for some processing steps.
The next generation of optical endpoint detection systems is moving away
from monitoring single emission lines. Instead, a number of chemometric
techlfiques are being investigated [99]. In the simplest case, these advanced
techniques examine the ratios of many atomic or molecular lines to predict
endpoint. It may also be advantageous to incorporate changes in other tool
parameters such as potential and current to the biased chuck to determine
the endpoint. Due to the commercial advantages to be gained by an accurate
endpoint detection scheme, many of the more sophisticated approaches are
proprietary.

5.3.2 Optical Absorption Techniques


Optical absorption can be used to measure the absolute, line-integrated den-
sity of a species. This provides a powerful method to characterize the density
of a species in the plasma. For example, if a species is known to be important
to the process or its variation has been correlated with a desired property, an
absorption measurement can be used as a process monitor. However, imple-
mentation of absorption generally requires two access points across the mea-
surement area and a large enough density of the species to give a detectable
signal. In addition, there may be a lack of inexpensive, robust sources of
probe light in the region of interest.

5.3.2.1 Atomic Absorption


A simple but common optical absorption experiment is shown in Fig. 5.19A.
For the case of optically thin transitions, defined as those transitions with
unperturbed lineshapes and absorption of less than approximately 20 percent,
the intensity of a transmitted signal, It, is given by
(5.27)
where 10 is the optical signal in the absence of the plasma, (J"ab is the ab-
sorption cross section, Nl is the density in the lower energy level, and d is
the path length through the plasma. For the case of atomic absorption, the
absorption cross section, as a function of wavelength is given by
(5.28)
186 G.A. Hebner, P.A. Miller, and J.R. Woodworth

A. Simple absorption setup


Optical filter or
monochromtor

detector

optical chopper

recorder

B. Optical self absorption

Optical filter or
mirror monochrometor

detector

optical chopper

recorder

Fig. 5.19. Experimental configurations for absorption measurements using (A) a


probe light source and (B) a self absorption.

where A21 is the Einstein A coefficient obtained from tables, >. is the wave-
length, g2 and gl are the degeneracy of the upper and lower energy levels,
also obtained from tables, and g(v) is the lineshape function. For most cases,
the lineshape function is a Gaussian functional form given by
(5.29)
where Vo is the line center frequency and LlVd is the Doppler linewidth given
by
(5.30)
where k is the Boltzmann constant, T is the temperature, M is the mass of
the atom, and c is the speed of light. Depending on the pressure, the lineshape
could also be given by a Lorenztian functional form or a Voigt profile which
is a convolution of Gaussian and Lorenztian lineshapes [100].
There are two common light sources used for atomic absorption measure-
ments. The first uses a narrow linewidth laser to probe the absorption and
the second uses an atomic emission lamp. Since most narrow band lasers
can be tuned, it is often possible to measure the absorption over the entire
lineshape and derive additional information about the probed species such as
temperature and velocity [101-103].
However, the added expense of a laser source may be excessive if one
just requires the number density within a factor of two, or even the relative
number for process monitoring. For those cases, an atomic lamp source, if
available, is a powerful, low cost alternative. A common lamp source is a
hollow cathode lamp of the type that is used in commercial atomic absorption
5 Overview of Plasma Diagnostic Techniques 187

spectrometers. However, a complicating factor when using a lamp is that


the emission lineshape of the probe lamp is not narrow with respect to the
absorption lineshape of the probed plasma. For most plasma sources, the gas
temperature of the source plasma results in a Doppler emission linewidth on
the order of one GHz; comparable to the absorption linewidth. For this case,
the absorption cross section should be modified by a factor related to the
temperature of the probing and absorbing plasmas [100].

5.3.2.2 Self Absorption

An interesting method to measure atomic absorption uses the plasmas' own


optical emission to probe its absorption [104]. An example of a simple opti-
cal self-absorption setup is shown in Fig. 5.19B. The atomic emission from
the discharge is modulated using a rotating chopper wheel and reflected back
through the plasma. The measured signal is proportional to the plasma emis-
sion multiplied by the transmission through the plasma. Derivation of an
absolute line-integrated number density using this technique is complicated
by the unknown emission and absorption lineshapes and the combination of
plasma light and transmission in the measured signal. However, in cases where
a determination of the relative change is all that is desired, the technique has
the advantage of requiring a minimum amount of hardware.

5.3.2.3 Molecular Absorption


So far, our focus has been on absorption of atomic species. As in the case
of molecular emission, molecular absorption spectra can be equally complex.
Due to the large number of possible states, molecular absorption cross sec-
tions tend to be smaller than atomic cross sections. Also, due to the large
number of molecules, the absorption cross section data are not as well de-
veloped as atomic absorption. There are a number of good references that
provide a detailed discussion of the molecular absorption cross sections and
applications [78-84].
For the case of molecular absorption, there are several relatively common
techniques that should be mentioned. The first uses a broad band lamp, spec-
trometer, and detector to measure the absorption as a function of wavelength.
If a diode array is used as the detector, then an entire spectrum can be ob-
tained quickly. Depending on the absorption wavelength, the lamp could be
a tungsten halogen lamp or if the absorption is in the UV, a deuterium lamp.
Using this technique, a number of stable and unstable plasma-generated rad-
icals have been observed [105-108]. For example, Fig. 5.20 shows two good
examples of CF and SiF 2 UV absorption spectra.
A second technique uses diode lasers grown from lead salts [109-111].
These lasers, though relatively low power (100/lW typically), can be pur-
chased for specific regions of the 3-30 /lm band. A given diode can be tempera-
ture-tuned over 2-20 cm- 1 by adjusting the temperature and current through
188 G.A. Hebner, P.A. Miller, and J.R. Woodworth

1.000

§ 0.999
·entil
·E
til
c:
~ 0.998

Fig. 5.20. Molecular


0.997 210 215 220 225 230 absorption spectrum
Wavelength (nm) for CF and SiF 2 [105).

the diode. This wavelength range can be used to probe the absorption struc-
ture of ground state rotational levels and can be used to derive densities and
temperatures. An example of the IR absorption spectrum for CF 2 is shown in
Fig. 5.21. The data in this figure were obtained using a second derivative mea-
surement system, so the absorption lines appear as the second derivative of
a Gaussian lineshape. Due to the typically large number of absorption lines
in this spectral region from both desired and interfering species, it is very
important to know the laser frequency accurately. This is accomplished by

CF2 Spectrum
10 mTorr C2FS 250 W 120 W
4.00E-Q4 r-----------------------~~--------------------------~~
17 16 15 14 13 12 11109 rQs(N)
3.00E-Q4
I I I I I I I I I 20

2.00E-04
15

1.00E-Q4

Fig. 5.21. Lead salt diode laser absorption spectrum for CF x species in an induc-
tively coupled C 2 F 6 discharge [139).
5 Overview of Plasma Diagnostic Techniques 189

simultaneously recording absorption signals of a know gas to provide absolute


frequency reference points, and transmission signals from an etalon to provide
a relative frequency offset. To compensate for the relatively low absorption
cross sections in the IR, a number of techniques based upon modulation and
derivative spectroscopy have been developed, as well as multipass cells. While
the technique is well adapted to a laboratory environment, commercial imple-
mentation is currently hampered by the need to cool the diodes to below 77 K
in many cases. However, recent developments in solid state laser technology
may lead to room temperature operation which would greatly broaden the
application of absorption measurements to industrial applications.
Finally, Fourier transform infrared (FTIR) Spectroscopy is a multipur-
pose tool for monitoring absorption and spectra in the IR [112,113]. A FTIR
system uses a broad band lamp and an interferometer to measure the ab-
sorption spectrum of a sample in the 100-5000cm- 1 range with resolutions
of 0.01 cm- 1 or better. The application and uses of FTIR spectroscopy is an
active field of work that is covered in a number of references. To date, the
application of FTIR spectroscopy has been mostly limited to the laboratory
due to the expense of the instruments and limited optical access in most
commercial tools.

5.3.2.4 Pulsed Laser Absorption


and Cavity Ring Down Spectroscopy
For most absorption experiments, the light source is usually operated continu-
ously due to the large signal-to-noise ratios that can be obtained by averaging
the data. However, absorption measurements can also be performed using a
pulsed laser. In the simplest case, the laser power can be measured after
the beam has traversed the plasma and compared with the power without
a plasma to calculate absorption. However, this technique has a number of
shortcomings related to the linewidth and pulse energy of the laser. If the
linewidth of the laser is wide with respect to the absorption lineshape, most
of the light will not be absorbed by the species of interest and the mea-
sured absorption will be artificially small. If the laser power is too high, the
laser electric field broadens the transition, so called power broadening, and
the absorption decreases. Since power broadening can become important at
0.1-10 W powers and most pulsed lasers can produce 1 MW pulses, the laser
intensity must be severely attenuated to avoid corrupting the measurement.
Finally, the single pass absorption for most species is fairly small. These
challenges generally negate any advantage of using a pulsed laser, except for
wavelength coverage and transient absorption measurements.
These shortcomings can be partially compensated by using cavity ring
down spectroscopy [114-117]. A general schematic of the experimental con-
figuration is shown in Fig. 5.22. In the simplest case, two high reflectivity
mirrors are aligned around the plasma to form a Fabry-Perot cavity. If a
pulse of light is injected into the cavity, the photons, travel back and forth
190 G.A. Hebner, P.A. Miller, and J.R. Woodworth

optical detector

+
mode matching optics

,.----puls-ed-Iase---,r I ~ ~ ~[ Ga~v 1]

iii
a, 0.5
'm

0.0 Lll.JJL..II..JIUJ...IUl..JUUJ..JIUL..L.Il..IUULJII...JLJW1..JUULJ1J
o 500 1000

time
Fig. 5.22. Minimum experimental configuration for cavity ring down spectroscopy.
A simple experimental setup is shown as well as a hypothetical pulse train detected
at the detector.

within the cavity, losing a little intensity at each mirror reflection and due
to intercavity absorption. Thus, the photon lifetime in such a cavity is re-
lated to the reflectivity of the mirrors and the intercavity absorption. Since
the cavity ring down time without a plasma can be well characterized, the
small changes in cavity lifetime due to plasma species absorption can be well
characterized and absolute absorption measurements can be derived. For a
cavity with high reflectivity mirrors, the multiple passes through the plasma
gives an effective path length that can be hundreds of times larger than a
single pass.
A major impediment to the widespread use of cavity ring down spec-
troscopy has been the lack of broadband mirrors with sufficiently high re-
flectivity (R > 99.9%). However, as the technique has become more widely
practiced, the availability of mirrors has greatly improved. Additional ex-
perimental challenges include laser mode matching, cavity alignment, and
the need to place the mirrors inside the plasma chamber to reduce inter-
cavity absorption. Recent experimental implementations use configurations
considerably more sophisticated than that shown in Fig. 5.22 to improve the
fundamental detection limits of the technique and reduce noise [111-114].

5.3.3 Laser-Induced Fluorescence

Like absorption measurements, laser-induced fluorescence (LIF) is used to


monitor the density of species in a given energy level. However, unlike ab-
sorption, an important advantage of LIF is the capability of making spatially
resolved measurements. LIF is the most common of a wide variety of laser-
based diagnostic methods that have been applied to a number of research
5 Overview of Plasma Diagnostic Techniques 191

areas including plasma science. Consequently, there are a number of refer-


ence books on the :mbject [69,70,118,119]. In this section, an overview will
be presented of the commonly used methods, results and limitations of LIF.

5.3.3.1 Background of Atomic LIF

A schematic of several simple fluorescence schemes is shown in Fig. 5.23.


While the energy levels are shown as widely separated discrete levels char-
acteristic of atoms, LIF of molecular species is widely used and provides
additional plasma state information, as will be discussed below. LIF, uses
a laser, either cw or pulsed, to transfer population from one energy level,
Nl to another, N2 [120-124]. The population can then decay back to Nl
or via other allowed transitions to another state, N 3 . In the absence of colli-
sional quenching effects, the branching ratio between the N 2 ----> N 1 and N 2 ---->
N3 transitions is given by the ratio of the transition probabilities. Since the
N2 ----> Nl emission is at the same wavelength as the pump laser, discrimi-
nation against scattered laser light can make detection difficult. Thus, most
schemes look at the fluorescence on the N2 ----> N3 transition. In most cases,
the time-dependent fluorescence intensity after pulsed excitation, h->3, is
given by

(5.31 )

where N 1 is the population of the ground state, Ip is the laser intensity, C


is a collection of constants that include the collection optics efficiency and
detector efficiency and T is the lifetime of the excited state. Thus, LIF is
directly proportional to the ground state density. This is in contrast to PIE

(a) (b) (c)

Fig. 5.23. Several possible excitation schemes for laser induced fluorescence. In
(a), the LIF is observed from the pumped state. In (b), two lasers are used, one
to populate the intermediate state and a second to pump the population higher. In
this case, there would be a dip in the LIF intensity as the second laser is tuned on
resonance. A typical 2-photon excitation scheme is shown in (c).
192 G.A. Hebner, P.A. Miller, and J.R. Woodworth

which depends on the ground state density, the electron energy distribution,
the excitation cross section and the branching ratio.
A typical LIF signal is shown in Fig. 5.24 following pulsed excitation using
a laser pulse width of approximately 6 ns [120]. The rise time and peak of
the LIF is determined by the characteristics of the excitation pulse. However,
when the laser pulse is no longer present, the upper state decays with a single
exponential decay (in this case). In general, the upper state lifetime T2 is given
by

1/T2 = L A2i + collisional quenching terms, (5.32)

where the collisional quenching can be due to electrons, ions, or neutrals. If


the lifetime of the N2 - t N3 transition is much shorter than the mean time
between collisions, either neutral-neutral or neutral-electron, and the upper
state population decays by only one radiative channel, then the fluorescence
lifetime will just be the natural lifetime (Tn = 1/A21 ). For pressures in the
mTorr region and short lifetimes, T ~ 40 ns, this is often the case. However,
for longer lifetimes, collisional quenching effects due to both neutrals and
electrons, or the influence of large A2l coefficients on the branching ratio, can
make the lifetime significantly shorter than the natural lifetime. For these
cases, it is not uncommon for the LIF lifetime to vary depending on the
plasma electron density and/or spatial location. In cases where the quenching
is important, a derivation of the relative number density from the LIF decay
requires a measurement of the lifetime, not just a measurement of the peak
value of the LIF.

30

a;
c::
Cl
·CiS 20
u..
::J 't = 26 ns
U
m
10

100 150 200 250


time (ns)
Fig. 5.24. LIF decay observed from single photon excitation of the Bel radical.
The solid/smooth curve is a single exponential fit to the LIF decay with a lifetime
of 26ns [120).
5 Overview of Plasma Diagnostic Techniques 193

LIF can be detected using several different schemes. LIF can be observed
at a single point in the plasma using a time-resolving detector, typically a
photomultiplier tube (PMT). The advantages of using a PMT include time
resolution and high optical gain. However, measurement of the spatial dis-
tribution requires either translating the plasma chamber or the optics, both
challenging options. Depending on the experimental arrangement and cham-
ber access, it is sometimes possible to image the fluorescence using a gated,
intensified CCD (ICCD) camera (Fig. 5.25). This technique, planar LIF or
PLIF, records the LIF along the line of the laser and facilitates spatial maps
of the species being investigated [121]. However, if a gated intensified CCD
camera is used to observe spatially resolved LIF, then spatially dependent
collisional effects (if present) must be taken into account across the image.
Due to the short lifetime of the states and the limits on the minimum ICCD
camera shutter on time, these measurements can be difficult to implement.
In general, two images are required: the optical emission from the PIE is sub-
tracted from the LIF plus PIE image to yield the 2D LIF profile (Fig. 5.26).

Fig. 5.25. Two common configurations for


LIF detection. The monochromator /PMT
can be used to observe LIF from a point in
the plasma while the filtered CCD camera
can image the LIF along the line of the laser.

LIF can be excited using both pulsed and cw lasers. Pulsed lasers are
often used since they have higher peak energies and measurement of the
decay time is relatively straightforward. However, LIF using cw lasers has
several advantages. If a single mode laser such a ring dye or external cav-
ity diode laser is used, the laser linewidth is often significantly smaller than
the Doppler broadened transition linewidth. In this limit, the laser wave-
length can be tuned across the lineshape to measure the velocity distribution
due to the Doppler shift, Fig. 5.27 [101,124]. However, since cw laser pow-
194 G.A. Hebner, P.A. Miller, and J.R. Woodworth

LlF + PIE

20

10

0
30
PIE only
-e
~

~
c 20
0
~
8. 10
"iii
.~

0
30
differe'nce of the top two

10 20 30 40 50
radial position (arb)
Fig. 5.26. 2-D optical images of CF in a C2F 6 discharge showing the combined
LIF plus PIE optical emission, PIE only and the difference between the two corre-
sponding to a 2-D spatial profile of the relative CF density [140].

0.0 ","",,-""'==-~

-6 -4 -2 o 2 4 6
laser frequency offset (GHz)

Fig. 5.27. Normalized LIF from the Cl metastable ion, Gaussian line shape fits for
the two isotopic line shapes, and the sum of the individuallineshapes [124].
5 Overview of Plasma Diagnostic Techniques 195

ers are typically much less than pulsed lasers, chopping and synchronous
detection are often required to separate the LIF from the relatively strong
PIE.

5.3.3.2 Two-Photon LIF


For some of the lighter atomic species, such as F, CI, H, C and other species
in the first few rows of the periodic table, the allowed optical transitions
between the ground state and the first excited upper states are in the VUV
region of 100-190 nm. Generation of pulsed laser emission in this spectral
region is very difficult, so a common excitation method uses multi photon
excitation [69,125-128]. A schematic of a typical multiphoton scheme is shown
in Fig. 5.23c. Two or more photons at wavelengths whose energies sum to
the required energy are simultaneously used to excite molecules and atoms
from the ground state to an excited state. Since a single laser is less expensive
than two, the two photon energies are typically the same. Once the atom has
been excited to the upper state, LIF is detected using traditional methods.
Two-photon LIF is commonly referred to as TALIF, two-photon allowed LIF.
There are several characteristics of multi photon excitation that require
careful implementation. Since two-photon transitions have much smaller cross
sections than one photon allowed transitions, the LIF signal can be very small.
It is not unusual for the TALIF signal to be a factor of ten or more smaller
than the PIE [125]. Thus, gated detection methods are often employed. Since
the LIF scales with the intensity squared, the pump beam is typically focused
to increase the signal. While this may help in some cases, it can reduce hoth
the number of atoms that are excited due to a decreased interaction area and
increases the probability for photodissociation of molecules that may be in the
gas. For example, TALIF signals from atomic F and CI have been observed
due to multiphoton dissociation and excitation of CF 4 or BC1 3 without a
plasma [125]. In most cases, photodissociation of the parent gas is relatively
easy to check since the chamber can be filled with the parent gas. However,
photodissociation of the radicals produced by electron-induced dissociation
of the feed gas is usually impossible to check since the radicals are not present
and stahle without the plasma. Finally, there is the strong possibility for 2 + 1
photoionization, the two photons produce an excited state that can be ionized
by one more photon. These challenges have limited the use of multiphoton
LIF to a few special cases.

5.3.3.3 Molecular LIF


In addition to atomic species, LIF can also be performed on a number of
molecules. In that case, usually one photon is used to excite a ground state
molecular level to an excited electronic manifold of states. Since there are
a large number of ground states within the electronic/vibrational/rotational
manifold, the spectra can be very complex. For molecules with level spacings
196 G.A. Hebner, P.A. Miller, and J.R. Woodworth

greater than the laser linewidth, it is possible to map the position and inten-
sity of individual rotational levels. An example of the LIF spectrum for CIt
is shown in Fig. 5.28. Individual rotational levels can be identified in this case
and compared with reference texts (black dots in Fig. 5.28), to unambigu-
ously identify the species. However, for many molecules, the level spacings
are smaller than the linewidth and the resulting spectra are complicated and
overlapped. Even for these cases, it is often possible to pick out the band
heads for the vibrational manifolds which aids in identification.

_..... . . . . . . . . . . . . .
.~
(I)
c:
0.2
~
U.
~

if 0.1

25880 25870 25860 25850 25840 25830


wavelength (cm·1)

Fig. 5.28. LIF spectrum of the CIt molecule. The spectrum was obtained in a Cb
inductively coupled discharge at 20W power, 100mTorr [140j.

In addition to a measurement of relative density of the ground state,


analysis of the molecular LIF spectra can be used to derive rotational and
vibrational temperatures [80]. If the energy levels are in thermal equilib-
rium, the energy level population of a given manifold will be related to the
temperature-dependent Boltzmann factor. In many cases, the rotational lev-
els are in good equilibrium with the gas temperature. However, for the case
of vibrational manifolds, the intensity distribution often gives temperatures
that are much larger than any reasonable gas temperature. Thus, most ex-
periments use the rotational manifold to derive a gas temperature. Even in
cases where the rotational line spacing is less than the laser linewidth, it is
possible to fit the envelope of the intensity distribution to derive a gas tem-
perature. For the spectrum shown in Fig. 5.28, the derived temperature was
320K.

5.3.3.4 Absolute Density Calibration


Due to the many parameters required to derive an absolute number den-
sity, most LIF experiments report relative number densities. However, sev-
eral techniques can be used to convert the relative number density of some
5 Overview of Plasma Diagnostic Techniques 197

species to an absolute scale. For example, gas phase titration can be ap-
plied to a number of atomic and molecular species [63]. If the line-integrated
number density is known from an absorption measurement, then a spatially
resolved LIF measurement can be converted to an absolute scale. Finally,
it is possible to fill a chamber with a known quantity of a stable molecule
and derive a density for an unstable radical via a knowledge of the molecular
constants [129].

5.3.4 Negative Ion Photodetachment

Negative ions can be important to the plasma charge balance and their for-
mation can serve as a loss mechanism for electrons from the discharge. In
addition, since they do not have enough energy to cross the wall and elec-
trode sheath, they collect in the bulk of the discharge and serve as a loss
mechanism for positive ions. The negative ion density can be determined
indirectly by photodetaching the electrons from the negative ions and then
detecting these additional electrons using a number of probe- or microwave-
based techniques [3740]. If the photon energy is above the photodetachment
threshold, the electron can be removed from the negative ion (Fig. 5.29). For
most common negative ions, the photodetachment thresholds are between
250-400 nm and are different for all negative ions [130-132]. Thus, varying

20

:;-
.sa;
c: 10
Cl
...
'iii
2Q)
E
e
Q)
0
't
2
.S

o 5 10 15 20
time (J..ls)
Fig. 5.29. Typical interferometer signals during photodetachment of electrons from
Cl-. When the laser wavelength was 266 nm, electrons are photodetached from the
Cl- on a time scale comparable to the laser pulse width. Thus the fast rise time.
However, when the laser was tuned to 355 nm, the photon energy is insufficient to
remove the electron from Cl- and the interferometer signal had a slower rise time
that was not attributed to photodetachment. The laser pulse width was 10 ns and
occurred at t = 0 [139].
198 G.A. Hebner, P.A. Miller, and J.R. Woodworth

the laser wavelength across the threshold can serve as a means to identify
the negative ion or ions present in the plasma [37J.
Since most photodetachment cross sections are approximately 10- 18 cm 2 ,
laser energies of 50-200 mJ are required to fully saturate the process and pho-
todetach all the negative ions. Common sources for this energy are excimer
lasers, or frequency tripled or quadrupled Nd:YAG lasers at 355 or 266 nm.
The electrons produced in the plasma by the laser pulse will decay with a
characteristic time related to the electron loss rate, typically on the order of
1-20lls for many common discharges. Thus, the system used to detect the
excess electrons produced by the laser pulse should have a fast time response.
Several common detection methods include microwave interferometers, mi-
crowave cavities and a variety of probes.
In order to relate the measured excess electron density to the negative
ion density, several quantities must be known. The photodetachment cross
section must be known or estimated, the number of excess electrons pro-
duced must be known, the laser beam profile should be determined and the
geometric factor relating electron collection efficiency must be determined.
For some of the more common atomic negative ions such as F-, Cl-, Br-,
and molecular negative ions like O 2 , the cross sections have been deter-
mined [130-132J. However, in the case of most molecular negative ions, the
cross section is totally unknown or at best only relative, although the pho-
todetachment threshold may be available. In this case, an estimate of the
photodetachment cross section is required which adds to the uncertainty in
the resulting negative ion number density.

5.3.5 Optogalvanic Spectroscopy


As with the LIF discussed above, optogalvanic spectroscopy (OGS) uses a
laser to transfer population from a lower energy level to an upper energy level
[119J. Instead of looking at a change in the optical emission, one measures
a change in the plasma conductivity due to ionization of the laser-modified
excited population distribution. A simple schematic is shown in Fig. 5.30.
The current through the discharge is monitored using a sampling resistor.
When the laser is tuned to an optically allowed transition, either pumping
population higher up the manifold, or removing population from an excited
state, there is a change in the ionization rate from the excited states due to
electron coupling collisions within the excited state manifold.
Optogalvanic spectroscopy is generally applied to dc or low frequency
discharges since the typical change in conductivity is small and the laser
interaction area with the bulk of the discharge is small. However, in some
cases, pulsed optogalvanic detection has been applied to rf excited plasmas
[133J. OGS is commonly used to determine the position of atomic and molec-
ular energy levels within the discharge. An interesting application of the use
of optogalvanic and traditional laser spectroscopy was the measurement of
the electric field-induced Stark splitting of the Rydberg levels in the sheath
5 Overview of Plasma Diagnostic Techniques 199

discharge tube
laser

power supply

Fig. 5.30. A simple optogalvanic cell using dc or low frequency excitation. The
change in discharge current produced by the laser modifying the plasma ionization
rate is detected as a change in voltage across the resistor.

region [134-136]. OGS is very difficult to relate to plasma number density


due to the large number of possible and often unknown, electron and neutral
collision pathways that contribute to the change in plasma conductivity.

5.3.6 Thomson Scattering

Thomson scattering experiments can be used to measure the electron den-


sity and the electron energy distribution function or temperature without
putting a probe in the plasma. When photons scatter off electrons, the ve-
locity distribution of the electrons slightly shifts the scattered photon wave-
length [137,138]. A measurement of the scattered spectrum and the absolute
intensity can be used to derive the electron energy distribution function and
number density, respectively. Unlike probe measurements, Thomson scatter-
ing is unlikely to perturb the plasma.
Applications of Thomson scattering techniques are challenging, even in
the "high" electron density sources, due in large part to the very small elec-
tron/photon scattering cross section and the need to reduce scattered laser
light many orders of magnitude. In a recent experiment in argon, a pulsed
laser operating at 532 nm and about 500 mJ per pulse (10 18 photons), re-
turned a scattered signal of a few photons per pulse. Thus signal averaging is
critical as is scattered light reduction. Comparison between measurements of
the electron energy distribution function using probes and scattering are gen-
erally in reasonable agreement [138]. An additional concern in molecular gases
is the possibility of photochemistry changing the local plasma environment.
Thus, measurements have typically been performed in argon to investigate
fundamental discharge physics [139,140].

Acknowledgements. The authors were supported by Sandia National Lab-


oratories, a multiprogram laboratory operated by Sandia Corporation, a
Lockheed Martin Company, for the United States Department of Energy,
under contract DE-AC04-94AL85000.
200 G.A. Hebner, P.A. Miller, and J.R. Woodworth

References
1. J.F. Waymouth, Phys. Fluids 11, 1843-1854 (1964).
2. L.J. Overzet, and M.B. Hopkins, J. Appl. Phys. 74,4323-4330 (1993).
3. M.D. Bowden, F. Kimura, H. Muta, K. Uchino, K. Muraoka, and M. Maeda,
J. Vac. Sci. Technol. A 11, 2893-2896 (1993).
4. F.F. Chen, "Electric Probes", in Plasma Diagnostic Techniques, (ed. R.H. Hud-
dlestone, and S.L. Leonard), (Academic Press, New York, 1965), pp. 113-200.
5. L. Schott, "Electrical Probes", in Plasma Diagnostics, (ed. W. Lochte-
Holtgreven), (North-Holland Publishing Company, Amsterdam, 1968), pp. 668-
731.
6. J.D. Swift, and M.J.R. Schwar, Electrical Probes for Plasma Diagnostics,
(American Elsevier Publshing Company, New York, 1969).
7. V.A. Godyak, "Measuring EEDF in Gas Discharge Plasmas", in Plasma-
Surface Interactions and Processing of Materials, (ed. O. Auciello, A. Gras-
Marti, J.A. Valles-Abarca, and D.L. Flamm), NATO ASl Series, 176, (Kluwer
Academic Publishers, Dordrecht, 1988), pp. 95-134.
8. V.A. Godyak, R.B. Piejak, and B.M. Alexandrovich, Plasma Sources Sci. Tech-
nol. 1, 36-58 (1992).
9. D.N. Ruzic, Electric Probes for Low Temperature Plasmas, AVS Monograph
Series, (American Vacuum Society Press, New York, 1994).
10. P.A. Miller, and M.E. Riley, J. Appl. Phys. 82, 3689-3709 (1997).
11. P.A. Miller, G.A. Hebner, K.E. Greenberg, P.D. Pochan, and B.P. Aragon,
J. Res. Nat. lnst. Stand. Technol. 100, 427-439 (1995).
12. J. Laframboise, "Theory of Cylindrical and spherical Langmuir Probes in a
Collisionless Plasma at Rest", in Rarefied Gas Dynamics, (ed. J.H. de Leeuw),
(Academic Press, 1966), pp. 22-44.
13. C. Steinbruchel, J. Vac. Sci. Technol. A 8, 1663-1667 (1990).
14. M. Mausbach, J. Vac. Sci. Technol. A 15, 2923-2929 (1997).
15. M.H. Khater, L.J. Overzet, and B.E. Cherrington, J. Vac. Sci. Technol. B 16,
490-495 (1998).
16. M.J. Druyvesteyn, Z. Phys. 64, 781 (1930).
17. V.A. Godyak, R.B. Piejak, and B.M. Alexandrovich, Phys. Rev. Lett. 68, 40-43
(1992).
18. V.A. Godyak, R.B. Piejak, and B.M. Alexandrovich, J. Appl. Phys. 73,3657-
3663 (1993).
19. A.P. Paranjpe, J.P. McVittie, and S.A. Self, J. Appl. Phys. 67, 6718-6727
(1990).
20. R.R.J. Gagne, and S. Cantin, J. Appl. Phys. 43, 2639-2647 (1972).
21. M.B. Hopkins, J. Res. Natl. lnst. Stand. Technol. 100,415-425 (1995).
22. B.M. Annaratone, and N.St. J. Braithwaite, Meas. Sci. Technol. 2, 795-800
(1991).
23. N. Benjamin, Rev. Sci. lnstrum. 53, 1541 (1982).
24. R.H. Lovberg, "Magnetic Probes", in Plasma Diagnostic Techniques, (ed. R.H.
Huddlestone, and S.L. Leonard), (Academic Press, New York, 1965), pp. 69-
112.
25. M. Tuszewski, Phys. Rev. Lett. 77, 1286-1289 (1996).
26. V.A. Godyak, and V.l. Kolobov, Phys. Rev. Lett. 79, 4589-4592 (1997).
5 Overview of Plasma Diagnostic Techniques 201

27. R. Piejak, V. Godyak, and B. Alexandrovich, J. App!. Phys. 81, 3416-3421


(1997).
28. S.L. Leonard, "Basic Macroscopic Measurements", in Plasma Diagnostic Tech-
niq'ues, (eds. R.H. Huddlestone, and S.L. Leonard), (Academic Press, New York,
1965), pp. 7-67.
29. M.A. Sobolewski, J. Vac. Sci. Techno!. A 10,3550-3562 (1992).
30. P.J. Hargis et al., Rev. Sci. lnstrum. 65,140-154 (1994).
31. N. Spiliopoulos, D. Mataras, and D.E. Rapakoulias, J. Vac. Sci. Techno!. A 14,
2757-2765 (1996).
32. M.A. Heald, and C.B. Wharton, Plasma Diagnostics with MicTOwaves (Wiley,
New York, 1965).
33. L.J Overzet, J. Res. Nat!. lnst. Stand. Techno!. 100,401 (1995).
34. G.A. Hebner, J. Vac. Sci. Techno!. A 14, 2158 (1996).
35. N. Niemoller, V. Shculz-von der Gathen, A. Stampa, and H.F. Dobele, Plasma
Sources Sci. Techno!. 6, 478 (1997).
36. C.B. Fleddermann, J.H. Beberman, and J.T. Verdeyen, J. App!. Phys. 58, 1344
(1985).
37. M. Haverlag. A. Kono, D. Passchier, G.M.W. Kroesen, W.J. Goedheer, and
F.J. de Hoog, J. App!. Phys. 70,3472 (1991) and reference therein.
38. T. Hayashi, A. Kono, and T. Goto, Jpn . .I. App!. Phys. 36, 4651 (1997).
39. C.B. Fleddermann, and G.A. Hebner, J. Vac. Sci. Techno!. A 15,1955 (1997).
40. T.H. Ahn, K. Nakamura, H. Sugai, Purazuma, Kaku Yugo Gakkaishi 73, 106
(1997).
41. B.H. Hutchenson, Principles of Plasma Diagnostics, (Cambridge Univ Press,
NY, 1992), pp. 80.
42. J.A. Simson, Rev. Sci. lnstrum., 32, 1283 (1961).
43. G.W. Gibson, Jr., H.H. Sawin, 1. Tepermeister, D.E. Ibbotson, and J.T.C. Lee,
.I. Vac. Sci. Techno!. 12,2333 (1994).
44. S.G. Ingram, N.St.J. Braithwaite, .I. Phys D: App!. Phys. 21, 1496 (1988).
45 ..J.R. 'Woodworth, M.E. Riley, P.A. Miller, C.A. Nichols, and T.W. Hamilton,
J. Vac. Sci. Techno!. A 15, 3015 (1997).
46. C.A. Nichols, J.R. Woodworth, and T.W. Hamilton, J. Vac. Soc. Techno!. 16,
1998.
47. M.G. Blain, J.E. Stevens, J.R. Woodworth, and C.A. Nichols, paper 98-2986,
American Institute of Aeronautics & Astronautics, 29th Conf. On Plasma
Dynamics & Lasers, (Albuquerque, NM, June 1998).
48. J. Liu, G.L. Huppert, and H.H. Sawin, J. App!. Phys 68,3916 (1990).
49. J.R. Woodworth, M.E. Riley, P.A. Miller, G.A. Hebner, and T.W. Hamilton,
.I. App!. Phys. 81, 5950 (1997).
50. E.S. Aydil B.O.M. Quiniou, J.T.C. Lee, J.A. Gregus, and R.A. Gottscho,
Materials Science in Semiconductor PTOcessing 1, (Pergamon Press, 1988), p. 75
51. A.D. Kuypers, and H.J. Hopman, J. App!. Phys. 63, 1894 (1988).
52. A.D. Kuypers, and H.J. Hopman, J. App!. Phys. 67, 1229 (1990).
53. A. Manenschijn, GCAM Janssen, E. van der Drift, and S. Radelaar, J. App!.
Phys. 69, 1253 (1991).
54. J.W. Coburn, Rev. Sci. lnst. 41, 1219 (1970).
55. E.M. Purcell, Phys. Rev. 54, 818 (1938).
56. H.Z. Sar-EI, Rev. Sci. lnst. 38, 12lO (1967).
57. J.S. Risley, Rev. Sci. Inst, 43, 95 (1972).
202 G.A. Hebner, P.A. Miller, and J.R. Woodworth

58. L. Shi, H.J. Frankena, and H. Mulder, Rev. Sci. Inst. 60, 332 (1989).
59. J.H. Craig, Jr., and W.G. Durrer, J. Vac. Sci., Technol. A 7, 3337, (1989).
60. 1. Lindau, J.C. Helmer, and J. Uebbing, Rev. Sci. Instrum. 44, 265 (1973).
61. J.K. Olthoff, R.J. Van Brunt, S.B. Radovanov, J.A. Rees, and R. Surowiec,
J. Appl. Phys 75, 115 (1994).
62. R.J.M. Snijkers, M.J.M. van Sambeek, G.M.W. Kroesen, and F.J. de Hoog,
Appl. Phys. Lett. 63, 308, (1993).
63. M. Zeuner, H. Neumann, and J. Meichsner, J. Appl. Phys. 81, 2985 (1997).
64. J. Janes, and C. Huth, J. Vac. Soc. Technol. A 10, 3522,(1992).
65. J. Janes, U. Vanziger, P. Hoffmann, G. Neumann, H.C. Scheer, B. Schneemann,
and U. Kohler, Rev. Sci. Instrum. 63, 48, (1992).
66. Hiden Analytical Corp, Warrington, England; Pfeiffer Vacuum Technology,
Nashua, New Hampshire.
67. J.R. Woodworth, private communication
68. P.K. Loewenhardt, H. Hanawa, D.X. Ma, P. Salzman, K. Chuc. A. Sato,
V. Todorov, and G.Z. Yin, Proc. Electrochem. Soc. 96, 236 ( 1996).
69. B.L. Preppernau, and T.A. Miller, Laser-based diagnostics of reactive plasmas
in Glow Discharge Spectroscopy, (ed. R.K. Marcus), (Plenum, New York, 1993),
pp. 483-508.
70. G.S. Selwyn, Optical Diagnostic Techniques for Plasma Processing, AVS Mono-
graph Series, M-ll (AVS Press, New York, 1993).
71. G.R. Harrison, MIT Wavelength Tables, (MIT Press, Massachusetts, 1948).
72. F.M. Phelps, MIT Wavelength Tables, Wavelengths by Element, (MIT Press,
Massachusetts, 1982).
73. D.R. Lide, CRC Handbook of Chemistry and Physics, (CRC Press, Boca Raton,
1995).
74. W.L. Wiese, M.W. Smith, and B.M. Glennon, Atomic Transition Probabilities,
Vol. 1-3 (U.S. Department of Commerce, National Institute of Standards and
Technology, 1966). Also available electronically.
75. C.E. Moore, Atomic Energy Levels, Vol. 1-3 (U.S. Department of Commerce,
National Institute of Science and Technology, 1971). Also available electroni-
cally.
76. S. Baskin, and J.O. Stoner, Jr., Atomic Energy Levels and Grotrian Diagrams,
Vol. 1 Hydrogen I - Phosphorus XV, (North-Holland, Amsterdam, 1975).
77. S. Baskin, and J.O. Stoner, Jr., Atomic Energy Levels and Grotrian Diagrams,
Vol. 2 Sulfer I - Titanium XXII, (North-Holland, Amsterdam, 1975).
78. S.N. Suchard, Spectroscopic Data, Heteronuclear Diatomic Molecules, Part A
& B, (Plenum, New York, 1975).
79. S.N. Suchard, and J.E. Melzer, Spectroscopic Data, Homonuclear Diatomic
Molecules, (Plenum, New York, 1976).
80. G. Herzberg, Molecular Spectra and Molecular Structure, Vol. 1 Spectra of
Diatomic Molecules, 2nd edn. (Krieger, Malabar, Florida, 1989).
81. G. Herzberg, Vol. 2 Infrared and Raman Spectra of Polyatomic Molecules, 2nd
edn. (Krieger, Malabar, Florida, 1991).
82. G. Herzberg, Vol. 3 Electronic Spectra and Electronic Structure of Polyatomic
Molecules, 2nd edn. (Krieger, Malabar, Florida, 1991).
83. H. Okabe, Photochemistry of Small Molecules, (Wiley, New York, 1978).
84. R.W.B. Pearse, and A.G. Gaydon, Identification of Molecular Spectra, (Wiley,
New York, 1963).
5 Overview of Plasma Diagnostic Techniques 203

85. F.A. Jenkins, and H.E. White, Fundamentals of Optics, (McGraw-Hill, New
York, 1957).
86. J.R. Woodworth, and R. Veerasingam, Bull. Am. Phys. Soc. 42, (8), Paper
OWP4-1, Proceedings of the 50th Annual Gaseous Electronics Conference
October 1997, pp. 1755.
87. J.K Olthoff, and KE. Greenberg, J. Res. Natl. Inst. Stand. Technol. 100,327
( 1995).
88. H.M. Anderson, M.P. Splichal, J.T. Pender, and J.L. Cecchi, Paper PS1-
WeA5, 43rd National Symposium of the American Vacuum Society Philadel-
phia, October 1996, pp. 132.
89. Applied Materials Corporation, 3050 Bowers Ave. Santa Clara, CA.
90. J.R. Woodworth, M.G. Blain, R.L. Jarecki, T.W. Hamilton, and B.P. Aragon,
J. Vac. Sci. Technol. A, 17(6), 3209 (1999).
91. J.A.R. Samson, Techniques of Vacuum Ultraviolet Spectroscopy, (Wiley, New
York, 1967).
92. Galileo Electro Optics Corp. Sturbridge, Massachussetts.
93. J.A. Samson, and D.L. Ederer, Experimental Methods in the Physical Sciences:
Vol. 31 & 32: Vacuum Ultraviolet Spectroscopy, (Academic Press, San Diego,
1998).
94. A.N. Zaidel, and E.Y. Shreider, Vacuum Ultraviolet Spectroscopy (Halsted/
John Wiley, New York, 1970) (This is a translation of Spektroskopiya Vaku-
umnogo Ultrafioleta, Moskow, 1967).
95. W.T. Connor, and H.H. Sawin, Appl. Phys. Lett. 60, 557 (1992).
96. V.M. Donnelly, J. Vac. Sci. Technol. A 14, 1076 (1996).
97. M.V. Malyshev, and V.M. Donnelly, J. Vac. Sci. Technol. A 15, 550 (1997).
98. L.D.B. Kiss, J.-P. Nicolai, W.T. Conner, and H.H. Sawin, J. Appl. Phys. 71,
3186 (1992).
99. R. Kramer, Chemometric Techniques for Quantitative Analysis, (Dekker, New
York, 1998).
100. A.C.G. Mitchell, and M.W. Zemansky, Resonance Radiation and Excited
Atoms, (Cambridge University Press, Cambridge, 1934).
101. G.A. Hebner, J. Appl. Phys. 80, 2624 (1996).
102. D. Leonhardt, C.R. Eddy, Jr., V.A. Shamamian, R.F. Fernsler, and J.E.
Butler, J. Appl. Phys. 83, 2971 (1998).
103. N. Sadeghi, M. van de Grift, D. Vender, G.M.W. Kroesen, and F.J. de Hoog,
Appl. Phys. Lett. 70, 835 (1997).
104. P.A. Miller, G.A. Hebner, R.L. Jarecki Jr., and T. Ni, J. Vac. Sci. Technol.
A 16, 3240 (1998)
105. J.P. Booth, G. Cunge, F. Neuilly, and N. Sadeghi, Plasma Sources Sci. Technol.
7, 423 (1998).
106. M.H. Loh, and M.A. Cappelli, Appl. Phys. Lett. 70, 1052 (1997).
107. K Sasaki, Y. Kawai, C. Suzuki, and K Kadota, J. Appl. Phys. 83, 7482
(1998).
108. KC. Baucom, K.P Killeen, and H.K Moffat, J. Electron. Mater. 24, 1703
(1995).
109. T. Goto, and M. Hori, Jpn. J. Appl. Phys. 35, 6521 (1996).
110. M. Haverlag, E. Stoffels, W.W. Stoffels, G.M.W. Kroesen, and F.J. de Hoog,
J. Vac. Sci. Technol. A 14, 3 (1996).
111. J. Wormhoudt, A.C. Stanton, A.D. Richards, and H.H. Sawin, J. Appl. Phys.
61, 142 (1987).
204 G.A. Hebner, P.A. Miller, and J.R. Woodworth

112. B.C. Smith, Fundamentals of Fourier Transform Infrared Spectroscopy, (CRC


Press, Boca Raton FL, 1996).
113. see for example; R. Beer, Remote Sensing Using Fourier Transform Spec-
troscopy, (Wiley, New York, 1992), P.R. Griffiths, and J.A. DeHaseth, Fourier
Transform Infrared Spectrometry, (Wiley, New York, 1986); R.J. Bell, Intro-
ductory Fourier Transform Spectroscopy, (Academic Press, New York, 1972).
114. B.A. Paldus, C.C. Harb, T.G. Spence, B. Wilke, J. Xie, J.S. Harris, and R.N.
Zare, J. Appl. Phys. 83, 3991 (1998).
115. P. Zalicki, Y. Ma, R.N. Zare, E.H. Wahl, J.R. Dadamio, T.G. Owano, and
C.H. Kruger, Chern. Phys. Lett. 234, 269 (1995).
116. M.D. Levenson, B.A. Paldus, T.G. Spence, C.C. Harb, J.S. Harris, and R.N.
Zare, Chern. Phys. Lett. 290, 335 (1998).
117. A. Campargue, D. Romanini, and N. Sadeghi, J. Phys. D: Appl. Phys. 31,
1168 (1998).
118. A. Corney, Atomic and Laser Spectroscopy, (Clarendon Press, Oxford, 1977).
119. W. Demtroder, Laser Spectroscopy, 2nd edn. (Springer-Verlang, Berlin, 1996).
120. C.B. Fleddermann, and G.A. Hebner, J. Appl. Phys. 83, 4030 (1997).
121. B.K McMillin, and M.R. Zachariah, J. Vac. Sci. Technol. A 15, 230 (1997).
122. G. Cunge, P. Chabert, and J.P. Booth, Plasma Sources Sci. Technol. 6, 349
(1997).
123. N.M. Mackie. V.A. Venturo, and E.R. Fisher, J. Phys. Chern. BIOI, 9425
(1997).
124. See G.A. Hebner, J. Appl. Phys. 80, 3215 (1996), and G.A. Hebner, C.B.
Fleddermann, P.A. Miller, and G.A. Hebner, J. Vac. Sci. Technol., A 15, 2698
(1997).
125. G.A. Hebner, and C.B. Fleddermann, J. Appl. Phys. 83, 5102 (1998).
126. G.S. Selwyn, L.D. Baston, and H.H. Sawin, Appl. Phys. Lett. 51, 898 (1987).
127. J.R. Dunlop, A.D. Tserepi, B.L. Preppernau, T.M. Cerny, and T.A. Miller,
Plasma Chern. Plasma Process. 12, 89 (1992).
128. B.N. Ganguly, and P. Bletzinger, J. Appl. Phys. 82, 4772 (1997).
129. G. Cunge, J.P. Booth, and J. Derouard, Chern. Phys. Lett. 263, 645 (1996).
130. A. Mandl, Phys. Rev. A 14, 345 (1976).
131. S. Vacquie, A. Gleizes, and M. Sabsabi, Phys. Rev. A 35, 1615 (1987).
132. H. Hotop, and W.C. Lineberger, J. Phys. Chern. Ref. Data 4,539 (1975).
133. J. Kramer, J. Appl. Phys. 60, 3072 (1986).
134. D.K Doughty, and J.E. Lawler, Appl. Phys. Lett. 45, 611 (1984).
135. G.A. Hebner, KE. Greenberg, and M.E. Riley, J. Appl. Phys. 76, 4036 (1994).
136. Y.W. Choi, M.D. Bowden, and K Muraoka, Appl. Phys. Lett. 69,1361 (1996).
137. J. Sheffield, Plasma Scattering of Electromagnetic Radiation, (Academic, New
York, 1975).
138. T. Hori, M. Kogano, M.D. Bowden, K Uchino, K. Muraoka, J. Appl. Phys.
83, 1909 (1998).
139. 1. Abraham, C. Woods, and G.A. Hebner, private communication.
140. G.A. Hebner, unpublished.
6 Mass Spectrometric Characterization
of Plasma Etching Processes

C.R. Eddy, Jr.

6.1 Introduction

The etching of semiconductors and other materials has progressed largely


through empirical studies. In such efforts, a given semiconductor is etched
in a given reactor type with a particular etch gas or etch gas mixture. This
system, comprised of semiconductor, reactor, and etch gas, is then studied
as the conditions of the etch environment are indirectly altered by external
control variables. The resulting etch rates and etch profiles are then mapped
as a function of total pressure, plasma excitation source power, substrate
or semiconductor temperature, substrate bias or "ion energy" , and inlet gas
composition. Such "parameter marches" have been exercised many times and
have proven adequately effective in advancing the state-of-the-art in semicon-
ductor device processing. These "marches" are quite time-consuming as they
require a fairly large number of etches and each etch must be evaluated via
etch rate measurements and etched feature profile measurements. Despite the
time consumption involved in such efforts, much has been learned about the
interactions of the multiple control variables of modern etching reactors and
the general understanding of plasma etch processing has been advanced.
However, as etching reactors become more complex and the demands on
the etch process performance are made more strict, the empirical approach
to etch development proves less efficient. As mentioned, the empirical process
is very time-consuming both with respect to performance of the etch and to
its evaluation in terms of etch rate, damage, anisotropy and selectivity. In
addition to the specific etch experiment, one process space data point, any
number of additional experiments and/or characterizations are required to
characterize the process performance in these terms. Further amplifying this
inefficiency is the fact that the empirical process is very system (semiconduc-
tor, etchant and reactor) specific and a change in anyone system component
requires an entirely new set of experiments. This set of experiments will be
re-applied for every change in semiconductor to be etched, etch gas, and etch-
ing reactor. The results may not even transfer well from identical systems in
two different laboratories or from the development lab to the production line.
A more subtle inefficiency of the empirical process is that the process char-
acterization is generally very application specific. Variations in the fraction
of the wafer that is unmasked or in the makeup of the surrounding materials

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
206 C.R. Eddy, Jr.

including masks, clamp rings, etch stage or chamber walls can measurably
alter etch chemistry and the performance of the etch. Such issues go beyond
the empirical process and require in situ monitoring which could be provided
by the mass spectrometric technique.
In addition to the reasons mentioned above, the need to better understand
etch processes is amplified for future devices. This is the result of a combi-
nation of more robust device materials, more surface-sensitive devices and
more complex, multicomponent device structures. Wide bandgap materials,
including silicon carbide, diamond, and the III-V nitrides, are the subject of
extensive research efforts for applications in a wide range of optoelectronic
and electronic devices. Just as the wide bandgap of these materials makes
them so attractive for such applications, the strong bonds responsible for
the gaps make these materials notoriously difficult to process [1]. Generally,
these materials are etched by strongly, ion-driven processes, but there is evi-
dence for a chemical assist in most cases. The synergy of such processes can
only be optimized for highest performance etching if the process is well un-
derstood. This need is further emphasized when the device structures to be
etched involve heterostructures. A challenge in traditional III-V semiconduc-
tor devices, the task of etching wide bandgap heterostructures is made even
more difficult by the disparity of binding energies in this material system.
With binding energies varying from 7.72 to 11.52 eV /atom, the goal of highly
selective etching or highly effective etch stops becomes difficult to attain. A
number of the devices to be delineated are very susceptible to surface damage
affects on performance. The etch damage imparted to etched surfaces (crit-
ical to field effect transistor operation) and sidewall surfaces (critical to the
operation of semiconductor lasers and light emitting diodes) can seriously
deteriorate the performance of the device. Minimization of such damage can
only be achieved in situ by careful understanding of the ion-assisted etch
process and the synergistic effect of ion-driven chemistry at the semiconduc-
tor surface.
Mass spectrometric measurements of the etch processes, either in etch
development or monitoring of production line processes, can provide consid-
erable insight into plasma/surface interaction chemistry. This understanding
is constructed by performing one or more of three primary measurements:
flux characterization, partial pressure analysis, and detailed examination of
the plasma/semiconductor interaction region.
In flux characterization experiments, the plasma is directly sampled
through an orifice in the chamber wall or the powered or grounded plasma
electrodes. In the case of sampling through the wall, the technique is similar
for all reactors, low and high density, as the wall represents the ground elec-
trode in high density reactors. Sampling through the powered electrode can
provide a very detailed look at the chemistry and physics of the plasma-
substrate interaction region and, therefore, the fundamentals of the etch
process. In either sampling case, sampling the plasma flux is a complex
6 Mass Spectrometric Characterization of Plasma Etching Processes 207

measurement when performed properly for quantitative energy and/or mass


analysis. The details of such measurements are well described in previous
reviews [2,3] and will only be highlighted here.
The general apparatus used in such measurements consists of four parts:
a) the particle extraction/collimating optics, b) energy analyzer, c) mass
analyzer, and d) the ion detector. The most complicated component can be
the extraction optics. In most of the efforts that will be summarized later, the
extraction optics implemented are of the simplest form of a simple aperture.
This results in a neutral fiux from the plasma that is well collimated by
the aperture according to the kinetic gas laws. Sampling of ions is not as
straightforward because of the electrostatic interaction between the aperture
and the charged particles. The nature of the sampled fiux will depend on
the plasma conditions near the aperture and the geometry and electrostatic
potential of the aperture. Drawin [4] discusses the conditions for extracting
ions from the plasma in terms of the relative magnitudes of the ion mean free
paths, Ai, Debye shielding length, AD, and the sampling aperture diameter, D.
The simplest case is collisionless extraction through a plasma sheath, which
occurs when Ai » D, AD. The case of collisional extraction through the
sheath is more complicated as is flux analysis in magnetized plasmas. If the
sampling aperture is properly designed, plasma ions and neutrals sampled can
be unaffected by subsequent gas-phase and surface collisions and, therefore,
represent the species present in the vicinity of the aperture. This will be true
of etch reactants and products.
Partial pressure analysis uses a simpler experimental apparatus to mea-
sure plasma particles that are first allowed to thermalize through gas-phase
and surface collisions. Because of these thermalization collisions no energy
analysis is needed making extraction optics and energy analysis unnecessary,
and also making the apparatus much simpler. This simpler implementation
permits an integrated sampling of volatile reaction products from the en-
tire surface area of the reactor. Hence, detailed information regarding etch
processes at the substrate surface are likely to be masked by the convolution
of the multiple collisions incurred by the sampled molecule. It has, however,
proven useful in monitoring plasma etching of large area samples [5]. This
may be woeful in analyzing blank wafers but is likely to see diminished appli-
cation in the patterned wafers used in device processing.
Because of the type of information afforded, flux analysis sampling will
be emphasized in the discussion of this chapter. Such sampling will prove
most useful in understanding the complex reactions involved in ion-assisted
processing of elemental and compound semiconductors, including heterostruc-
tures, masked with a variety of materials. The understanding developed will
permit intelligent processing of advanced devices made from conventional and
novel compound semiconductors.
This chapter will start with a brief overview of the most fundamental
applications of mass spectrometry to characterization of etch processes, that
208 C.R. Eddy, Jr.

being molecular beam mass spectrometric (MBMS) measurements. These


very controlled experiments involve the use of very clean "thermal" beams of
reactants and noble gas ion beams incident on a clean, unpatterned semicon-
ductor surface at pressures that are generally much lower than typical etch
processing pressures (10- 6 Torr, generally). The technique has been applied
quite successfully to the characterization of silicon etching. The advantages of
MBMS is in its controlled, clean environment which can lead to quite accurate
measurements of activation energies of etch processes and clear identification
of etch reactions. The primary disadvantage is that such environments are
often substantially different than the complex and less pure environments
encountered in conventional etch processing reactors.
For this reason, the chapter will center on the application of mass spec-
trometry to the measurement of etch processes conducted in conventional
reactors, with additional emphasis on those efforts that attempt to charac-
terize the plasma/surface interaction region. These efforts generally involve
in situ, real-time characterization of both products and reactants and moni-
tor changes in product species intensities as process conditions (flux changes,
substrate temperature, ion energy) in the vicinity of the substrate are var-
ied. The advantages of such applications are that they are simple to apply,
can lead to qualitative characterization of etched surface chemistry, and can
lead to a valuable and dependable means for monitoring and controlling etch
processes in real-time device processing. The lack of a quantitative nature
can be a disadvantage but, as will be shown later, can be good enough to
provide the desired level of understanding. Concerns about sampling meth-
ods and data interpretation when trying to discern etch chemistry or when
applying the technique to monitoring of etch processes for process control are
then discussed.

6.2 Application to Fundamental Studies

Mass spectrometry has found many applications in fundamental studies of


etch processes where, in addition to dominant products and reactants, the
actual etching mechanism is to be determined. This includes measurement
of reaction probabilities, recombination rates and desorption rates that make
up the etching mechanism. Such studies are generally performed in a surface
science type of environment (10- 5 -10- 6 Torr) and not in a conventional etch-
ing environment (10- 2 -10- 3 Torr). These studies involve the use of molecular
beams of reactants and the measurement of molecular beams of products and
are performed in a highly controlled manner. These types of studies are not
the focus of this chapter but are an important sub-area of the application of
mass spectrometry to the characterization of plasma etching processes.
The general experimental apparatus is shown in Fig. 6.1. Neutral reac-
tant molecular beams are directed at an angle toward the substrate to be
etched. These beams can be of stable molecules or radical atoms and mole-
6 Mass Spectrometric Characterization of Plasma Etching Processes 209

~ ion source

\~
O() \

---
chopped beam

outgoing products
quadrupole o

Q '{] torget
r<''--------'
~C12 beam

Fig. 6.1. Schematic of general molecular beam m ass spectrometry apparatus. Ion
b eam is shown as chopped, but neutral beam or product beam can also be chopped .
(After [10]).

cules generated with a thermal source or a microwave cavity. There is also,


generally, an ion beam of noble gas ions that is simultaneously directed on
the substrate at a prescribed angle to investigate the ion-assisted processes
at the etching surface . The substrate temperature can either be varied to in-
vestigate surface reaction kinetics or not. The products that evolve from the
substrate surface are collected along the surface normal and collimated by an
aperture to result in a molecular beam of products and reflected reactants
for measurement by the mass spectrometer. Either the reactant neutral , ion,
or product beams are generally modulated (modulated molecular beam mass
spectrometry) to improve signal-to-noise ratios and, more importantly, en-
ha nce the type of information that can be afforded by such t echniques. Such
modulation techniques are well summarized by Houle [6].
These techniques have predominantly been applied to the study of silicon
etching in fluorine [6- 8] and chlorine [9- 12]. Some of these works have involved
only neutral stable and radical etching of silicon, while others have looked
at the synergistic effects of ion bombardment via simultaneous application
of argon ion beams [7-10,12-14]. There have been fewer studies on GaAs
etching, one of which will be reviewed here [15] involving thermal Cl 2 etching
of the compound semiconductor.

6.2.1 Silicon/Fluorine
Molecular beam mass spectrometry experiments have been applied to the
study /characterization of F2 [7] and XeF 2 [6,8,13] beam interactions with
the silicon surface both with [7,8,13] and without [6] argon ion bombardment.
The latter will be referred to as spontaneous etching.
210 C.R. Eddy, Jr.

Efforts to characterize the spontaneous etching of silicon with XeF 2 were


performed by Houle [6]. In these experiments, the product flux was mod-
ulated to afford information not only about the products, but also about
product velocity (temperature) and surface reaction kinetics. The dominant
products were identified as SiF4 and Si 2F 6 and their relative amounts were
very sensitive to the flux of XeF 2 incident on the surface. The results of these
experiments suggest that the spontaneous etching mechanism involves the
rapid formation of an SiF x layer, comprised of SiF 2 mostly, that is slowly
removed through SiF 3 + F -+ SiF 4 reaction and desorption. The work further
observes that SiF 4 will not stick to a fluorinated silicon surface. The basis of
this work and similar studies was reviewed and expanded upon by Winters
and Plumb [7]; sometime later where variations in the substrate tempera-
ture and the effect of ion bombardment on F2 etching of Si was investigated.
Again, the product flux has been chopped to afford more information about
surface reaction kinetics. In this work, F atoms were generated with a mi-
crowave source from F2 and the spontaneous reaction with silicon at room
temperature was seen to produce SiF 4 , Si 2F 6 , and Si3Fs product species, with
SiF 4 being dominant. As the substrate temperature was increased, the prod-
uct species was altered with SiF 4 , Si 2F 6, and ShF s all decreasing in intensity
and SiF 2 increasing to become dominant at substrate temperatures in excess
of 600 K. These spontaneous etching characterizations revealed a reaction
probability of <0.01 for F with Si(111) at room temperature. The addition
of ion bombardment (2keV, Ar+) resulted in an increased desorption rate
for all products (by approximately a factor of 10) and a shift to a SiF 2 dom-
inated flux even at low temperatures. The ion enhancement was attributed
primarily to chemical sputtering enhancement of the etch mechanism allow-
ing less stable SiF 2 molecules to desorb from the surface and opening empty
Si sites on the surface for reaction with incoming F atoms. Further, physical
sputtering, as a means of etch rate enhancement, was considered negligible.
Similar ion-enhancement effects have been reported in the Si/XeF 2 system
[13].
A more recent study [8] investigated the substrate temperature depen-
dence of the Si/XeF 2+Ar+ etching system and noted a maximum enhance-
ment of the simultaneous ion bombardment for SiF x formation at 250 K. The
reduced enhancement at T < 250 K was attributed to sputtering of XeF 2 be-
fore it could react with the surface and for T > 250 K to reduced fluorination.
They also report a reduction in SiF 4 product formation with the addition of
ion bombardment at T = 200 K. However, when the spontaneous reaction
ceases at 150 K, ion bombardment allows the etching to proceed giving great
promise for a purely anisotropic etching process at cryogenic temperatures.

6.2.2 Silicon/Chlorine
There has also been considerable work in the application of molecular beam
mass spectrometry to the characterization of etching of silicon with chlo-
6 Mass Spectrometric Characterization of Plasma Etching Processes 211

rine. Fewer reports of spontaneous etching characterization have been made.


When a substrate is exposed to molecular chlorine over the substrate tem-
perature range of 300-1000 K, Sanders et al. [10] found that SiCl4 was the
main etch product for T < 800 K and SiCh dominated the product spectrum
for T > 800 K. Alternatively, Suzuki and Hiraoka [11] investigated the use of
hot Ch (or Cl 2 radicals, TCI:;; = 473-1073K) over the substrate temperature
range from 658 K to 963 K and determined that SiCl4 was the dominant prod-
uct in all cases. They also determined that the reaction probability increased
from 10- 3 to 2 X 10- 2 over the range of TCI:;;, with a smaller but increasing
dependence on the Ts. When ions were added to the Cl 2-based Si etching
process, there is a measured enhancement of the product formation rate and
quite a variation in the etch mechanism involved depending on the incident
ion energy [10,12,14]. Rossen and Sawin [14] used a modulated ion beam tech-
nique to examine the effect of a low range of Ar+ ion energies (25-800eV) on
the etch process. They found that SiCI+ was the largest raw mass spectrom-
eter product signal, but after cracking pattern corrections, SiCl 2 and SiCl4
were identified as the dominant products, with SiCl4 most dominant at low
ion energies. They also found that SiCl4 was in thermal equilibrium (room
temperature) while SiCl 2 was hot (2900K) - indicating a shorter residence
time on the surface and perhaps an ion-induced desorption mechanism for
SiCh products. Nonetheless, physical sputtering was ruled to be only a minor
player in the kinetics of ion-assisted etching. As ion energy is increased to
1-3keV the product distribution changes to Si, SiCI, and SiCh and sputter-
ing is determined to play a large role in the enhancement of the etch rate
(as opposed to the stimulation of thermal reactions) [10]. At these higher
energies (1 keV) , if the Cl 2 1Ar+ ratio is varied, measurements will continue
to show sputtered SiC I as the dominant product at low ratios (1-100), but
a transition to SiCl 2 and SiCl4 at higher ratios. SiCh dominates except at
the highest ratios (>10000) [12]. Finally, Chuang and Coburn [9], examined
the effect of H2 addition to Chi Ar+ etching of Si using MBMS and modu-
lation of the product flux. Without ion bombardment they found that only
H spontaneously etches silicon at room temperature and that the addition of
CI or Cl2 under these conditions will stop the spontaneous etching process.
The addition of 2ke V Ar+ bombardment to H, CI, or Ch etches increases the
silicon etch rate (identified by the intensities of the SiClx products) signif-
icantly, but the etch rates for ion-assisted CI and Ch etching are identical.
The addition of H to CI, Ch and Ar+ gave the same change in etch rate for
CI and Ch but generated four times more HCI with the CI beam. From this
they concluded that the reaction H + CI --+ HCI is not a rate limiting step in
the chlorine based etching of silicon.

6.2.3 Gallium Arsenide/Chlorine


There have been far fewer works on compound semiconductors using molec-
ular beam mass spectrometry techniques, and of these few gallium arsenide
212 C.R. Eddy, Jr.

has been the most studied. Certainly such characterizations are much more
complicated ba the larger number of product peaks to be monitored and the
wider range of mass-to-charge ratios, which complicate quantitative analysis.
In one of the most thorough studies to date [15], thermal C1 2 is directed
in a molecular beam incident on a GaAs surface in a substrate temperature
range from 330 to 950 K. The major reaction products over this range are
numerous and identified as AsCh, As 4 , As 2 , GaC1 3 and GaCl. After consid-
erable calibration efforts to permit quantitative measurements, it was deter-
mined that the etch was stoichiometric over the entire temperature range,
but with a considerable variation in mechanism. The following etch prod-
ucts were determined to be stable over the temperature ranges indicated in
parentheses: GaC13 (33G--660K), AsCh (330-550K), AS 2 (550-950K), AS 4
(350-800K), and GaCl (55G--950K) [15]. Clearly the thermodynamically sta-
ble trichloride etch products are the dominant stable species at low temper-
atures (T < 500 K), while GaCl and AS 2 and AS 4 are the dominant species
at high temperatures (T > 500 K). The etch mechanism has also been shown
to change over this temperature range with an activation energy (Ea) of
7.1kcalmol- 1 for T < 470K and 13.9kcalmol- 1 for T > 670K [16]. The
product formation rate (etch rate) for the intermediate temperature range
is independent of temperature. The work by Su et al. [15] also found that
roughly 40% of the incident C1 2 flux underwent reaction with the GaAs sur-
face and that the product formation rate was largely independent of chlorine
flux or the kinetic energy of the chlorine flux.

6.3 Application in Etch Processing Reactors


6.3.1 General Description of Experiments
In these applications of mass spectrometry a wide range of both mass spec-
trometry techniques and sampling methods have been employed. The tech-
niques applied include selective ionization techniques and energy analysis
techniques. Sampling methods can be categorized into three groups: remote,
through the chamber wallline-of-sight, and through the substrate and biased
electrode. In some studies mass spectrometry is applied primarily to char-
acterize the reactants involved in the etching process, but more generally
the species of interest are the etch products. The results of such efforts can
provide information on fundamental etching mechanisms and/or a means to
monitor the etching process for process control. All of these aspects will be
highlighted in the review of mass spectrometry applications to commercial
etching reactors that follows. Further, a brief discussion of issues in measure-
ment and interpretation of results will follow the review.

6.3.2 IV-IV Semiconductors


Semiconductors comprised of elements from the group IV column of the pe-
riodic table have historically held the highest level of prominence technologi-
6 Mass Spectrometric Characterization of Plasma Etching Processes 213

cally. Not surprisingly, they, in particular silicon (Si), have been the subjects
of much process development efforts. In this section, we review the application
of mass spectrometry to the study of processing of the critical materials asso-
ciated with Si integrated circuits: silicon, polysilicon, silicon dioxide (Si0 2 ),
and silicon nitride (Si3N4)' Etch processing of these materials has been stud-
ied in a range of tools from thermal to high density plasmas, with most efforts
concentrated on RIE. In addition to these materials, mass spectrometry has
been applied in very few cases to the study of Ge and SiC. We will also review
these works.
Silicon-based materials are the basis for the multibillion dollar semicon-
ductor electronics industry. Etch processing with high selectivity and min-
imal overetch has become an area of much study. It is primarily these di-
rectives to which mass spectrometry has been applied as a characterization
and monitoring tool. Silicon-based materials are processed with two primary
chemistries; fluorine-based and chlorine-based. We summarize efforts in each
of these chemistries below.

6.3.2.1 Fluorine-based Etching of Si, Si0 2 and Si3N4


Mass spectrometric studies of silicon etching in fluorine-based chemistries
has been directed toward identification of important reactants in the plasma
flux and the dominant products that evolve from the surface. In the CF 4-
based chemistry this involves identifying critical reactants responsible for
the etching process as well as the species responsible for the polymer film
formation that provides high selectivity and anisotropy. In the SF6-based
chemistry, similar identifications are required.
Hikosaka et al. [17,18] applied threshold ionization mass spectrometry to
the characterization of the plasma species in a CF 4/H2 chemistry capacitively
coupled, parallel-plate reactive ion etcher (RIE). The technique of threshold
ionization mass spectrometry allows radical species from the plasma to be
identified separately from parent molecule fragments of the same nature that
would result from electron-impact ionization in the mass spectrometer's ion-
izer. This is accomplished by carefully controlling the electron energy in the
ionizer and, thus, only permitting ionization of the radical molecule. Using
this technique, absolute number densities of CF 3 and CF 2 species and spatial
distributions of these species were measured [17]. It is believed that an abun-
dance of CF3 species is consistent with a high level of fluorine atoms in the
plasma that promote etching, while CF 2 species are correlated with polymer
deposition responsible for high selectivities and anisotropy. Hikosaka et al.
also found that the effect of hydrogen addition (10%) to CF 4 is to scavenge
fluorine atoms, by forming HF, resulting in a substantially increased level of
CF 2 in the plasma while only moderately increasing CF 3. Thus, the effect of
hydrogen addition is to promote better selectivity.
A study of etch products in CF4-based chemistries centered on CF 4/0 2
chemistries in RIE reactors, where Campo et ai. [9] monitored the SiFt peak
214 C.R. Eddy, Jr.

as oxygen addition was varied. Although their sampling technique ensured


that they were not directly observing etch products evolving from the sur-
face, they did find good correlation between the SiFt intensity and the silicon
etching rate. With this technique, they discovered that O 2 addition greater
than 10% served to reduce the SiFt formation rate, presumably due to com-
petition for surface sites between oxygen (to oxidize) and fluorine (to etch).
Sulfur hexafluoride (SF 6 ) based etches have been studied in both RIE
and high density electron cyclotron resonance (ECR) microwave discharges.
In a RIE reactor, Campo et al. [20], in a study similar to that of the pre-
ceding paragraph, found that SiFt was again well correlated to the silicon
etch rate. While in an ECR, abundant fluorine levels, resulting from the
higher dissociation levels of such discharges, resulted in more isotropic etches
and abundant formations of SiFt. When the pressure was lowered below
5 x 10- 5 Torr, saturated products (SiFt) were diminished and unsaturated
products (SiFt and SiF+) were increased as the fluorine flux dropped and the
etch became more physical. Under these low-pressure conditions the process
became purely anisotropic [21].
Etch chemistries investigated for the etching of Si02 have been purely flu-
orocarbon in nature and have been applied in both RIE and ECR reactors.
In ECR reactors, CF 4/H 2 [22-24] and C4FS/H2 [23,24] chemistries have been
studied. Measurements through the wall of the chamber, but line-of-sight of
the Si0 2-coated wafer, have identified the dominant etch products as COFt,
CO+ and SiFt and that the technique, when employed for endpoint detec-
tion has a poor response time [22]. Measurements on a probe immersed in
the plasma have measured the reactants [23,24] and identified CF x, C 2F x,
C 4F x, HF, and F as predominant reactant species. The effect of hydrogen
addition was studied and proved consistent with the results of [18]. Atomic
fluorine was identified as the primary etch reactant and CF 2, CF, and C 4F 2
as polymer forming reactants. The reactant characterization work employed
appearance mass spectrometry, a technique similar to threshold ionization
mass spectrometry in form and function.
Studies in RIE reactors have dealt with CF 4/C2H4 [25], CHF 3 [26] and
CHF 3 /0 2 [27] chemistries. All measurements in these studies were made
through the chamber wall and were of the bulk plasma. Each identified SiFt
as the dominant species detected for the silicon etch product. The oxygen
etch product was identified as COF x [25]. In all of these studies a wide range
of reactant species are identified in the plasma. Flowers et al.. [26] identified
ion species up to C 3 F x in mass and neutral species up to C 6 F x in mass. They
further identified C 2F 4 and C 2F 5 as polymer film precursors. When the mass
spectrometer was applied to endpoint detection (using SiFt) for the etching
of Si0 2 on Si, reasonable response times were found «1 min), Fig. 6.2, and
minimum detectable areas on the wafer of 1 cm2 [25] and 40 mm 2 [27] were
reported. This is the minimum area on the wafer that must consist of exposed
Si0 2.
6 Mass Spectrometric Characterization of Plasma Etching Processes 215

.to S;Ol+ S ; .to


SflN,+S;
..... .....
:.... • :.
200... ....
.
~
.!!!
"
a
...q.
~
.g

.
6 6
, . 100
, ..., ~
-30
amuB5(SiFjJ -20
2
-10
(J
i>-/
6 8 10 12
"
time (min)
2 , 6
time (min)
8

Fig. 6.2. Endpoint detection for the etching of silicon oxide and silicon nitride on
silicon using the SiFt mass peak intensity. (Reproduced with permission of [27]).

Finally, the only studies reported m,ing mass spectrometry characteri-


zation of Si3N4 etching also involved only fluorocarbon chemistries, either
C x F y /H 2 [28] or CF 4/0 2 [29]. The CxFy chemistry was investigated in an
ECR high density plasma in a line-of-sight manner looking at the bulk of
the plasma and identifying the dominant species. Plasmas ideal for selec-
tive etching of Si0 2 over Si3N4 and Si0 2 over Si were identified through
these characterizations [28]. The CF 4/0 2 study [29] was performed in a RIE
reactor with an immersion probe above the wafer and characterized both
reactants and products of the etching process. However, the technique was
not line-of-sight. The key reactants were identified as F and CF 2 (the etcher
and polymer former identified in previously discussed studies) and the key
products were SiF 4 for silicon and N 2 , NO, and CN for nitrogen. Endpoint
detection was best performed with N, NO or CN species. Kastenmeier et al.
[30] studied the effect of nitrogen addition to this chemistry in a downstream
microwave plasma reactor using a remote sampling technique. They found
that the nitride etch rate was well correlated to the intensity of the NO peak
in the mass spectrum and saw a substantial increase in the nitride etch rate
with small amounts of N2 addition to the input gas mixture. They surmised
that NO or the radical NO* contributed to the etching of Si3N4 either di-
rectly as a reactant or indirectly by providing its internal energy to activate
the surface processes during the etch.

6.3.2.2 Chlorine-based Etching of Si

Etching of silicon with chlorine has been another semiconductor / etchant sys-
tem studied fairly extensively with mass spectrometric techniques. The means
of radical and ion creation has been much more varied in this system, but
the dominant reactive species and products remain consistent.
216 C.R. Eddy, Jr.

Current Probe: I "COli


Water '"

Quartz Window

Lower

W:Wafer
V : Voltage Probe
M : Magnetic Shield

Fig. 6.3. Schematic of experimental setup employing sampling through the powered
electrode of a reactive ion etching reactor. (Reproduced with permission of [31]).

Ono et ai. [31] studied the reactive flux in C1 2 plasmas created by RIE and
magnetically enhanced RIE (MERlE) measuring Cl and C1 2 species through
the powered electrode. The experimental apparatus is shown in Fig. 6.3.
This method of measurement permits direct characterization of the plasma
flux to the substrate during etching and, if properly equipped, the energy
of ions incident on the substrate. The study identified Cit as the dominant
ion for reactor pressures less than 50 mTorr and Cl+ as the dominant ion for
higher pressures. This was true for both reactors. The addition of magnetic
enhancement increased the ion flux and decreased the neutral flux to the
powered electrode. Curtis and Brunner [32] studied HCl etching of poly-Si in
a RIE through remote monitoring of the SiClx product peaks. The remote
nature of the measurement was not suitable for endpoint detection.
High density chlorine discharges have also been studied using mass spec-
trometry. In two ECR studies [33,34] SiCl, the dominant product peak, was
monitored during device fabrication. A downstream monitoring technique was
used to monitor SiCl, the intensity of which was well correlated to the silicon
etch rate. The etching induction time, a result from removal of the native
silicon oxide, was monitored in this way providing more accurate etch rates
and etch depths. The technique also proved useful as an endpoint monitor.
However, it overestimated the reduction in etch rate due to the addition of O 2
to Cb as the O 2 was believed to enhance the dissociation of SiCl. Donnelly
[35] performed an extremely thorough characterization of silicon etching in
the downstream portion of a high density, helical resonator plasma paying
6 Mass Spectrometric Characterization of Plasma Etching Processes 217

particular attention to the measurement technique itself. This through the


wall, line-of-sight measurement monitored CI, Ch, and SiClx species origi-
nating in the plasma and also HCI that resulted from the reaction of CI with
residual H 2 0 on the walls of the mass spectrometer chamber. Chlorine mass
balance was achieved through careful calibration and analysis techniques for
all conditions. SiCl4 is identified as the main etching product. This thorough
study also examined spectra with the quadrupole mass spectrometers axis
perpendicular and parallel to the line-of-sight. Obviously, the parallel posi-
tion was capable of monitoring ions from the plasma with the ionizer-off,
whereas, the perpendicular geometry measured no signal for the ionizer off
condition. One of the key disadvantages of the parallel position was that the
CI and Cl 2 peaks were anomolously large and that the peak shape for these
species was distorted with a low energy tail. Both effects are the result of fast
neutrals resulting from charge exchange reactions.
The final mass spectrometry application reviewed here was employed in
a non-plasma-etching environment. Materer et al. [36] studied the thermal
etching of Si in a chlorine environment using single photon ionization-time of
flight mass spectrometry (SPI-TOFMS) to carefully study the etch products
that occur when silicon is heated to the temperature range of 1023- 1373 K.
The measurement technique, shown in Fig. 6.4, involves the use of a specific
wavelength of radiation (118 nm in this study) to selectively ionize etch prod-
ucts above the substrate. The ionized products are then accelerated toward
an aperture, then decelerated and allowed to translate down aIm, field-free
time of flight tube. The arrival time at a channel electron multiplier deter-
mines the mass of the molecule/atom. This technique was used to monitor
the dominant etch products at these elevated t emperatures, namely SiCI and
SiCh . SiCl 2 is the dominant etch product for temperatures up to 1225 K and
then SiCI is the dominant product, Fig. 6.5 . An activation energy of 0.36eV
was determined for the SiCI product generation. As can be noted from above,
such "unsaturated" species are observed in plasma etching processes at much
lower temperatures when the process becomes more physical than ion-assisted

Oscilloscope
CEM Detector

Fig. 6.4. Schematic of the exper-


imental setup used in single pho-
ton ionization-time of flight mass
Tripling Cell Pyrometer
spectrometry (SPI-TOFMS) . Specific
Nd:YAG 118 nl11 wavelength photons (here 118 nm)
Laser ./ are used to selectively ionize neutral
radicals for detection . (Reproduced
35 - nm with permission of [36]).
218 C.R. Eddy, Jr.

Si
SiCl SiC12
1473K

1223K

1023K
Fig. 6.5. SPI·TOFMS taken dur-
ing thermal etching of silicon as
a function of sample temperature
under a constant flux of molecular
4 9 14 19 24 29 chlorine. (Reproduced with per-
Arrival Time ( !J.S ) mission of [36]).

chemical in nature. The technique of SPI-TOFMS or simple photoionization


mass spectrometry holds much promise for detailed characterization of the
complex reaction pathways of some etching processes.

6.3.2.3 Other Group IV Etch Processes

Germanium (Ge) etching in fluorine-based chemistries has been characterized


by mass spectrometric techniques with particular attention to the selectiv-
ity issues with silicon. In an RIE CF 4/0 2 plasma, line-of-sight monitoring
through the grounded electrode was used to monitor GeFt, the primary etch
product, as the percent oxygen addition was varied [19]. At the same time,
SiFt products from silicon etching were also monitored to determine selectiv-
ity. The study showed that oxygen addition poisoned the silicon surface, tying
up silicon active sites for etching through oxidation and reducing the silicon
etch rate. At the same time, the GeFt signal increases because the rate is
shown to be only dependent on F flux, which is enhanced by oxygen-induced
formation of COF x, which reduces the recombination rate of F with CF x.
A similar study by the same group on the effects of oxygen addition to SF 6
etching chemistries for Ge showed a somewhat different behavior [20]. In this
system, the GeFt and SiFt signals were also monitored and showed selective
Ge etching for O 2 percent greater than 50% and the opposite selectivity for
<50%.
Silicon carbide (SiC) etching in fluorine chemistries has also been char-
acterized by mass spectrometric techniques [37]. In through the wall, bulk
plasma sampling of RIE plasmas fed with either SF 6 /0 2 or F 2 (5%)/Ar/02
gas mixtures, they identified SiF 4 as the primary silicon product and CF 4 as
the primary carbon product when no oxygen was added. When oxygen was
6 l\fass Spectrometric Characterization of Plasma Etching Processes 219

added, the primary carbon products changed to COF 2 and CO. Endpoint
detection was performed using the CO, COF 2 , or CF 4 peaks, but was not
sharp due to the bulk plasma sampling technique employed.

6.3.3 II1-V Semiconductors

Semiconductors comprised of elements from the group III and group V col-
umns of the periodic table have seen increasing application in specialty de-
vices and circuits in optoelectronic and high frequency applications. Because
of their multicomponent nature and generally wider bandgaps, these mate-
rials have been more difficult to process than the group IV semiconductors
just discussed. These materials generally require some energetic assist to pro-
mote etching. This can lead to damage and stoichiometry concerns. With the
increased degree of difficulty in processing such materials, the need for un-
derstanding the fundamentals of etching processes is increased. Efforts to
characterize III V compound semiconductor etching with mass spectrome-
try techniques have increased in number in recent years as the devices based
on these materials have become more sophisticated and in higher demand.
The efforts have concentrated on the two most popular types of this fam-
ily of materials, namely InP and GaAs. Undouptedly we will sec efforts in
the III V nitrides in the very near future. Generally, two types of plasma
chemistries have been applied to the etching of these materials, methane-
hased and chlorine-based. We will summarize the previous studies in these
four general groupings.

6.3.3.1 Methane-based Etching of InP


Etch processing of InP in methane-based chemistries has been studied for the
most part in RIE reactors with some efforts in high density ECR reactors.
The efforts in RIE reactors have concentrated on characterization of the bulk
plasma by sampling through the grounded electrode in a line-of-sight to the
substrate positioned on the powered electrode. The apparatus and geometry
is depicted schematically in Fig. 6.6. In these studies [38-41] both reactant
and product species are identified, both ionized and neutral. Product species
are tracked as a function of process conditions and the resulting observa-
tions are collected to form a model for the etching mechanism of InP in
methane-based chemistries [38]. The dominant neutral reactant is identified
as CH 3 and the dominant ionized reactant as C2Ht, but neutral species up to
C3HS and ionized species up to C 7 Hi3 have been observed [39]. The neutral
CH 3 density, was quantitatively characterized using the threshold ionization
technique [40]. In this technique, the electron impact energy in the mass
spectrometers ionizer is carefully controlled between the ionization potential
for the methyl radical (9.8 e V) and the dissociative ionization threshold for
CH 4 (14.3eV). A typical appearance potential spectrum for mass 15 is pre-
sented in Fig. 6.7 for plasma on and plasma off conditions. The difference
220 C.R. Eddy, Jr.

Fig. 6.6. Schematic of experimental setup employing sampling t hrough the


grounded electrode of a reactive ion etching reactor. (Reproduced with permission
of [39]) .

10'

108 -""PlasmaON
···0··· Plasma OFF

- 10S

-
~
(.)

~
rn
104

-
c: 10'
CD
.5 102

10'

1
8 10 12 14 16 18 20 22
Electron energy (eV)
Fig. 6.7. CHj signal as a function of the electron beam energy under discharge ON
and OFF conditions showing the CH 3 radical detection in a pure methane plasma.
(Reproduced with permission of [40]) .
6 Mass Spectrometric Characterization of Plasma Etching Processes 221

.
10000 '-'-"~rr-'~ 1000 ,....,....,...,....,...,..........
PH'

., 8000
.,..§: 800

.@: 6000 600


~
·iii
c: 4000
~
c: 400
~ :§
2000 200

30 31 32 33 34 35 36
a) m/e b) m/e
500 ,-,.,.,............,-~

.,
500
400

., 400
~ 300 .@:
~ ~ 300

'"c: 200 '"


c:

~ ~ 200

100
100

58 60 62 64 66 71 72· 73 74 75 76 77 78

c) m/e d) m/e

Fig. 6.S. Detailed mass spectra showing the detection of phosphine ions PHt (a),
primary P(CH x )+ (b), secondary H 2 P(CH x )! (c) and ternary (CH 2 )P(CH 3 )! (d)
phosphine ions. (Reproduced with permission of [39]).

in these spectra is attributed to electron-impact ionization of CH 3 radicals


effusing from the plasma and represents the dominant neutral density. The
dominant product species for the phosphorus component in the film is iden-
tified as PH 3 , and is believed to result from the ion-driven reaction of the
abundant atomic hydrogen in the plasma. Additional phosphorus-containing
species are observed at much lower signal intensities in the mass spectrum,
Fig. 6.8, such as PH", (x = 1-4), H",PCH 3 (x = 1-3), H",P(CH 3h (x = 0-2)
and (CH 2 )P(CH3h. The primary product for indium component etching is
considered to be In(CH3h, but only ionized fragments of the indium etch
products are observed with In(CH3)t being the most dominant, Fig. 6.9.
This situation is believed to be the result of the instability of In(CH3h in a
plasma environment [41]. The formation of the indium etch product is most
dependent on the CH 3 radical density and, therefore, on the CH 4 fraction in
the input gas mixture [38,40]. The In(CH3)t peak intensity tracks the etch
rate well, supporting its parent molecule to be the primary etch product. Fur-
ther, the rate limiting step in InP etching in methane-based chemistries is
surmised to be the formation ofIn(CH3h. These product peaks are monitored
as process conditions are changed and as a function of etch time to monitor
222 C.R. Eddy, Jr.
1200
1000
~

'" 800
~
.£ 600
.,'"=
.5 400
200 Fig. 6.9. Detection of In+, HInCHt
and In(CH 3 )t positive ions under
110 120 130 140 150 conventional RIE conditions. (Repro-
mle duced with permission of [39]).

the changes in the InP surface and the etch process. It is believed that PR x
products form readily, and are not etch-rate limiting, leading to a P-depleted
surface region during etching. Increasing the methane flow fraction and tran-
sitioning the phosphorus removal to methyl-phosphine molecules where the
indium and phosphorus removal is more equirate [40] can minimize this de-
pletion. If the products are examined as a function of etch time, Fig. 6.10,
one can see that the PR3 intensity rises rapidly after the etch is commenced,
while the In* emission intensity (which tracks the In(CR3lt mass peak [39])
rises rather slowly. One possible explanation for the slow rise is that the
surface temperature follows the same rate of rise from room temperature to
85°C, and that the indium etch product is more readily formed at higher
temperatures [41].
Studies have also been performed on InP etching C~/R2 high density
plasmas generated under ECR conditions [42]. In this effort, the sampling
was line-of-sight to the wafer at an angle of 73° off the wafer normal and
only 6 cm away from the substrate surface using secondary ion mass spec-
trometry (SIMS). Again both reactant and product species were sampled.
Reactant species included R;t (x = 1-3), CRt, and CRt. As is expected for

12.0 140

-.
10.0 120
-g- ......
...-...
.!!. r •.. ~
............. ..... . .
.,. ..!--.......~....!!:I.:.:.: 100 S-

.
8.0 .
~
~
3:
i
.~
80
!
.e .•...'
~.

6.0 --PHs
.E 60
... ";.'"
I
+ Fig. 6.10. In* emission line
:r:: 4.0 ..... (451.1 nm) and phosphine,
Q..
40
........·In· PH 3 (34 amu) , mass peak
2.0 20 intensity monitored as a
O. 0
function of the etching time.
0 2 4 6 8 10 (Reproduced with permis-
Etching time (min) sion of [41]).
6 Mass Spectrometric Characterization of Plasma Etching Processes 223

these higher electron temperature, high-density discharges, there were only


low intensity signals associated with larger hydrocarbon molecules, the most
massive of which was C 2 Ht. The dominant P product was again PHt , and the
main indium product was In(CH3)t. The instability of the parent molecule,
and the supposed etch product, in the plasma environment was emphasized
and the relative intensity of the indium products in a pure CH 4 /H 2 plasma
were given as: In(CH 3)t (1.00), In+(0.50), In(CH3)+ (0.30), and In(CH 3 );t
(0.001). The effect of argon addition to the CH 4 /H 2 chemistry was investi-
gated and it was found that the argon increased the production of CHt and
decreased the H+ concentration through the formation of ArH+. These results
when coupled with the enhanced physical component to surface processes en-
hanced the InP etch rate. With respect to products, argon addition shifted
the phosphorus removal form from PHt more toward organophosphines such
):i
as H 2 P(CH 3 )+ and the indium removal form from In(CH 3 more toward In+
(indicative of pure sputtering). The sampling technique was also applied to
endpoint detection using PHt as the monitored product and demonstrated
a 30s response time (50A in depth). This result is presented in Fig. 6.11,
which depicts the etching of an InP /InGaAs multilayer structure.

A
B A=5OOA InGaAs
C B=2500A InP
0 c..ssA InGaAs
. D=2soA
E=2OOOA
InP
InGaAs
C
F=Substrate InP
B
E
F
(a)

1.0
ENDPOINT DETECTION
~

18 0.8
·c
~

.e~ 0.6

Fig.6.11. (a) InP jlnGaAs


~ 0.4 multilayer structure, and (b)
Ul
c: intensities of PHj ions and
Q)
c: 0.2 AsH+ ions monitored as a
function of time during etch-
0.0 ing of multilayer in (a). (Re-
0 1000 2000 produced with permission of
(b) Time (seconds) [42]).
224 C.R. Eddy, Jr.

6.3.3.2 Methane-Based Etching of GaAs

The same study [42] looked at GaAs etching in CH 4/H 2 and CH4/Hd Ar
chemistries. The study identified AsH~ (x = 0-3) as the primary etch prod-
ucts for arsenic with smaller amounts of organoarsines [H2As(CH3)+' and
As(CH3)~]. There was a very limited sensitivity for gallium-related product
)t
peaks with Ga(CH 3 dominating. There were no etch products found in the
absence of Ar addition to the input gas chemistry signifying the importance
of a physical component to the etch for GaAs. AsHt was used as an endpoint
monitor and is also presented in Fig. 6.11, which depicts the etching of an
InP /InGaAs multilayer structure.
Another study of GaAs etching in methane-based chemistries used an ion
beam of CH 4/H 2 and also used line-of-sight SIMS analyses of etch reactants
and products [43]. This ion beam study used a Kaufman type ion source and
ion acceleration energies (500eV) much higher than the previous study. Not
surprisingly, the dominant gallium product identified is Ga, with smaller in-
tensities of Ga(CH3)~ (x = 1,2) peaks. They centered their concentration on
the gallium products, which they identify as rate limiting, and examined the
effect of variations in the input gas composition on surface processes. They
conclude that surface processes are a competition between etching and poly-
mer deposition and that for CH 4 fractions less that 13% etching dominates
and for greater than 13% polymer deposition dominates.

6.3.3.3 Chlorine-Based Etching of InP

The etching of InP in chlorine-based chemistries has been centered on simple


C1 2/ Ar chemistries and the use of high density plasmas. This is probably be-
cause the expected indium etch product, InCI3 , is involatile at temperatures
near room temperature and so a considerable degree of ion bombardment
helps to desorb the product and permit etching to continue.
One early study [44] did investigate the use of CC13F(02 chemistries to
etch InP in an RIE reactor. The sampling technique was remote and through
the wall of the etching chamber. The reported detected species were reactants
only including O 2, COCI, and CCI 2F. The COCI was found to form readily
in the mass spectrometer chamber even in neat CCbF plasmas by reacting
with residual water vapor in the chamber. Such a peak is often found in
the spectrum when chlorine is used in etching experiments. They studied
the effects of oxygen addition on the process and found that it created a
competition at the InP surface between 0 and Cl. They also found that F
did not playa role in the etching process.
InP etching in Cb/ Ar chemistries has also been investigated, but in
ECR high density plasmas [45,46]. In these studies the sampling was remote,
through the wall of the chamber and concentrated only on etch products. In
particular, the phosphorus etch product for endpoint detection and monitor-
ing of the induction period for etching InP. The induction period is the time
6 Mass Spectrometric Characterization of Plasma Etching Processes 225

7
U.I
II:
::::J 6
en
en
w
II: 5
a.. tQ
-J
c
~
.
I- 4

a: b.... 3
c )(
0..
_N 2

..
0
a..
~

50 100 150 200 250 300


TIME (sec)

Fig. 6.12. Induction time for etching of InP as measured by the intensity of the
PClt mass partial pressure as a function of bias level or ion energy used in the
etch. (Reproduced with permission of [45]).

it takes for the native oxide to be removed and the etching rate to become
fixed at a steady state rate. Careful understanding of such times is important
to being able to control the etch depth. Such control is important in device
fabrication processes. In these monitoring applications, only the PClt peak
is measured and documented. The effect of ion energy on the induction time
is shown in Fig. 6.12. As can be seen the PClt mass peak intensity increases
more rapidly as the ion energy increases reducing the induction time from
11 0 s for 50 eV ion energies to 40 s for 200 eV ion energies (10 s if 300 e V ions
energy is used). The response time of the mass peak, even in this remote
sampling geometry, is respectable at 10-20 s. The endpoint response was less
impressive, Fig. 6.13, as the fall off to 50% of signal strength was around
90 s (5000 A of extra material removed at the etch rates of the study). This
contrast in results is not unexpected, as the induction period requires that
the mass spectrometer only register the rise of a peak above background.
However, in the endpoint application, the falloff is not only the result of the
response time of the instrument itself, but also the ability of the system to
pump away the product. In a remote sampling method, the latter effect is
the largest. An additional consideration is a spatial nonuniformity of the etch
which will also extend the decay rate of the PClt peak.

6.3.3.4 Chlorine-Based Etching of GaAs

There have been a considerably larger number of studies of chlorine-based


etching of GaAs and related compounds and nearly all have involved the use
of high density plasmas to enhance desorption of the less volatile product,
GaCI 3 ·
226 C.R. Eddy, Jr.

-
4 20
E
c
N
~In
145 AsCI/ (X 10) ">
...0
::0
-f
/1

-
.". 15 );
3 r-
I 1
~ .. .
~ 101 PCI 2 +
< \ ."
:u
>-- m
!
1\
t::~ f/)
(1)1: f/)
z:::l 2 10 c:
W· ::0.
~.a
~~ ! m
z ! ~
0
(ii
1 5 9-
f/) -f
0
iw ..3.:
s 0 0
0 1 2 3 4 5 6 7 8
TIME (1TIIn)
Fig. 6.13. Endpoint detection for the etching of InP on GaInAs using the In*
emission intensity at 410.2 nm and PClt, AsClt and TiCI; mass spectrometric
signal intensities. (Reproduced with permission of [46]).

A few studies have investigated the etching of GaAs in CClxF y chemistries


using an RIE reactor. In one [44J only the reactants were studied in a
CC13 F /0 2 chemistry and it was proposed that the effect of oxygen addi-
tion was to decrease the etch rate by creating a competition for free sites on
the GaAs surface between 0 and C1. In another [47J a more thorough inves-
tigation of GaAs etching in CC12F2 chemistry was performed. A number of
reactant species were identified that would serve to promote GaAs etching
including CClxFy up to CChF, HF, Cl, HCl, CF3 , and Ch. The hydrogen-
containing species apparently were the result of reactions with impurities in
the chamber as no hydrogen was intentionally introduced. The only prod-
uct peaks identifiable were arsenic related (AsCI, AsF 2, AsFCl and AsC1 2).
The lack of gallium chloride peaks was attributed to the low volatility of the
molecule.
Mass spectrometric characterizations of Ch / Ar chemistries used to etch
GaAs have concentrated on ECR high density plasma etching reactors and
a number of thorough studies have been performed. Two types of sampling
techniques have been employed, namely remote, through-the-wall and local,
through-the-substrate. The various differences in these sampling techniques
will be discussed later in the chapter in the forum of GaAs etching by Chi Ar.
In the remote sampling studies only arsenic related products (AlCl x ) were
identified and monitored [46,48,49J. In all of these studies, the AsClt mass
peak was found to be highly correlated to the etch rate of GaAs. In one
of these studies [49J the effect of oxygen and nitrogen addition to the chlo-
rine/argon chemistry was investigated. The addition of merely 2.5% of oxy-
6 Mass Spectrometric Characterization of Plasma Etching Processes 227

10-'
VALVE
E OPENED
~ 10- 7
W 1'0/
a:
~
(I)
g) 10. 8
a:
a.
..J
<
10. 9
~
<
a.
10"1Q
0 2 4 6 8 10 12
TIME (1iIIn)

Fig. 6.14. Etch product signal (AsClt) intensity responses to changes in the partial
pressure of O 2 . (Reproduced with permission of [49]).

gen to the mixture caused a substantial reduction in the AsClt intensity and,
therefore, the GaAs etch rate. This is believed to be the result of a compe-
tition between 0 and Cl for reaction sites on the GaAs surface. This effect
is well demonstrated in Fig. 6.14 where the effect of oxygen addition during
the etch is monitored in real time. By examining the ot
and AsClt peaks
it is seen that the product formation rate diminishes when oxygen is added
either in a controlled manner through a mass flow controller or as part of a
leak. When the oxygen is removed the product peak intensity returns to its
pre-oxygen exposure level. As is also evident in this graph, the induction time
(time to remove the native oxide of GaAs) is considerably shorter « 1 min)
than the "endpoint" time (time for AsClt to stop being detected, 2 min).
This again is not simply the result of the surface kinetics, but a convolution
of the chamber pumping dynamics (remote sampling), the uniformity of the
etching/ oxidation process, and the response time of the mass spectrometer.
More direct studies in the same reactor of endpoint and induction time for
etching in pure Cld Ar chemistries shed some light on the relative contribu-
tion of these factors [46,48]. In pure Chi Ar, the endpoint response time was
measured to be 17 s using AsClt, as shown in Fig. 6.15. This dramatic dif-
ference shows that the uniformity of the etching/oxidation processes played
the dominant role in extending the endpoint time. With regard to induction
time, the mass spectrometer is shown to respond almost instantaneously to
the onset of etching, Fig. 6.16, and considerably faster than the Ga emission
signal which is also shown [48].
In an effort to better understand the kinetics of the GaAs:Ch/ Ar etch-
ing process through-the-sample monitoring has been employed [50-52]. This
sampling technique, shown schematically in Fig. 6.17, was adopted in an ef-
fort to become more surface sensitive and, thus, measure the surface reaction
228 C.R. Eddy, Jr.

--
~
I I)

:;,
10

8
.e.!!.
'''AsCI. + PARTIAL
6
~
0
PRESSURE

...
Z
W
4
~
....
<
Z 2
Fig. 6.15. Comparison of the Ga
CJ optical emission and the AsCb+
u;
mass spectrometry signal when
0
0 50 100 150
endpointing GaInAs on InP sub-
strate. (Reproduced with permis-
TIME (8) sion of [48]).

100 2.0

.-
I
tn
!: 80 l-
is
z):.
a:

r . -"-"-"
:- 1.5 r
w
---:........ ----
-.-
-
0Q.
== 60 ....Z
m
z
··
W
,,- " 1.0 tn
>
~
~
0
a:
0
40

jJ
y'
/

- 0.5
.
-;-
!7
20 I- c
5ii
~
:;,
~ g
0 I I
0
o 4 8 12 16
TIME (8)

Fig. 6.16. Response of Ga optical emission at 417.2 nm (dash-dot line) and AsCIi
mass peak intensity (dashed line) to ignition of the plasma. (Reproduced with per-
mission of [48]) .

GaAs substrate
0.8 mm dia.\, 1 tainless tcel front plate

~~~~~~;~~gr:=boron nitride
copper
cooling lines healer Fig. 6.17. ' Schematic diagram of front
cap/sampling aperture used on the front
of the mass spectrometer for in situ sur-
face chemistry characterization in [50- 53]
and [57- 62]. (Reproduced with permis-
f':J 5.600,,-----<[>1 sion from [50]).
6 Mass Spectrometric Characterization of Plasma Etching Processes 229

products and not the same products after multiple gas-phase collisions. We
will discuss the effectiveness of the technique later in the chapter. In these
studies only the product peaks are monitored as the environment at the sub-
strate is altered in terms of ion and neutral fractions of the incident flux and
the ion energy. These alterations were effected by changes in the microwave
power, total pressure, and bias level of the substrate platen and documented
in a recent flux characterization paper [53]. The products monitored include
AsCI, AsCI 2 , AsCh and GaCh. An exemplary spectrum is shown in Fig. 6.18.
The unlabeled peaks are attributed to the formation of COCI 3 in the mass
spectrometer chamber as has been observed by others (see above).

810 4 ~

'"
7 ~

710 4 ~ r--
7
_N
U ::::::.
,.-...
en
+-'
I::
610 4 < _N
U
on ;;;'
::l
0
-< 00

510 4 N
U 00
'-' ;;)
oa 410 4 ::! 000
5h
;;:; 7
::::.-
-~
310 4 u

n<
0.:-
..,.,
::E c;
::::::. 7
I=!-. 210 4 _N ::::::.
U uoj
0
1 104

100 110 120 130 140 150 160 170 180 190
mlz (amu)
Fig. 6.18. Typical etch product mass spectrum for high density plasma etching
of GaAs in a Chi Ar plasma chemistry. Dominant detected chloride products are
labeled. (Reproduced with permission of [50]).

The effect of microwave power on the product peak intensities is shown


in Fig. 6.19. As can be seen in the figure, the products first increase in inten-
sity and then saturate for powers greater than 300 W. The independent flux
characterizations for the same reactor [53] show that the ionized fraction of
the flux, particularly CI+ and Ar+, increases with microwave power and the
neutral atomic chlorine flux decreases first Cl and then Ar. These combined
observations suggest that sufficient fluxes of both ionized and neutral atomic
chlorine are required to maximize etch product formation and, therefore, the
etch rate. The behavior of the GaClt product is consistent with the premise
that the gallium chloride products are less volatile and require energetic bom-
bardment (here in the form of Ar+ and Cl+) to enhance desorption of the
product.
230 C.R. Eddy, Jr.

,..-...
..... 710 4
=
ell

~
0
U
'-'
ca 5 104
6h GaC1 2
in xlO
~
p..
U 3 104

1 104 ~~~~~~~~~~~~~~~~~~~~
150 200 250 300 350 400 450 500 550
Microwave Power (Watts)
Fig. 6.19. Variation in etch product mass intensities with coupled microwave
power. (Reproduced with permission of [50]).

,..-...
ell 610 4
§
0
U
'-'
ca 410 4
~
in
~
p..
U 210 4

o ~~~~~~~~~~~~~~~~~~~

o 2 3 4 5 6
Pressure (mTorr)
Fig. 6.20. Variation in etch product mass intensities with total process pressure.
(Reproduced with permission of [50]).

The effect of pressure on product formation is shown in Fig. 6.20. Inde-


pendent characterizations of the plasma flux show that the ionized fraction
of the flux decreases rapidly from 0.5 mTorr to 2 mTorr and then remains
at low levels up to 5 mTorr. In contrast, the neutral fraction of the flux in-
creases with pressure and, above 2 mTorr, consists predominantly of Cb. As
6 Mass Spectrometric Characterization of Plasma Etching Processes 231

can be seen in the figure, initially the AsCl x products increase with pressure
to 1 mTorr. Above 1 mTorr all product species apart from AsCl 3 are seen
to decrease. At lower pressures there is simply insufficient neutral atomic
chlorine in the reactant flux at the substrate. At higher pressure, >2 mTorr,
there is increased collisionality in the plasma that enhances recombination
rates and markedly reduced the neutral atomic chlorine and all ion fluxes
to the substrate. This increased collisionality is also probably responsible for
the increase in detected AsCh at pressures greater than 2 mTorr. Thus, suffi-
ciently low pressures «2 mTorr) are required such that neutral CI and all ion
fluxes are maximized at the surface. The mass peak intensity of GaCl 2 tracks
the reduction in ionized fraction of the flux reaching the substrate, i.e., GaCh
shows a monotonic decrease with increasing pressure. Since GaCh dominates
the cracking pattern of GaCI 3 ) it appears that ion processes drive the forma-
tion of GaCI 3 .
In examining the variation in etch product mass peak intensity with in-
creasing ion energy, Fig. 6.21, three mechanistic regions of surface chemistry
are identified. The first, for ion energies < 50 eV, is largely thermal etching
via CI and perhaps with a small degree of ion-assist. The second region,
50 to 200eV, consists of a substantial increase in product formation with
ion energy. This large positive correlation between ion energy and product
formation indicates that ion-assisted processes are dominating the surface
chemistry. A third region, > 200 e V, shows a saturation or even a slight de-
crease in product formation. Examinations of the GaAs etch rate in this re-
actor showed a monotonic increase with ion energy from 0 to 400 e V. Consid-
ered together, these observations imply a transition from ion-assisted surface

Thermal: Ion-assist : Sputtering


210 5 ~~~~r-~~~-r~~~-.~~~~~~

100 200 300 400


Substrate Bias (negative volts)
Fig. 6.21. Variation in etch product mass intensities with substrate bias. The three
mechanistic regions of surface chemistry are discussed in the text. (Reproduced with
permission of [50]).
232 C.R. Eddy, Jr.

chemistry toward physical sputtering. The assignment of these mechanistic


regions is supported by damage assessment measurements in these works
[50-52].

6.3.4 II-VI Semiconductors

The application of mass spectrometry to the characterization of etching


processes for II-VI semiconductors has, in comparison to other semiconductor
families, been very sparse. These limited efforts have been directed primarily
at understanding methyl-based etching of mercury cadmium telluride in high
density ECR plasmas. There has been an additional recent work on ZnSe as
well.
The study of CH 4 /H 2 plasma chemistry in the etching of Hg1-xCd x Te
films was reported using a line-of-sight sampling technique and measuring
only the products of the reaction [54]. In this work H2 and Ar were intro-
duced into the ECR chamber and CH 4 , when used, was introduced into the
downstream chamber to reduce the fragmentation of the parent molecule into
polymer-forming precursors (CH, CH2). This also served to enhance the for-
mation of CH3, it was believed. In a CH4 /H 2 plasma, the products identified
were Hg, Te(CH3)z, Cd(CH 3)z, Cd(CH3 ) and TeH 2. The mass spectral range
was to 200 amu and, therefore, identification of dimethylmercury species was
not possible. When only H2 was used as a chemical etchant the products
identified were Hg and TeH 2. In this chemistry, there were no product peaks
associated with Cd, indicating that Cd removal requires a flux of CH3.
Later studies by the same group [55,56] centered more on characteriza-
tion of the plasma reactant species and the effect of nitrogen addition on the
makeup of the plasma. In this work, N 2, H 2, and Ar were introduced into the
ECR and CH 4 into the downstream chamber for the reasons discussed in the
preceding paragraph. They employed the same line-of-sight through the wall
sampling technique as before. The electron energy used in the ionizer was
reduced to 20eV, however, to reduce cracking of the gas phase species mon-
itored. Species monitored included polymer forming precursors (CH, CH 2,
C 2H 2 and C 2 H 4 ) and the products that result from the reactions of polymer
precursors and nitrogen (NH, HCN and C2H3N). By examining the differ-
ence spectrum for these species with nitrogen addition and without, Fig. 6.22,
they confirmed that nitrogen did react to consume the polymer precursors.
They also suggest that the addition of nitrogen will increase the concentra-
tion of CH3 species in the plasma, which would be beneficial to the etching
of HgCdTe. The only support offered for this suggestion is that the Hg mass
peak signal intensity during an etch with nitrogen addition remained high
and stable for long periods of time. Whereas, the same peak in non-nitrogen-
containing plasmas fell off dramatically with time, indicating a reduction in
etch rate. This reduction is the result of preferential removal of Hg and Te
species and the buildup of a less volatile Cd layer on the surface, which re-
6 Mass Spectrometric Characterization of Plasma Etching Processes 233

<" 2 NH3---HCN+-----:::-I.,..-;~--+--__I
o ... ! I I
I
b.,... I
1+--;----+1.---1.,;
1 Fig. 6.22. The difference

e
8
Ol---'-'--!----'
I ~--~h---~~~~
between the mass spectra for
CH 4 /H2 / Ar and CH 4 /H 2 /
~ x1l10 N 2/ Ar plasmas. Upon addition
I
1
o
i ·1 ---1I C 2 H4-1---+-----'---1
x10
of nitrogen, peaks due to NH 3 ,
HCN, and nitriles appear
I I
I

{3c:
.9
-2f----~~
iC2H~
r--
i
Difference:
i
'
with N2 - without N2
concurrently with a decrease
in the signal generated by
unsaturated hydrocarbons.
(Reproduced with permission
Mass (amu) of [56]).

quires CH 3 for removal. Thus, the addition of nitrogen likely leads to better
stoichiometry in the etched surface.
Other studies of CH 4 /H 2 / Ar etching of HgCdTe in an ECR employed
sampling through the substrate in an effort to identify the important surface
chemistry mechanisms involved in the etch process [57,58]. In these studies,
the effect of variations in the plasma flux (both ionized fraction and com-
position), ion energy and substrate temperature on the formation of etch
products was examined. The etch species identified with this sampling tech-
nique include Hg, TeH 2 , Te, Cd(CH 3), Te(CH 3), Cd(CH 3h, and Te(CH3h,
Fig. 6.23. The dominant etch products were Hg, TeH 2 , and Cd(CH3h. The ef-
fect of microwave power on etch product peak intensities is shown in Fig. 6.24.
As can be seen, Hg and TeH 2 species increase with microwave power and the

15
Cd(CH 3)
Te& TeH
~ 2
~
til

§10
0
U
'-' Hg
cas:::
bIl Cd(CH3)2 &
t;3
:::E 5 Te(CH)

~
~
U
Te(CH 3)2

OL.-..............L~c..:.:..--'-'--". . .~M.t'adll!ln...._UIII_. .~..L.....l

120 130 140 150 160 170 180 190 200


mlz (amu)
Fig. 6.23. Typical mass spectrum for etch products in the high density plasma
etching of HgCdTe with CH 4 /H 2 / Ar. (Reproduced with permission of [57]).
234 C.R. Eddy, Jr.

Hg
7

200 250 300 350 400


Coupled Microwave Power (Watts)
Fig. 6.24. Variation of HgCdTe etch product formation with coupled microwave
power to the ECR plasma source in a CH 4 /H2/Ar chemistry. Hg (triangles); TeH2
(diamonds); Te(CH3)z (circles); Cd(CH3) (squares). (Reproduced with permission
of [57]).

~ 30

~
U
25
'-'
ta 20
5h
en 15
:=E
Po. 10
U
5

o u-~~~~~~~~~~~-L~~~~-w
2 3 4 5
Total Pressure (mTorr)
Fig. 6.25. Variation of HgCdTe etch product formation with total process pres-
sure in an ECR CH 4 /H2 / Ar plasma chemistry. Hg (triangles); TeH2 (diamonds);
Te(CH3)z (circles); Cd(CH3) (squares). (Reproduced with permission of [57]).

metal alkyls [Te(CH3h and Cd(CH 3)] decrease slightly. Independent mass
flux characterizations in this system [59] showed that CH 3 and Ar dominated
the flux for 200-300 W microwave power and CHt and Ar+ dominated for
300-400 W. Thus, neutral methyl species are preferred for the formation of
metal alkyl products in HgCdTe etching. This requirement is also evident in
the effect of process pressure on etch product formation, Fig. 6.25. Indepen-
6 Mass Spectrometric Characterization of Plasma Etching Processes 235

8 Te(CH f--------,_- :::::8


...... _ . .32 ... -.··::::... :..:--_,.
. ./e· _. ___ --- _ -II

..... ......§ --- it=


:.....- --- Cd(CH 3)
~

o ~70~~75~~1~O~~~15~~~20~~~2~5~~3~O~
Methane Fraction (% by flow)
Fig. 6.26. Variation in HgCdTe etch product formation with methane flow fraction
in the input gas mixture in an ECR CH 4 /H2 / Ar plasma chemistry. Hg (triangles);
TeH 2 (diamonds): Te( CH;) h (circles); Cd( CH 3 ) (squares). (Reproduced with per-
mission of [57]).

dent flux characterization shows a rapid drop in CH3 with increasing pressure
and an increase in CH 4 , indicative of increased gas phase recombination. It
is noted that the rise in the Hg product peak is the result of thermodynamic
evolution from the surface and not sputtering as the ion fraction of the flux
rapidly drops with increasing pressure. The thermodynamic evolution is sim-
ply an attempt to present the equilibrium vapor pressure (1-5 mTorr under
these conditions) of Hg over the surface. The effect of increasing the CH 4
flow fraction in the gas input mixture also supports the need for CH 3 for
metal alkyl formation, Fig. 6.26. The more interesting effect here is the drop
in the Hg peak intensity. Over this same range of CH 4 addition, previous
studies [60,61] demonstrated that the HgCdTe etch rate increases monoton-
ically. These combined observations suggest a transition in the means of Hg
removal from the surface from thermodynamic Hg evolution to removal as
Hg(CH3h. Unfortunately, the mass spectrum studied in this work did not
extend to high enough masses to confirm this possibility. If it were true, how-
ever, the etch would likely leave a more stoichiometric surface. The effect of
ion energy was investigated to identify what ion energies were required for
ion-assisted chemical etching at the surface that would promote anisotropic,
higher rate etching, Fig. 6.27. Ion energies in the range up to 100eV were
consistent with the strong positive correlation between ion energy and etch
product formation. Energies higher than this resulted in a saturation or a
decrease in some products, signifying a transition to a physical sputtering
from an ion-assisted chemical etching. Finally, this study examined the effect
of substrate temperature (between 15 and 200°C) on product formation for
a range of ion energies. This resulted in the calculation of effective activation
236 C.R. Eddy, Jr.

12

,.- _ .. -
----.

o ~O~~~~5~O~~~~1700~~~~1750~~~~2700~
Substrate Bias (negative volts)
Fig. 6.27. Variation in HgCdTe etch product formation with substrate bias (effec-
tively ion energy) in an ECR CH4/H2/Ar plasma chemistry. Hg (triangles); TeH 2
(diamonds); Te(CH3h (circles); Cd(CH3 ) (squares). (Reproduced with permission
of [57]).

250 24

200 20
,.-...
Q)

"3
<.)
16
.~
~ tyj
0 150
.€
> 12 ~

ga-
Q)

S 100
tice 8
~
50
4

0 0
·50 0 50 100 150 200 250
Substrate Bias (negative volts)
Fig. 6.28. Variation in the effective activation energy for the formation of various
etch products as substrate bias (ion energy) is varied. Hg (triangles); TeH2 (dia-
monds); Te(CH3h (circles); Cd(CH3) (squares). (Reproduced with permission of
[57]).

energies for etch product formation as a function of ion energy, Fig. 6.28. As
can be seen, for all species but Te(CH3h, the effective activation energy drops
by a factor of 3-6 as ion energy is increased to 100 eV and then saturates for
higher energies. This again suggests an ion-assisted chemical etching region
(activation energy reduced with energetic ion application) for ion energies
less than 100 eV and sputtering for higher energies.
6 Mass Spectrometric Characterization of Plasma Etching Processes 237

The only mass spectrometric characterization of the ZnSe etching process


was also carried out in a CH 4 /H 2 / Ar ECR plasma [62]. This work was very
similar in its technique and examinations to the work described in the pre-
ceding paragraph. The etch products identified include Zn, Se, ZnH2, SeH2,
Zn(CH 3 )z and Se(CH 3 )z, Fig. 6.29. The behavior of these species as mi-
crowave power is increased, Fig. 6.30, is considerably different from the be-
havior described for HgCdTe in [57] and indicates that ionized precursors

2000

S
';;' 1500 ~ t;,
§ e
0 ~ ~

I
u
.,., e
G'
-
'-" S
1
~

1000
e
.....
\0 ~

t;:i ~
"
00 e
:::E
,::\.;
U 500 ~

0
60 65 70 75 80 85 90 95 100 105 110 115
m/z(amu)
Fig. 6.29. Typical mass spectrum for etch products in the high density plasma
etching of ZnSe with CH 4 /H 2 / Ar.

2500

,-.... 2000

~
2- 1500

1
00 1000

~ 500

o
150 200 250 300 350 400 450
Coupled Microwave Power (Watts)
Fig. 6.30. Variation of etch product formation with coupled microwave power to
the ECR high density plasma source in a CH 4 /H 2 / Ar chemistry. Zn (open squares);
ZnH 2 (filled squares); Se (open circles); SeH 2 (filled circles); Zn(CH3h (diamonds);
See CH3) (open triangles); See CH 3 h (filled triangles).
238 C. R. Eddy, J r.

(CRt and Ar+) are important to the etch process in ZnSe. This is also evi-
dent in the effect of pressure on etch product formation, Fig. 6.31, where the
product peak intensities fall off with increasing pressure as ions recombine.
In trying to determine the ion energy range for ion-assisted chemical etching,
Fig. 6.32, it is seen that all product species increase with ion energy up to

3000

2500
----
§'"
0
2000
U
'--'
Oil 1500
~
CZi
~ 1000
Po.
U
500
Se(CH)2 Zn(CH3)2
0
0 2 3 4 5 6
Total Pressure (rnTorr)
Fig. 6.31. Variation of etch product formation with total process pressure in an
ECR CH 4 /H2/ Ar chemistry. Zn (open squares); ZnH2 (filled squares); Se (open
circles); SeH2 (filled circles); Zn( CH3 h (diamonds); See CH 3 ) (open triangles);
See CH 3 h (filled triangles).

1.2 10 4

1 104
...
,-.,
en
s::::
~
0
8000
U
'--'
Oil 6000
~
CZi
~ 4000
~
U
2000

0
0 50 100 150 200 250 300 350 400
Substrate Bias (negative volts)
Fig. 6.32. Variation of etch product formation with substrate bias (effectively ion
energy) in an ECR CH 4 /H 2 / Ar chemistry. Zn (open squares); ZnH 2 (filled squares);
Se (open circles); SeH 2 (filled circles); Zn( CH 3 h (diamonds); See CH 3) (open trian-
gles); Se(CH3h (filled triangles).
6 Mass Spectrometric Characterization of Plasma Etching Processes 239

the end of the range investigated (300 eV). This suggests that the wider the
bandgap of the material, the higher the range of ion energies for ion-assisted
chemical etching when compared to the HgCdTe result and GaAs result or
the preceding section.

6.3.5 Metals and Perovskites


Mass spectrometry has been applied only sparingly to the characterization of
non-semiconductor etching processes. In the instances where it has been ap-
plied, the predominant materials to be etched were metals used as gate elec-
trodes and interconnects in silicon integrated circuits including aluminum,
tungsten, and copper. As etch processing of metal interconnect becomes a
more vital part of higher density silicon circuits, the application of mass
spectrometry will undoubtedly increase. This also holds true for perovskite
materials that are finding application in high speed electronic and sensor
devices. These technologically significant, multicomponent materials are no-
toriously difficult to etch process and major breakthroughs will require a
better understanding of the surface chemistry involved. The limited applica-
tions of mass spectrometry to etch process characterization of the perovskites
will be highlighted here.

6.3.5.1 Metals
Aluminum (AI) etching in chlorine-based chemistries has been characterized
in RIE and MERlE reactors using mass spectrometry [63,64]. In the RIE
process, the sampling was through the wall of the bulk plasma and was
concerned only with characterization of reactive species in BBr3/CI2 and
BCI 3/Clz chemistries [63]. There was difficulty in interpreting the mass spec-
tra as a result of the reactivity and marginal vapor pressures of the products
and the transit times involved in getting species from the plasma to the mass
spectrometer. The species detected and monitored include CI+, HCI+, HBr+,
BOxH, BCh and fragments. There were no mass peaks associated with BBr3
fragments. This absence was attributed to either better dissociation of the
species by the plasma (less stable molecule) or the opposite result that the
species combined to make even larger BxBry species that were outside the
investigated mass spectral range. Oxygen-containing species resulted from re-
action with either residual water vapor in the chamber (also detected in the
mass spectra) or Alz0 3 films on the substrate. In a higher density MERlE
reactor the BCh / Clz chemistry was also investigated and the effect of in-
tentional O 2 introduction was examined [64]. In this study, the line-of-sight
sampling technique permitted detection of etch products (AICl x ) together
with reactants (BCh, Clz, O 2 and fragments of these parent molecules) and
gas phase products (BxO y). They found that for O 2 additions less than 3%,
there was an enhancement in the Cl concentration as a result of oxidation of
the BCh to BOxCl y and then BxO y . This increase in [CI] increased the Al
240 C.R. Eddy, Jr.

etch rate. For O 2 additions greater than 6%, substantial BxOy was formed in
the gas phase and began to deposit out on the surface reducing the Al etch
rate. Thus for O 2 additions greater than 6%, there is a competition between
CI etching and BxOy deposition.
The etching of tungsten (W) metal has received attention because of
the metal's application in both gate contacts and as the absorber layer for
x-ray lithography masks. Several studies have looked at the etching of W
in SF 6 based chemistries using an RIE reactor and line-of-sight sampling
through the grounded electrode [65-67]. In these studies, a wide range of
reactants is identified including SOxF y, F, N, NSF, and SxF y. The dominant
products resulting from the etching of tungsten are identified as either WF6
(through the appearance ofWFt) or WOF 4 (WOFt) depending upon plasma
chemistry and cathode material [66]. Significantly smaller etch product peaks
of WSFt and W0 2 Ft have also been detected [66]. The effect of O 2 addition
and cathode material (either Al or Si) was the subject of one study. As is
summarized in Fig. 6.33, etching on an aluminum cathode results in WF6
being the dominant product throughout the O 2 addition range (0-90%). On
a silicon cathode, however, WF6 was the dominant product only for SF 6-
rich gas mixtures (0 2 <40%) while WOF 4 was the dominant product for

-.
4 2
<-s

:1 0"",
;@
.'. I \
\
2

~~
oL ... -~-H~-'
o 10 20 30 40 SO 60 70 8090 100
/"
09-r-..
o W
~.-.---
20 ~ 40 ~
. . . ~. . . .
60 W 80 90 ~

Percent Oz in SFrJ<h Percent <h in SFrJ<h

a - aluminum cilthode b - silicon cathode

Fig. 6.33. Intensities of WFt (WF 6) and WOFt (WOF 4 ) detected in SF6/02 rf
plasmas during tungsten etching. (Reproduced with permission of [66]).
6 Mass Spectrometric Characterization of Plasma Etching Processes 241

02-rich mixtures. This behavior is indicative of the competitive role of 0


and F during the etch. A later study by the same group [67] concentrated
on the chemistry of the initial portion of the etch when the native oxide
of tungsten, W0 3, is removed. Again, there are differences in the dominant
product for pure SF 6 and 02-rich SF 6/0 2 (40/60) chemistries as depicted in
Figs. 6.34 and 6.35. As can be seen in the figures, in pure SF 6 chemistries
\VF 6 is the dominant etch product throughout the native oxide etch and
when the etching of tungsten becomes steady state. However, when the O 2 -
rich SF 6/0 2 chemistry is employed we see that WF6 is the dominant tungsten
product during the native oxide etch and then WOF 4 becomes the dominant
tungsten product during the steady state tungsten etching. Supporting data
from x-ray photoelectron spectroscopy showed that in the first 5 s of exposure
to the plasma, the W0 3 native oxide was converted to WOxF y and then
etched as WF6 and SOxFy products. An additional study by this group [65]
investigated the effect of N2 additions to SF6 chemistry on the etching of
tungsten on a Si0 2 cathode. They found that WF6 was the only product and
that N2 addition served to raise the bias level on the substrate, creating more
o from Si0 2 etching which, in turn, enhanced the level of F in the plasma
and the etch rate of tungsten.
The etching of copper (eu) in Ch/CF 4 chemistries was studied in an RIE
reactor using line-of-sight sampling through the wall of the bulk plasma [71].
Due to the low volatility of copper chloride products the sample was held at
the elevated temperature of 250°C. The primary product detected for pure
Cl 2 chemistry was CuCl and CuCI 2, Fig. 6.36, which was not surprising as
CU3Ch is the expected product. When CF 4 was added to the gas mixture
the chloride products were still present but lower at peak intensities and
fluoride (CuF 2 ) and mixed chloride/fluoride (CuClF) products were detected,
Fig. 6.37.
Molybdenum (Mo) etching in the SF 6/0 2 chemistry of an RIE has also
been examined [69]. The only detected product in this study was MoOxFt
species and it was presumed that the absence of a MoO x product was the
result of its high reactivity with the walls of the chamber and mass spectrom-
eter.
The sputter etching of yttrium barium copper oxide (YBCO) using an
argon ion beam at energies of 50-2000eV has been examined by sputtered
neutral mass spectrometry in an effort to develop an endpoint detection tech-
nique [70]. In this technique, neutrals, and to a much lower degree ions, are
sputtered off the sample surface by the ion beam. The sputtered neutrals are
post-ionized by electron impact with hot plasma electrons (Te r-v 10eV) and
detected by the quadrupole mass spectrometer. In this way, the sputtering
and ionization processes are decoupled. The probability for post-ionization
is similar for all elements and, therefore, all species, including noble met-
als, can be monitored with similar sensitivity. Using this technique platinum
(Pt), yttrium (Y), barium (Ba), strontium (Sr), and titanium (Ti) species
242 C.R. Eddy, Jr.

0.25 2

oxide elimination
WF+ -J>

~
0.2
•• 5 1.5
•,
f
~
0.15
~ V ~

.....
~

g\..
~

0.1
<l-
~
7 L"P F/Ar 0.5
0.05

0 0
0 20 40 60 80 100
Etching time (s)
Fig. 6.34. Evolution of F / Ar, WFt, and WOFt with time during the etching of
tungsten in pure SF 6 . (Reproduced with permission of [67]).

0.3 2.5

0.25

0.2
oxide elimination
,~
•• ,
60.;:
:'
2

~ 0.15 ...cr---------r>t- .... --i>


~

WF+
0.1 5

0.5
0.05

0 ~~~~~~~~~~~~~~~ 0
0 50 100 150 200 250
Etching time (s)
Fig. 6.35. Evolution of F / Ar, WFt, and WOFt with time during the etching of
tungsten in SF 6 /0 2 (40/60) plasmas. (Reproduced with permission of [67]).

were monitored to determine the endpoint of the etching of a YECO film on


a strontium titanate substrate. The detected endpoint was very sharp with
a full drop over only 20 s.
6 Mass Spectrometric Characterization of Plasma Etching Processes 243

10r-------------------------------------.
N"'
6
~
8
~
\WhCuWller
vWhout WIler I
~
In
jj
.s: 6

Ie
ien
3lra
:::2:
4

2
!:'
"' "

,
'.
j\
I"~; t\
0
95 100 105 110 115 120 125 130 135 140 145 150
Mass Number
Fig. 6.36. Mass spectrometer signal of reaction products of copper etching in a Cb
RIE discharge. (Reproduced with permission of [68]).

25
N"' CuWller, 02 + CF4
6 Cu V\Bfer, 02
~
20 ~ AI WIler, 02 + CF4
~
C ) OF,
~ 15
Qj
11
i
10

en
3l 5
'.1cuaF
~
~. "'0;
/\
.1 lJ,,:
:'" .
,~; A.A
0
95 100 105 110 115 120 125 130 135 140 145 150
Mass Number
Fig. 6.37. Mass spectrometer signal of reaction products of copper etching in a
Cb/CF 4 RIE discharge. (Reproduced with permission of [68]).

Mass spectrometry was applied to another perovskite, lead zirconium ti-


tanante (PZT), in an ECR plasma chemistry of CF 4 /C1 2 in order to un-
derstand the products of the etch and the effect of etch chemistry on their
formation [71]. The dominant products for the less volatile film constituents
were TiF x, ZrF x, and PbCl x ' The sum of these monitored peaks tracked the
PZT etch rate quite well and could be used for monitoring the etch rate of
the material. The addition of Cb to the CF 4 chemistry was seen to increase
the PbCl x mass peak for Cb additions up to 20% with the peak intensity re-
maining at a stable level for higher C1 2 additions. For this same 20% addition,
the fluoride peaks of Ti and Zr were seen to drop dramatically.
244 C.R. Eddy, Jr.

6.3.6 Issues in Application and Interpretation

As evidenced in the studies just reviewed, there are a wide range of mass
spectrometry applications to the characterization and monitoring of plasma
etch processes which result from a convolution of sampling methods and mass
spectrometry techniques. The appropriateness of each combination of sam-
pling method and mass spectrometric technique must be judged for each ap-
plication. In this section, the observed methods and techniques will be revis-
ited in terms of application appropriateness and the effectiveness of sampling
methods will be discussed.
The mass spectrometric techniques most commonly applied include
straightforward quadrupole mass spectrometry (QMS), QMS with energy
analysis (QMS-EA), threshold ionization mass spectrometry (TI-MS), single
photon ionization mass spectrometry (SPI-MS), time of flight mass spectrom-
etry (TOF-MS) and a combination of the last two, single photon ionization-
time of flight mass spectrometry (SPI -TO FMS). Analysis of the kinetic en-
ergy of detected species can be accomplished with either QMS-EA or TOF-
MS. Either technique requires careful design of ion optics when measuring
the energy of ionic species. This can be a considerable task. The internal
energy, or potential energy, of a detected species can be analyzed, with much
effort, through selective ionization techniques such as TI-MS or SPI-MS or
even multiple photon ionization mass spectrometry (MPI-MS). By carefully
selecting the wavelengths of incident photons, the appropriate energy (or
range of energies in determining an internal energy distribution) can be ap-
plied to the detected specie to ionize it without dissociating it. Generally, the
more detailed the information required about the detected species, the more
sophisticated the technique and the less ambiguous the result. Most of these
techniques can be applied through modification, or addition, of capabilities
to a standard quadrupole mass spectrometer.
Sampling methods affect different aspects of the mass spectrometry process,
namely what species are detected and how rapidly the mass spectrometer
signal responds to changes in the concentration of the species of interest.
In plasma etching, both of these aspects have a high priority in detection
of etch product species. The methods observed in the review portion of the
chapter will be discussed with respect to these aspects below. All discussions
assume application of the method under process conditions encountered in
commercial etch processing equipment, both conventional and high density.
Remote sampling through the chamber wall out of line-of-sight of the
etching sample or in the exhaust line of the chamber is often used because
of its ease of application. It is the least intrusive of any of the techniques,
which makes it attractive in production applications. There are at least a
couple of concerns with respect to this method including origin of the de-
tected product species and the response time for monitoring applications.
Because of the sampling path to the substrate, the product species detected
are clearly the result of gas phase chemistry and in only a limited manner can
6 Mass Spectrometric Characterization of Plasma Etching Processes 245

be related to the products that evolve from the surface. Similar concerns are
encountered when trying to characterize the plasma flux to the substrate and
identify dominant reactant species. Clearly such techniques are not appropri-
ate for studies of surface chemistry. The response time is also very poor in
such techniques as a result of the sampling path between the substrate and
detector.
Sampling through the wall of the chamber with a line-of-sight to the
bulk plasma is the most common method applied in the studies reviewed in
this chapter. This method is as nonintrusive as the remote sampling method.
Reactant species in the bulk plasma can be well characterized using this sam-
pling method. However, product species still undergo considerable gas phase
chemistry before being sampled. For this reason, studies that employ such
techniques generally claim that "detected product species cannot directly
be identified as the primary etch products evolving from the surface". The
response time of this method is slightly better than remote sampling.
Sampling through the wall of the chamber with a line-of-sight to the
etching sample and the bulk plasma between the sample and the mass spec-
trometer aperture is only slightly better. This includes sampling through the
ground electrode of an RIE reactor. This technique can be more intrusive if
efforts arc made to make it more surface-sensitive by employing a "sniffer"
tube. In this effort, the aperture is moved closer to the substrate by an exten-
sion tube that extends from the wall of the chamber to a specified distance
from the etching sample. This tube can be constructed of a metal or insulator,
but in either case its presence can affect the plasma density and chemistry.
\Vith a "sniffer" tube, the technique is presumed to have improved response
times and detected species can be more indicative of surface chemistry during
etching. Nevertheless, some plasma chemistry will be involved. In the absence
of a "sniffer" tube, the technique has a response time that is no better than
sampling through the wall without a line-of-sight to the substrate. The same
can be said for the origin of species detected, as is demonstrated in Fig. 6.38.
In this figure, three product spectra for C1 2 -based etching of GaAs are shown
with characteristic peaks of AsCl x species identified. One is for sampling with
a line-of-sight of the substrate, where the substrate has a line-of-sight to both
the plasma flux and the sampling aperture. Another is for non-line-of-sight
sampling, where the sample is still exposed to the plasma flux, but is not in
the line-of-sight of the aperture. The last spectrum is for the sample not in
the line-of-sight of either the plasma flux or the aperture. As can be seen,
the spectra when the sample is exposed to the plasma flux are no different
whether the sample is "line-of-sight" to the aperture or not. Thus, product
species detected with this method are only the result of plasma chemistry and
cannot be used for surface chemistry studies. Further, their response times
are no better than bulk plasma monitoring.
Sampling through the substrate, and the powered electrode to which it is
mounted, has promise for addressing the deficiencies of the preceding meth-
246 C.R. Eddy, Jr.

....

II~' 1- I
£- I1-'"
120

/ II
,'f
Position1, Std., Bias
100 Position 2, Std .. Bias ~
,..-..
..... JI - - potion 3, Std. Bias

1""-
rIl
s::
::s
0
JL , t. ",-_.j) vI..-
U 80
'-'
'ii
....51
CI)
60
I
::;E
~
U

40

20

100 110 120 130 140 150 160 170 180 190
m/z (amu)
Fig. 6.38. Comparison of etch product mass spectra in the etching of GaAs in a
Cb/ Ar ECR discharge with remote, through the wall sampling. The top spectrum
is with the sample line-of-sight to both the plasma and the mass spectrometer
aperture. The middle spectrum is with the sample line-of-sight to the plasma, but
not the aperture. The bottom spectrum is with the sample out of the line-of-sight
of both the plasma and aperture.

ods. The method is relatively noninvasive, although altering the geometry and
conductivity of materials used in the electrode construction has been shown
to effect the geometry and potentials in the plasma sheath [72]. This is a
problem that can be minimized through engineering techniques [73]. A fur-
ther consideration in the degree of invasiveness is the need to sample through
or immediately adjacent to the substrate. Again, this is a problem that can be
solved through electrode design and engineering. The benefits of the method
are considerable when the sampling needs to be very surface sensitive, when
reactants arriving at the semiconductor need to be well characterized, and
when the response time for endpoint detection must be minimal. The sur-
face sensitivity of the method is demonstrated in Fig. 6.39. In this figure,
product spectra for the Cb-based etching of GaAs are presented with the
substrate mounted adjacent to the sampling aperture and with the substrate
mounted on the periphery of the etching electrode (some 6 cm away). As can
be seen in the figure, the product species fall off considerably as the sample is
moved only 6 cm away from the aperture. This result demonstrates not only
6 Mass Spectrometric Characterization of Plasma Etching Processes 247

1.6 10'
-100 V bias
._....... @ center
- - periphery

100 110 120 130 140 150 160 170 180 190
mlz(amu)
Fig. 6.39. Comparison of etch product mass spectra in the etching of GaAs in a
Cb/ Ar ECR discharge with local, through the substrate sampling. The top spec-
trum is with the sample placed adjacent to the sampling aperture. The bottom
spectrum is with the sample placed on the periphery of the electrode, 6 cm away
from the aperture.

the surface-sensitive nature of the method, but also how limited it would
be in measuring gas-phase chemistry involving etch products. Clearly this
is the best sampling method for studying the surface chemistry mechanisms
involved in etching processes. The time response of the technique is also su-
perior to any of the other sampling techniques, a direct result of its surface
sensitivity. This is demonstrated in Fig. 6.40, where etch product detection
stops within 1 s of the end of etching for through-the-substrate sampling.
As can also be seen in the figure, the signal-to-noise ratios are considerably
higher in the through-the-substrate sampling. This has major advantages
when processing multilayer semiconductor devices, such as heterojunction
devices or superlattice devices. Such short response times can allow etching
to be stopped very accurately, leaving much more of the thinner etch stop
layer intact.
Again, which sampling method to employ is largely dictated by the ap-
plication and answering the question "what is the etch process goal?". If the
goal is merely to monitor processing reactors for run- to-run stability, cer-
tainly the remote sampling method is easiest to implement and the least
invasive. However, if in situ process monitoring is desired, line-of-sight bulk
plasma sampling or through-the-substrate sampling should be employed. If
the endpoint needs to be sharp, through-the-substrate sampling should be
used. Finally, if the fundamentals of the etching mechanism are the objec-
tive of the study or investigation, through-the-sample sampling should be
248 C.R. Eddy, Jr.

~
c:
::::J
.c
~
>-
I-
enz
w
I-
~
...J
«
z
<!>
en
""
~~
through platen
r:~
50 55 60 65 70 75
TIME (seconds)

Fig. 6.40. Endpoint monitoring of GaAs etching in an ECR Chi Ar plasma us-
ing the AsCI! mass peak. Shown are the mass peaks detected with through-the-
substrate sampling and line-of-sight (L-O-S) through the wall sampling. Endpoint
detection in <1 s for through-the-sample and signal/noise ratios are much higher.

employed. This will provide a better measure of both the product species
evolving from the surface and the reactant species that reach the substrate.

6.4 Summary and Future Directions

Mass spectrometry has become a well applied diagnostic technique for the
characterization and monitoring of plasma etching processes. In many appli-
cations it is the simplest method for unambiguous monitoring of the process
and it can often be applied in a non- or limited-invasive manner. It has
been applied in fundamental studies as the primary detection tool for prod-
uct and reactant species in ultra-high vacuum surface science experiments.
The majority of such studies have concentrated on the etching of materials
common in silicon integrated circuits, with fewer studies on compound semi-
conductors. There have been a much larger number of studies where mass
spectrometry is employed to characterize and monitor etching processes in
commercial reactors, both conventional RIE and high density plasma reac-
tors. These studies have also been concentrated on the etching of materials
associated with silicon integrated circuits, but there have been a growing
number of studies in the etching of III-V and II--VI compound semiconduc-
tors and metals and perovskite materials. In addition to the identification of
reactants and dominant products, in select applications these efforts have also
resulted in identification of etch mechanisms. Issues in the application and
interpretation of mass spectrometry characterizations of etching processes
6 Mass Spectrometric Characterization of Plasma Etching Processes 249

in commercial reactors are also discussed. Various mass spectrometric tech-


niques and sampling methods are evaluated in terms of their appropriateness
in fundamental studies and in process monitoring.
The development of improved mass spectrometric techniques is certain to
continue when difficult characterization needs arise. Nearly all of the possible
sampling techniques have been addressed to date, although their characteri-
zation in terms of origin of detected species and performance in monitoring
applications could benefit from additional efforts. The single largest area
for future work is the application of mass spectrometric techniques to the
fundamental characterization and process level monitoring of plasma etch
processes for advanced, complex materials. These materials are the basis for
a wide range of new devices and technologies and, generally, are difficult to
etch process. Such materials include wide bandgap semiconductors such as
silicon carbide, gallium nitride, aluminum nitride, indium nitride, and dia-
mond, as well as complex oxides. These techniques may also prove useful in
the characterization of plasma-aided surface passivation and plasma source
ion-implantation processes. vVider application requires that the understand-
ing developed to date, and summarized here, be refined and further developed
into inexpensive yet exacting characterization tools that are easy to imple-
ment.

Acknowledgements. The author would like to express sincere appreciation


to the many researchers who agreed to allow reproduction of their work in
the figures of this chapter. In particular he thanks Drs. Curdinaud, Mar-
bert and Maberer. He also wishes to acknowledge the many efforts of his
collaborators at the U.S. Naval Research Laboratory (D. Leonhardt, V.A.
Shamamian and J.E. Butler) for their efforts in the unpublished work pre-
sented here in portions of the III-V, II -VI, and Issues sections. Finally, the
author acknowledges the support of the Office of Naval Research in the NRL
efforts.
t-:>
Table 6.1. Summary of mass spectrometric characterizations of IV-IV semiconductor etching 01
o
Material Chemistry Etch Sampling technique Species monitored Key findings/ Other notes Ref
reactor
()
Si SFa ECR Through sample (Si grid) SiFt(dom), SiFt, SiF Unsaturated SiF x correlated to perfect [21]
anisotropy ~
Si CF,/H2 RlE Immersion, threshold ionization CF3, CF2 Flux char., reactant spatial distribution, [18]
H2 addition increases CF2 ~
0..
Si CF, RlE Immersion, threshold ionization CF3, CF2 Absolute number density, spatial distribution [17] ~
Poly-Si HCI RIE Remote, non L-O-S SiClx (x = 1-3) Endpoint only, poor response [32]
'-<
Si C I2 Dnstrm L-O-S through wall (quad axis HCI, CI, C12, Thorough report of measurement technique, [35]
perp. and parallel to beam) SiClx (x = 1-3) SiCI, & SiCI2 (dom)
Si CI2 Thermal Single photon ionization time- SiCI, SiC!, Species selective ionization, 1023-1373 K:, [36] "
UHV of-flight MS (SPI-TOFMS) SiCI, SiCI2 (dom)
Si CI2 MERlE, L-O-S through substrate electrode CI+, Cli, no products CIt dominant ion for P < 50mTorr, [31]
RIE CI+ for P > 50 mTorr
Si C!, ECR SiCI Monitor application only [33]
Si C12/0 2 ECR Downstream 66 cm SiCI (dom) Species correlated to Si etch rate, [34]
induction & endpoint monitor
Si, Ge CF,/02 RIE L-O-S through ground electrode SiFt, GeFt Si etch = f(surface composition), [19]
Ge etch = f(F flux alone)
Si, Ge SFa/0 2 RIE Ge selective to Si for 02% >50, [20]
vice-versa for O 2 % <50.
SiC SFa/02, RIE Through wall, bulk plasma SiF" SiFa , COF2, CO, Silicon product: SiF" carbon product: [37]
F2(5%)/Ar!Oz CF, CF, (no 02), COF2, CO (W/02)
Si02 CF,/H2 ECR Through wall, L-O-S cFt, COFt, CO, SiFt CFt most abundant ion, [22]
poor endpoint response
Si02 CF ,/C2 R, RIE Through wall, not L-O-S SiFx , COFx , CxFy SiFt best monitor peak, [25]
< 1 min. endpoint, > 1 cm 2 to detect
Si02 CHFa RIE Through wall, not L-O-S CxF y , SiFt Detected ions up to CaF x, neutrals up [26]
to CaFx, C2F, & C2FS related to gas
phase polymerization
Si02 CF,/H2 ECR Immersion, appearance MS CF x, C2F x, C,F x, HF, Effect of H2 addition, F: etchant, CF2, CF, [23,24]
C,F 8 /H 2 F C,F2: polymer formers
Si02 CHFa/02 RIE Through wall SiFt, SiF2, SiF, COF x Endpoint with SiFt, minimum detectable [27]
area 40mm 2
Si02/ CHFa /H2 ECR L-O-S CxFy [28]
Si3 N, Cx F y/H 2
SiaN, CF,/02/N2 Dnstrm Remote, through the wall F, NO Etch rate correlated to NO, small N 2 addition [30]
increases etch rate, NO promotes etching
Si3 N, CF,/02 RIE Immersion above wafer, not L-O-S F2, SiFa, CF31 N2, N, Key reactants: F, CF21 key products: [29]
C2F 3 SiF" N2, NO, CN, endpoint

Key: L-O-S: line of sight: (dom): dominant species; Dnstrm: downstream.


Table 6.2. Summary of mass spectrometric characterizations of III-V semiconductor etching
Ol
Material Chemistry Etch Sampling technique Species monitored Key findings/ Other notes Ref
reactor
~
~
InP CH4/H2 RIE Through grounded electrode, In(CH 3 )2, PH 3 , CH3 (TI),PH 3 primary P product - ion energy driven, [38J rJl
rJl
bulk plasma C 2 Ht In(CH3ls primary In product, CH 3 flux r:n
driven "0
('t)
InP CH4/H2 RIE Through grounded electrode, In(CH3)t, In+, PH3, H2PCH3, Neutral reactants up to C3H8 in size, ionized [39J ('")
~
bulk plasma CxHy reactants up to C7H13
InP CH4/H2 RIE Through grounded electrode, PH 3 , CH 3 (TI) Indium removal well correlated to [CH4J, [40J
"'0
bulk plasma surface P depleted
S
('t)

InP CH4/H2 RIE Through grounded electrode, PH3+ Monitored vs. time [41J
bulk plasma is·
"'"'"
InP, CH4/H2/Ar ECR L-O-S, 73° relative to sample Ht (x = 1-3), CHt, CHt, Effect of Ar addition: CH3 increase, [42J Q
GaAs normal, 6 em away PH x , As, AsHx , As(CH 3 )x, Ga, H decrease (ArH+ formation), etch rate
:::r
~
Ga(CH3)2, In(CH3h (1.00), increase l increase in C-rich Res, increase in ~
"'
('")
In (0.50), In(CH3) (0.30), In+ relative to In(CH 3)t ~
('t)
In(CH3h (0.001)
GaAs CH4/H2 Ion L-O-S, SIMS CHt, C2Ht, Ga(dom), Competition between etch and polymer dep. [43J N·
"'
~
beam Ga(CH3)x (x = 1, 2) «13% CH4 - etch)
GaAs, CC13F/02 RIE Remote through wall O 2 , COCI, CCI 2F Effect of O 2 addition, COCI forms in mass [44J "'o·"
~
InP spec., 0, CI competition at surface, F not
0
....,
important
GaAs/ CCI2F2 RIE Remote through wall CClxFy up to CChF, HF, CI, No GaCI detected (low volatility) [47J '"0
AIGaAs HCI, CF3, Cb, AsCI, AsF2, [
AsFCI, AsCh
InP ECR Remote through wall Endpoint and induction period monitoring, [45J
S
~
CI2/Ar PClt
poor endpoint (90s) trl
InP, CI2/Ar ECR Remote through wall PClt, AsClt Induction time as f(ion energy), endpoint [46J ('")
"'"
GaAs with AsClt (17 s)
:::r

GaAs CI2/Ar ECR Remote through the wall AsClt Endpoint and induction monitoring [48J ()q

GaAs CI2/Ar ECR Remote through wall AsClx (x = 0-3), C12, CI No Ga peaks, AsClt correlated to etch rate, [49J '"0
effects of O 2 & N2 addition 0
"'
('")
GaAs CldAr ECR Through substrate AsClx (x = 1-3), GaCI2 Direct measurement of ion-assisted processes [50J ('t)
rJl
with temp. control, 3 mechanisms vs. ion rJl
('t)
energy rJl
GaAs CI2/Ar ECR Through substrate AsCl x (x = 1-3), GaCI2 3 mechanisms vs. ion energy, supported by [51,52J
surface damage measurements
~
Key: L-O-S: line of sight: (dom): dominant species; TI: threshold ionization employed CJ1
f-'
I\:)
Table 6.3. Summary of mass spectrometric characterizations of II-VI semiconductor etching 01
I\:)

Material Chemistry Etch Sampling Species monitored Key findings/ Other notes Ref
reactor technique
0
Hgl-xCdxTe CH4/H2 & H2 ECR L-O-S Hg, Te(CHah, Cd(CHah, Cd(CHg), TeH2 Introduction of CH4 in downstream reduces [54] ~
through polymer deposition, Cd removal requires t:rl
wall CHao P.
Hgl_xCdx Te CH4/H2/N2 ECR L-O-S CH,CH2,C2H2,C2H4,NH,HCN,C2HgN Reactants only, N2 addition reduces polymer [55] P.
through formers (CH, CH2, C2H2 & C2H4) by form-
::::
"-<
wall ing NH, HCN, C2HaN.
Hg1-xCdxTe CH4/H2/N2/Ar ECR L-O-S CH, CH 2 , C 2H 2 , C 2H 4 , NH, HCN, C 2HgN, N2 addition induces stable etch rate based [56] "
through Hg on Hg peak intensity with time (as opposed
wall to falling etch rate)
Hg1_xCdxTe CH4/H2/Ar ECR Through Hg (dom), TeH2 (dom), Te, Cd(CHa), Effects of plasma flux, ion energy, and [57,58]
substrate Te(CHa), Cd(CHa)2 (dom), Te(CHah T, variations on product formation, surface
chemistry mechanisms
ZnSe CH4/H2/Ar ECR Through Zn, Se, ZnH2, SeH2, Zn(CHah, Se(CHgh Effects of plasma flux, ion energy, and [62]
substrate T, variations on product formation, surface
chemistry mechanisms

Key: L-O-S: line of sight; (dom): dominant


(7)

~
ill
[fJ
[fJ

en
'0
C1l
(")
M-
...,
Table 6.4. Summary of mass spectrometric characterizations of metal and perovskite etching o
S
C1l
Material Chemistry Etch re- Sampling technique Species monitored Key fiudings/ Other notes Ref c+
...,
actor (S'

Al BBr3/CI2; BCIJ/Cb RIE Remote through wall CI+, HCI+, HBr+, H 2 O, Difficult interpretation, no BBrx fragments [63]
o:or
BOH, B0 2 H. BCh, BCl 3 detected 2;
Al MERIE L-O-S through wall [64] ill
BCla/Cb/02 BCI 2 , C1 2 , O 2 & fragments, <3% O 2 enhances [CI] and etch rate, >6% (")
c+
AICl x , BxOy creates BxOy & etch and deposition compe- ...,("!)
tition N'
W SF 6 /N 2 RIE Through ground electrode SF x . F, N, NSF, SOF x N2 enhances bias, creates more 0 from Si0 2 [65]
~
(x = 02), SiFt, WFt carrier etching, 0 increases F and etch rate o·
(no WOF 4 ) ::l
W SF 6 /0 2 RIE Through ground electrode SOF 4 , S02F2, S02, SF 4 , Combined O 2 and cathode material (AI,Si) [66] o
,..,
SF2, S2F2, S2F, s, WFt, effects on dominant etch product '"j
WOFt, SiF 4 , WSFj, 5i"
[fJ
W02Fi S
W SF6/ 0 2 RIE Through ground electrode " Attention to chemistry of W0 3 native oxide [67] ill
removal t:rI
c+
Cu Cb/CF 4; CI2/SF 6 RIE L-O-S through wall, CuCI. CuCI 2 , CuF 2 , T, = 250°C, in pure Cl 2 product is CuCl x , [68]
bulk plasma CuCIF+ with CF 4 get fluoride and chloride products, 2:
::l
SFa no etch ()q
Mo SF 6 /0 2 RIE MoOxF~ MoO x not detected ~ too reactive with walls, [69]
ionized products only
...,'"1j
o
YBCO Ar Ion Sputtered neutral MS, Pt, Y, [la, Sr, Ti Cu, 0 not monitored, but present, sharp end- [70] ~
beam L-O-S point (20 s) if,
[f1

ECR TiFx, ZrFx' PbCl x Sum of monitored peaks tracks etch rate, [71] C1l
PbZrTi0 3 CF 4 /Ch [fJ
PbCl x increase up to 20% Cl 2 addition t.hen
flat, TiF x & ZrF x drop rapidly with Cb
~
Key: L-O-S: line of sight c.n
w
254 C.R. Eddy, Jr.

References

1. S.J. Pearton, and R.J. Shul in Gallium Nitride I, (eds. J. Pankove, and T.D.
Moustakas), Semiconductor and Semimetals Series, Vol. 50, (Academic Press,
New York, NY, 1998) pp. 103-126.
2. M.J. Vasile, and H.F. Dylla in Plasma Diagnostics, Vol. 1, Discharge Para-
meters and Chemistry, (eds. O. Auciello, and D.L. Flamm), (Academic Press,
New York, NY, 1989) pp. 185-237.
3. D.M. Manos, and H.F. Dylla in Plasma Etching: An Introduction, (eds. D.M.
Manos, and D.L. Flamm), (Academic Press, New York, NY, 1989), pp. 305-312.
4. H.W. Drawin in Plasma Diagnostics, (ed. W. Lochte-Holgreven), (North-
Holland, Amsterdam, 1968) pp. 777-841.
5. D.L. Flamm, and V.M. Donnelly, Plasma Chern. and Plasma Proc. 1, 317
(1981).
6. F.A. Houle, J. App!. Phys. 60, 3018 (1986).
7. H.F. Winters, and I.e. Plumb, J. Vac. Sci. Techno!. B 9, 197 (1991).
8. M.J.M. Vugts, L.J.F. Hermans, and H.C.W. Beijerinck, J. Vac. Sci. Techno!.
A 14, 2820 (1996).
9. M.-C. Chuang, and J.W. Coburn, J. Vac. Sci. Techno!. A8, 1969 (1990).
10. F.H.M. Sanders, A.W. Kolfschoten, J. Dieleman, R.A. Haring, A. Haring, and
A.E. de Vries, J. Vac. Sci. Technol A 2, 487 (1984).
11. K Suzuki, and S. Hiraoka, J. App!. Phys. 77,6624 (1995).
12. J.W. Coburn, J. Vac. Sci. Techno!. B 12, 1384 (1994).
13. J.W. Coburn, and H.F. Winters, J. Vac. Sci. Techno!. 18, 825 (1981).
14. R.A. Rossen, and H.H. Sawin, J. Vac. Sci. Techno!. A 5, 1595 (1987).
15. e. Su, H. Hou, G.H. Lee, Z.-G. Dai, W. Luo, M.F. Vernon, and B.E. Bent,
J. Vac. Sci. Techno!. B 11,1222 (1993).
16. N. Furuhata, H. Miyamoto, A. Okamoto, and K Ohata, J. App!. Phys. 65, 168
(1989).
17. Y. Hikosaka, H. Toyoda, and H. Sugai, Jpn. J. App!. Phys. 32, Part 2, L353
(1993) .
18. Y. Hikosaka, H. Toyoda, and H. Sugai, Jpn. J. App!. Phys. 32, Part 2, L690
(1993).
19. A. Campo, Ch. Cardinaud, and G. Turban, Plasma Sources Sci. Techno!. 4,
398 (1995).
20. A. Campo, Ch. Cardinaud, and G. Turban, J. Vac. Sci. Techno!. B 13, 235
(1995) .
21. B. Petit, and J. Pelletier, Jpn. J. App!. Phys. 26, 825 (1987).
22. A. Wan, J. Liu, and H.H. Lamb, J. Vac. Sci. Techno!. A 13, 2035 (1995).
23. H.-H. Do, J.-H. Kim, S.-H. Lee, and K-W. Whang, J. Vac. Sci. Techno!. A 14,
2827 (1996).
24. H.-H. Do, J.-H. Kim, K-W. Whang, and S.-H. Lee, J. Vac. Sci. Techno!. A 14,
1088 (1996).
25. M. Oshima, Jpn. J. App!. Phys. 20, 1255 (1981).
26. M.C. Flowers, R. Greef, C.M.K. Starbuck, P. Southworth, and D.J. Thomas,
Vacuum 40, 483 (1990).
27. L.-M. Buchmann, J. Pelka, and H. Mader, Microelectron. Eng. 3,419 (1985).
28. Y. Zhang, G.S. Oehrlein, and F.H. Bell, J Vac. Sci. Techno!. A 14, 2127 (1996).
6 Mass Spectrometric Characterization of Plasma Etching Processes 255

29. P.E. Clarke, D. Field, A.J. Hydes, D.F. Klemperer, and J.J. Seakins, J. Vac.
Sci. Techol. B 3, 1614 (1985).
30. B.E.E. Kastenmeier, P.J. Matsuo, J.J. Beulens, and G.S. Oehrlein, J. Vac. Sci.
Technol. A 14, 2802 (1996).
31. K Ono, T. Oomori, and M. Hanazaki, Jpn. J. Appl. Phys. 29, 2229 (1990).
32. B.J. Curtis, and H.R. Brunner, J. Electrochem. Soc. 136, 1463 (1989).
33. M.R. Rakhshandehroo, and S.W. Pang, J.Vac. Sci. Technol. B 14,3697 (1996).
34. K-T. Sung, and S.W. Pang, Jpn. J. Appl. Phys. 33, Part 1, 7112 (1994).
35. V.M. Donnelly J. Appl. Phys. 79,9353 (1996).
36. N. Materer, R.S. Goodman, and S.R. Leone, J. Vac. Sci. Technol. A 15, 2134
(1997).
37. N.J. Darnell, M.C. Flowers, R. Greef, J. Zhu, and A. Blackburn, Vacuum 46,
349 (1995).
38. Y. Feurprier, Ch. Cardinaud, B. Grolleau, and G. Thrban, J. Vac. Sci. Technol.
A 16, 1552 (1998).
39. Y. Feurprier, Ch. Cardinaud, B. Grolleau, and G. Thrban, Plasma Sources Sci.
Technol. 6, 561 (1997).
40. Y. Feurprier, Ch. Cardinaud, and G. Turban, J. Vac. Sci. Technol. B 15, 1733
(1997).
41. Y. Feurprier, Ch. Cardinaud, and G. Turban, Plasma Sources Sci. Technol. 6,
334 (1997).
42. D.L. Melville, J.G. Simmons, and D.A. Thompson, J. Vac. Sci. Technol. B 11,
2038 (1993).
43. J.M. Villaivilla, C. Santos, and J.A. Valles-Abarca, Vacuum 47, 39 (1996).
44. R.H. Burton, C.L. Hollien, L. Marchut, S.M. Abys, G. Smolinsky, and R.A.
Gottscho, J. Appl. Phys. 54, 6663 (1983).
45. KK Ko, and S.W. Pang, J. Electrochem. Soc. 142, 3945 (1995).
46. S. Thomas III, KK Ko, and S.W. Pang, J. Vac. Sci. Technol. A 13,894 (1995).
47. KL. Seaward, N.J. Moll, D.J. Coulman, and W.F. Stickle, J. Appl. Phys. 61,
2358 (1987).
48. S. Thomas III, H.H. Chen, C.K. Hanish, J.W. Grizzle, and S.W. Pang, J. Vac.
Sci. Technol. B 14, 2531 (1996).
49. D.J. Kahaian, S. Thomas III, and S.W. Pang, J. Vac. Sci. Technol. B 13, 253
(1995).
50. C.R. Eddy, Jr., O.J. Glembocki, D. Leonhardt, V.A. Shamamian, R.T. Holm,
B.D. Thoms, J.E. Butler, and S.W. Pang, J. Electron. Mater. 26, 1320 (1997).
51. D. Leonhardt, C.R. Eddy, Jr., V.A. Shamamian, R.T. Holm, O.J. Glembocki,
B.D. Thoms, D.S. Katzer, and J.E. Butler, Jpn. J. Appl. Phys. 37, Part 2,
L577 (1998).
52. D. Leonhardt, C.R. Eddy, Jr., V.A. Shamamian, R.T. Holm, O.J. Glembocki,
and J.E. Butler, J. Vac. Sci. Technol. A 16, 1547 (1998).
53. C.R. Eddy, Jr., D. Leonhardt, S.R. Douglass, B.D. Thoms, V.A. Shamamian,
and J.E. Butler, J. Vac. Sci. Technol. A 17, 38 (1999).
54. R.C. Keller, M. Seelmann-Eggebert, and H.J. Richter, J. Electronic Mater. 24,
1155 (1995).
55. R.C. Keller, M. Seelmann-Eggebert, and H.J. Richter, Appl. Phys. Lett. 67,
3750 (1995).
56. R.C. Keller, M. Seelmann-Eggebert, and H.J. Richter, J. Electronic Mater. 25,
1270 (1996).
256 C.R. Eddy, Jr.

57. C.R. Eddy, Jr., D. Leonhardt, V.A. Shamamian, J.R. Meyer, C.A. Hoffman,
and J.E. Butler, J. Electronic Mater. 28, 347 (1999).
58. C.R. Eddy, Jr., D. Leonhardt, V.A. Shamamian, R.T. Holm, O.J. Glembocki,
J.R. Meyer, C.A. Hoffman, and J.E. Butler in Infrared Applications of Semicon-
ductors - Materials, Processing and Devices (MRS Proceedings Volume 450),
(eds. M.a. Manasreh, T.H. Myers, F.H. Julien, and J.E. Colon), (Materials
Research Society, Pittsburgh, PA, 1997), pp. 275-280.
59. C.R. Eddy, Jr., D. Leonhardt, S.R. Douglass, V.A. Shamamian, B.D. Thoms,
and J.E. Butler, J. Vac. Sci. Technol. A17, 780 (1999).
60. GR. Eddy, Jr., E.A. Dobisz, J.R. Meyer, and C.A. Hoffman, J. Vac. Sci. Tech-
nol. All, 1763 (1993).
61. C.R. Eddy, Jr., C.A. Hoffman, J.R. Meyer, and E.A. Dobisz, J. Electronic
Mater. 22, 1055 (1993).
62. C.R. Eddy, Jr., D. Leonhardt, V.A. Shamamian, and J.E. Butler, to be pub-
lished.
63. H.B. Bell, H.M. Anderson, and R.W. Light, J. Electrochem. Soc. 135, 1184
(1988).
64. T. Banjo, M. Tsuchihashi, M. Hanazaki, M. Tuda, and K. Ono, Jpn. J. Appl.
Phys. 36, Part 1, 4824 (1997).
65. N. Mutsukura, and G. Turban, J. Electrochem. Soc. 137, 225 (1990).
66. M.C. Peignon, Ch. Cardinaud, and G. Turban, J. Appl. Phys. 70, 3314 (1991).
67. M.C. Peignon, Ch. Cardinaud, and G. Turban, J. Electrochem. Soc. 140, 505
(1993).
68. M. Markert, A. Bertz, and T. Gessner, Microelectron. Eng. 37/38, 127 (1997).
69. S.-J. Park, C.-P. Sun, and R. J. Purtell, J. Vac. Sci. Technol. B 5, 1372 (1987).
70. C. Jaekel, R. Barth, H.G. Roskos, and H. Kurz, J. Vac. Sci. Technol. A 12,
2830 (1994).
71. H. Mace, H. Achard, and L. Peccoud, Microelectron. Eng. 29, 45 (1995).
72. G.S. Selwyn, K.L. Haller, and E.F. Patterson, J. Vac. Sci. Technol. All, 1132
(1993).
73. G.S. Selwyn, and E.F. Patterson, J. Vac. Sci. Technol. A 10, 1053 (1992).
7 Fundamentals of Plasma Process-Induced
Charging and Damage

K.P. Giapis*

7.1 Introduction

Increasing demands for silicon-based faster microprocessors and denser mem-


ories have been historically met by reducing both the thickness of the gate
dielectric and the lateral dimensions of logic devices so that many more
can be packed on a semiconductor chip [1]. Smaller device dimensions are
continuously challenging plasma etching [2], a high-fidelity pattern transfer
technique that has become indispensable for semiconductor chip fabrication.
Plasma etching has b(~en developed almost exclusively by trial-and-error. The
extraordinary complexity of the plasma environment and its interaction with
patterned semiconductor surfaces has impeded understanding of the basic
physics and chemistry of etching [3]. While significant progress in understand-
ing plasmas, sheaths, and etching reactions has been made through intense
and focused research over the past 20 years, no predictive capability exists
today to enable a priori design of damage-free etch reactors and processes.
Semiconductor manufacturers continue to rely heavily upon the empirical
approach to meet the technological challenges of new generations of devices
despite the increasing cost and time requirements to solve new problems [2].
Plasma-induced charging damage exemplifies a formidable challenge [4] to
process development that lies ahead as critical dimensions shrink to 0.18 11m
(transistor gate length) and below, as gate oxides get thinner (:::;5 nm), and as
more metallization levels (>6) are required. The damage has been observed
mainly during plasma etching and ashing; its occurrence during plasma-
assisted interlevel dielectric deposition has been erratic. Charging damage
arises from two main sources: plasma nonuniformity [5] and electron shading
[6]; it manifests itself in at least two forms: 1) profile irregularities during etch-
ing, such as notching [7,8], sidewall bowing, or even etch-stop in dielectrics,
which are readily observable by scanning electron microscopy, and 2) elec-
trical degradation and/or breakdown of thin gate oxides [1,6,9] induced by
tunneling current injection. The latent nature of the latter form of damage
is particularly aggravating, requiring special on-wafer charging monitors to
detect its occurrence and thus avoid further processing of the damaged wafer
[4]. As it will be expounded upon later, notching is a signature of charging
* Electronic mail address: giapis@cheme.caltech.edu

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
258 K.P. Giapis

7.21Jm

------T"

(a) non·connected lines (b) connected line (c) connected lines


and non·connected line

Fig.7.1a-c. Cross-sectional scanning electron micrographs of typical notches for


polysilicon lines etched in a pure chlorine plasma. When the lines are electrically
connected (comb antenna), symmetric notches appear at all the lines, with the
exception of the outer sidewalls. Reproduced from the work of Nozawa et at., Jpn.
J . App!. Phys. 34, 2107 (1995), with permission.

during overetching of polysilicon or metal interconnect lines. The shape of a


typical notch in polysilicon and its location in a dense line-and-space (L&S)
pattern is shown in Fig. 7.1, reproduced from the paper of Nozawa et ai. [7].
When the lines are not electrically connected, the notch forms only at the
inner side of the outermost line; remarkably, notches appear at all lines when
these are electrically connected (comb antenna). Notches are seldom seen at
sidewalls facing open areas, albeit these may appear tapered.
Latent charging damage appears when large area planar or comb anten-
nas are connected to a thin gate oxide, typically buried at the transistor level.
Unpatterned planar antennas (Fig. 7.2a) lead to damage when the plasma
is nonuniform across the wafer [5], causing an imbalance of ion and electron
currents at different locations; potential differences, communicated through
the substrate, increase the oxide electric field leading to large current injec-
tion which may deteriorate the dielectric characteristics of the oxide or cause
breakdown. As plasmas have become more uniform in recent years, the im-
portance of planar antennas in inducing or detecting damage has diminished
[11]. However, charging damage cannot be avoided even in uniform plasmas
due to electron shading [6], which affects primarily densely patterned areas on
the wafer (shaded antennas, see Fig. 7.2 b). Electron shading describes the im-
balance of ion and electron currents arriving at the bottom of narrow trenches
as a result of differential microstructure charging brought about by the differ-
7 Fundamentals of Plasma Process-Induced Charging and Damage 259

+- +-
(a)
,~ f~

Fig. 7.2. Depiction of possible mechanisms for gate oxide degradation due to charg-
ing of: (a) planar antenn8.'i exposed to nonuniform plasmas, and (b) patterned an-
tennas exposed to uniform plasmas. Damage could occur when the polysilicon or
metal pads charge up so that the oxide field induces a large tunneling current to
the substrate; the circuit is closed by conduction to substrate areas exposed to
the plasma either directly or through another conductive pad. Charging is brought
about by local imbalances of the ion and electron currents to the antenna which
occur because of: (a) plasma nonuniformities across the wafer (long range effect),
and (b) electron shading (local effect). The arrows illustrate the ion (+) and elec-
tron (-) currents to antennas or open areas (not to scale). The deflected electron
flux due to charging of the pattern in (b) is returned to the plasma; the tunneling
current is balanced by additional plasma electrons attracted to the open area.

ence in the ion and electron angular distributions [12]. As soon as a pattern
is exposed to a plasma, the upper sidewalls of the insulating mask charge
up negatively thereby reducing the electron current to the trench bottom by
deflecting electrons away. Shaded antennas can damage the underlying gate
oxide by allowing charge collected at the antenna level to tunnel to the sub-
strate; the circuit is closed when this current is conducted to areas exposed
to the plasma directly or through unshaded metal pads. Antenna structures
may be part of the pattern (comb antennas) or appear spontaneously at the
early stages of overetching as a result of reactive ion etching lag (RIE-Iag), a
phenomenon that causes confined areas between the lines to etch at a lower
rate than open areas during the main etch [10].
The purpose of this chapter is to review the basic ideas underlying our
present understanding of charging damage from electron shading when dense
patterns are exposed to high-density plasmas. Both latent and apparent forms
260 K.P. Giapis

of damage will be discussed; plasma etching of polysilicon will be used a8 an


example since most of the literature has focused on this application. No at-
tempt will be made to include all effects, dependencies, and their proposed
explanations. The reader should be aware that this is an active field of re-
search and new information is constantly produced that may challenge key
ideas presented herein. At the time of this writing, there were conflicting theo-
ries and observations vigorously defended by their respective proponents. The
present author believes that m08t manifestations of charging damage can be
explained within the framework of pattern-dependent charging; any reference
to alternate theories is made solely in the spirit of scientific discussion.

7.2 The Origin of Pattern-Dependent Charging

7.2.1 Differences in Ion and Electron Angular Distributions

Charging damage is believed to result from differential microstructure charg-


ing brought about by the directionality difference between ions and electron8
at the wafer [7,8,13,14]. There 8eems to be some confusion in the literature
about what the angular distributions of ion8 and electrons at the wafer are
like, so we shall discuss them in detail.
We begin by looking at an unpatterned (flat) wafer surface exposed to
a uniform plasma and separated from it by the ion sheath. The ion and
electron angular distributions at the sheath edge and the wafer surface are
schematically shown in polar format in Fig. 7.3. The electrons enter the
sheath with an isotropic angular distribution, that is, they have the same
velocity in any direction in the half plane towards the wafer (Fig. 7.3). The
ions, however, are accelerated in the presheath region to the Bohm veloc-
ity [16], UB = JkBTe/Mj , where kB is the Boltzmann constant, Te is the
electron temperature, and M j is the ion mass. Thu8, the ion velocity in the
direction perpendicular to the wafer (U-L = UB) is larger than the thermal

Electrons Ions
Sheath

Edge --,.-~~/
\\ --'-----'---1~~
Fig. 7.3. Schematic depiction of the
x "
, '" '
Fe8 :-, Sheath
electron and ion angular distribution
~
z functions at the sheath edge and at the
wafer surface (unpatterned). Note that
Wafer
both distributions are anisotropic at the
Surface
wafer; however, the degree of anisotropy
is dramatically larger for ions. ¢ indi-
cates the fraction of the isotropic elec-
tron angular distribution at the sheath
edge that will reach the wafer surface.
7 Fundamentals of Plasma Process-Induced Charging and Damage 261

velocity of ions in the parallel direction (ull = JkBTi / M i , where Ii is the ion
temperature) by a factor of 3-5 for typical processing plasmas [16]. This ini-
tial directionality difference is amplified greatly as the charged particles cross
the sheath due to the presence of time-varying nonlinear electric fields. The
ions are accelerated further and their angular distribution becomes highly
directional: the normal ion velocity at the wafer is typically greater than
(10-20) x 'ILl.. At the same time, the electrons are decelerated in the positive
sheath and most of them are returned to the plasma. Only a small fraction
of the electrons crossing the sheath edge - those with a normal velocity
component u'L ~ J2qe V dc / Me, where qe is the electron charge, Vdc is the
minimum dc sheath potential (see Sect. 7.4), and Me is the electron mass --
will be able to reach the wafer surface. The directions of such electrons are
confined to within a narrow total-included-angle ¢, defined in Fig. 7.3. Since
even these electrons are decelerated as they traverse the sheath, their angular
distribution broadens and, near the wafer, it can typically be described [14]
by cos n e, where n <:; 1 and e is the angle of incidence of electrons at the
wafer.
At this point, it is instructive to take a look at the angular distributions
of ions and electrons at an unpatterned wafer predicted from self-consistent
calculations of ion and electron transport in the sheath (sheath theory) [16].
Figure 7.4a illustrates results obtained for a high-density chlorine plasma op-
erated at typical conditions*: electron density ne = 1 x 10 12 cm -3, electron
temperature Te = 4.0 V, and ion temperature Ti = 0.5 V. The wafer electrode
was biased at w = 0.4 MHz with a peak-to-peak voltage of Vpp = 60 V. As
anticipated, the electron angular distribution is found to have a broad peak
at a direction normal to the wafer. Remarkably, the ion angular distribution
shows two pronounced peaks very close to the wafer normal. The bimodality
is a result of the large ion temperature (0.5 V) assumed (see Sect. 7.4.2); a
lower value makes the dip at the normal direction less discernible as the two
lobes of the distribution move closer together. Irrespective of this interesting
splitting, the ion angular distribution is strongly peaked in the normal di-
rection as opposed to the electron angular distribution. For completeness, we
plot in Fig. 7.4b the ion energy distribution function (IEDF). The bimodality
of the IDEF is brought about by the oscillating sheath [15]. Depending on
when the ions enter the sheath during the rf cycle, they will experience a dif-
ferent potential which oscillates between a minimum and a maximum value.
Since the sheath is relatively thin «100 ~m) for the high-density plasma
considered, the ions are able to traverse the sheath in a fraction of a cycle.
Thus, a distribution of energies is obtained which peaks at the sheath poten-
tial minimum and maximum. Nonlinearities cause the low-energy peak to be
more populated than the high-energy one.
* We use these (arbitrarily selected) conditions repeatedly in this chapter to illus-
trate charging effects; to avoid restating them explicitly each and every time, we
refer to them as the "standard" plasma and bias conditions.
262 K.P. Giapis

0.10 (a)
c
0
:;:;
"c
j
u..
c
0
:;:;
j
.c 0.05
:5til
Q
...til Electrons

''''''j',r' \.j'~<·.......4~,'1;<',.,.
"S
CD
c /
c( ".J""''''''>''''''' . , \ . •" . .f .<.,'.. . . . . ."." ..
C

0.00 L.o::....~-..I...-.....c.._-'-~"----'--~"""'"'
-1t 0 +1t

Angle, rad.

(b) Fig. 7.4. (a) Ion (CI+) and electron


angular distribution functions at the
0.05 sheath lower boundary as predicted by
a nonlinear sheath model. The sim-
ulation assumed "standard" plasma
u.. and bias conditions: electron density
Q
!!:! ne = 1 x 10 12 cm- 3 , electron tempera-
ture Te = 4.0V, and ion temperature
11 = 0.5 V. The wafer electrode is bi-
ased at w = 0.4 MHz with a peak-to-
peak voltage of Vpp = 60V. (b) The
corresponding ion energy distribution
o 10 20 30 40 50 60 70 80
function (IEDF) for the same condi-
Energy (eV) tions.

When comparing the angular distribution functions of the ions and elec-
trons arriving at the wafer surface, a profound result emerges. Both distrib-
utions are anisotropic! That is, the flux of both ions and electrons impinging
normal to any surface segment 118 is maximum, albeit there are fewer elec-
trons than ions impinging at right angles. Note that the total flux of ions
to any floating surface segment away from pattern sidewalls is exactly equal
to that for the electrons to the same segment at steady state, as required to
maintain current balance. The difference in the degree of anisotropy between
ions and electrons implies that almost all of the ions arrive at any unshad-
owed surface segment at angles of incidence smaller than a few degrees (about
±6°) from normal [17], while the majority of the electrons impinge at angles
that deviate significantly from normal. When the three-dimensional nature
of the electron bombardment is considered, it becomes apparent that the
vast majority of the electrons impinge at angles larger than about ±6° from
7 Fundamentals of Plasma Process-Induced Charging and Damage 263

normal. We shall see that this fundamental consequence of sheath dynamics


is responsible for pattern-dependent charging.

7.2.2 Charging as a Result of Current Imbalance

If the balance of ion and electron currents to a floating surface is perturbed,


the surface responds by charging up so that a new current balance is estab-
lished. This fundamental response can be illustrated by performing a simple
calculation for a doped Si wafer exposed to a plasma. Let us assume that
the wafer is covered with a thin, perfectly insulating layer everywhere except
for a small surface segment at the center (Fig. 7.5a). Ions and electrons can
enter the wafer only through this opening; neither quantum-mechanical tun-
neling through the insulating layer nor photoconduction at the insulator lSi
interface are permitted. Initially, the potential of the wafer is set at 0 V. The
ion and electron fluxes into the wafer are exactly balanced. The calculation
consists of artificially increasing the wafer potential while monitoring the
relative steady-state flux of ions and electrons impinging at the uncovered
central area.
The electric field above the open area and its influence on ion and elec-
tron trajectories must be included in this calculation. Ions and electrons are

(a)
:·················V=o·················:

Vy=o

Fig. 7.5. (a) Schematic of the


simulation domain considered
to illustrate the principle of cur-
1.5 (b) 1.5 rent balance on floating sur-
Q)
Electron!!. .•. ··· () faces exposed to plasmas. The
s::::
Q) boundary conditions assumed
~ ~ .... " ~
at various locations are also
~ ·"·'··:.:.~b .... !<:>ns 1.0 ~ shown. (b) The relative flux
1.0 o

.
LL x of electrons and ions arriving
~
Q)
LL at an exposed substrate area
> Q) as a function of the substrate
.!!! 0.5 >
potential (varied artificially).
Q)
IX: ~Q) The simulation assumed "stan-
IX: dard" plasma and bias condi-
0.0 0.0 tions. The difference between
o 2 4 6 8 10 12 14 16 18 20
the two fluxes (net current) is
Substrate Potential, V also shown.
264 K.P. Giapis

sampled from ion energy and angular distributions calculated from nonlinear
sheath theory for "standard" plasma and bias conditions (see Section ILA).
The potential distribution above the wafer is calculated by solving iteratively
the Laplace equation, \72V = 0, subject to boundary conditions: constant po-
tential at the exposed substrate (V = Vmb), V = 0 at a plane located 3.51lm
above the surface, \7V = 0 at vertical boundaries located to the left and
right of the exposed segment at approx. 20x its length. The surface around
the open area is flat and perfectly insulating. The potential of the insulating
surface surrounding the open area is modified self-consistently in response to
the fluxes of deflected ions or electrons until steady-state charging is reached.
The results are plotted in Fig. 7.5b. As soon as the substrate potential
is raised, the balance between the electron and ion fluxes is perturbed. More
electrons are attracted by the larger potential, while comparatively fewer ions
are repelled as a result of the differences between the translational energy of
the ions and the electrons. At a substrate potential of 10 V, the electron flux
increases by about 25% of the flux to the grounded surface, while the ion flux
decreases by about the same percentage. At larger substrate potentials, the
electron flux appears to increase at a faster rate than that of the decrease
in ion flux. The net negative current to the exposed area increases consider-
ably. Note that whereas the magnitude of the change in the ion and electron
currents depends on the specific plasma parameters, the trends are universal.
While charging of a surface segment modifies the ion and electron cur-
rents arriving on it, the opposite is also true: any initial current imbalance
will cause the surface segment to charge up unless it is grounded. When there
are more ions than electrons, the surface charges up positively so that more
electrons are attracted in an attempt to re-establish current balance. The
increase in electron current, however, may not be sufficient when the initial
current imbalance is severe. In that case, the surface potential will further in-
crease until enough ions are deflected away to make current balance possible.
When there are more electrons than ions, the surface charges up negatively so
that enough electrons are repelled away to achieve current balance. Given the
translational energy differences between plasma electrons and ions, it is sel-
dom that a negative potential in a typical microstructure will be large enough
to perturb ion trajectories significantly. Thus, while large positive charging
potentials are possible, the same does not hold for negative potentials which
should be much smaller in absolute value.

7.2.3 Electron Shading Effects

Let us begin by reiterating how current balance is accomplished at a segment


LlS of an insulating surface. Figure 7.6a illustrates that, although the ion
and electron fluxes are equal, most of the ions arrive almost perpendicularly
to the wafer surface while most of the electrons arrive at oblique angles of
incidence.
7 Fundamentals of Plasma Process-Induced Charging and Damage 265

Jj
(a)
Je , : : ,J e
'-""\' 'I~'
,~ ~-

(b)

Fig. 7.6. Schematic illustration of steady-state cur-


rent balances at an insulating surface segment .:15

,,11:t
(a) on a flat surface, (b) next to a single insulating
feature of rectangular cross section, and (e) confined
by two insulating features, forming a trench. The
dashed-line arrows describe electron flux while the
(0)
solid-line arrows depict ion flux. Decreasing length
of the dashed lines indicates smaller electron flux.
The ion and electron fluxes are not to scale.

When a photoresist line is placed on a wafer, electron shading of the


adjacent surface r::;egments occurs. The net electron current arriving at these
r::;egments decreases significantly, while the ion current is hardly affected since
most of the ionr::; arrive at the wafer at right angles. lf the wafer r::;urface ir::;
conductive, current balance is maintained by electron transport from other
unr::;haded areas. Then, the presence of the line resultr::; in negative charging
of its upper sidewalls which, in turn, deflects ions traveling in the vicinity of
the sidewalb and causes the lower part to charge up r::;lightly positively.
When the wafer surface is insulating (Fig. 7.6b), the initial imbalance
of currentr::; causes the r::;urface r::;egmentr::; next to the vertical line to charge
up positively, so that a fraction of the incident ion flux is deflected. As the
potential of the surface segment increaser::;, more electrons are attracted; at
steady r::;tate, the electron current ir::; larger than what would be anticipated
by simple geometric argumentr::;. The calculated steady-state potential distri-
bution around a photoresist line (width = 0.3 !lm, height=0.9 !lm) ir::; r::;hown
in Fig. 7.7a. Ar::; before (r::;ee Sect. 7.2.1), we simulate the "standard" plasma
and bias conditions. Surface charge dissipation along the Si0 2 is assumed to
occur readily when the surface electric field exceeds 1.0 MV ern-I. The charg-
ing map captures beautifully the negative charging of the upper sidewalls and
the positive charging of the Si0 2 surface at both sides of the line.
Now let us place a second vertical line in close proximity to the first one
so that a trench forms (Fig. 7.6c). The closer the two lines lie, the higher
the aspect ratio of the trench they form and the more significant the electron
shading of the surface segment between them [18]. The trench bottom, shaded
from oblique electrons by both sides, still receives most of the original ion
flux. Then, the current imbalance to the bottom surface becomes more severe.
lf the wafer surface is conductive, current balance is again maintained by
266 K.P. Giapis

(a)

V
<5.0
:,0.0
150
100
7.0
Fig. 7.7. Potential contour
50
maps calculated for (a) a sin-
30
gle insulating line, and (b)
to two adjacent insulating lines
OS exposed to a high-density
{l1
{lS
plasma operated at the "stan-
dard" plasma and bias condi-
-to tions. Each feature is 0.3/-lm-
-6.0
wide by 0.9 /-lm-tall; the trench
width is 0.3/-lm. Charge dissi-
pation along thc feature sur-
face as well as the insulating
substrate is allowed when the
surface electric field exceeds
1.0MVcm- 1 .

electron transport from other unshaded areas. But if the surface is insulating,
its potential must increase much more than that of the single-line case so that
more ions are deflected. This dramatic change is illustrated by the steady-
state charging map of Fig. 7.7b for a trench of aspect ratio 3 :1. The significant
positive charging of the trench bottom causes a large number of ions to be
deflected back towards the upper sidewalls or even out of the trench. As a
result, the negative potential of the inner upper photoresist sidewalls (termed
the "entrance potential") is reduced so that more plasma electrons can be
attracted into the trench. Note that the flat potential contours between the
lines reduce the energy of the incident ions in a uniform and gradual manner;
there are no significant potential gradients toward the sidewalls.
In gate electrode definition, the lines consist of both insulating (photore-
sist) and conductive (doped polysilicon) parts with the conductive material
(gate) lying just above the insulating surface (Si0 2 ). The potential contour
maps for these more realistic features etched under the "standard" plasma
and bias conditions are shown in Fig. 7.8. While the overall charging of a
single mixed line (Fig. 7.8a) is similar to that of a purely insulating one
(Fig. 7.7a), there are important differences to note. The gate receives elec-
trons from the open area, which are distributed to maintain equipotential
7 Fundamentals of Plasma Process-Induced Charging and Damage 267

V
:::50
alO
15.0
1QO
7.0
50
30 Fig. 7.8. Potential contour
to maps calculated for (a) a sin-
QS gle mixed insulator-conductor
{l1 line, (b) two adjacent mixed
{lS lines, and (c) two adjacent
-to lines, one mixed insulator-
J50 conductor and the other all
insulator. The simulation as-
(c) sumed "standard" plasma and
bias conditions. Each feature
is 0.3 11m-wide; the mixed one
consists of 0.61lm insulator
onto 0.3/lm metal while the
all insulator is 0.9/lm-tall; the
trench width is 0.3 /lm. Charge
dissipation along the insulat-
ing surfaces (including the
substrate) is allowed when the
surface electric field exceeds
1.0MVcm- 1 .

(at 2.5 V); consequently, the potential distribution near the gate is slightly
perturbed. The positive charging of the Si0 2 surface at both sides of the line
decreases slightly while the negative potential of the upper sidewalls extends
further out. The latter potential participates in ion trajectory bending near
the vertical line and ultimately influences the sidewall etch profile causing
inward-tapered sidewall profiles (reverse taper) [19].
268 K.P. Giapis

When a second mixed line is placed next to the first one (trench as-
pect ratio = 3: 1), the differences become much more pronounced (compare
Figs. 7.7b and 7.8b). Although the degree of electron shading is the same,
the trench bottom charges up considerably less than in the case of two in-
sulating lines. Note also that the potential distribution in the trench is not
uniform: it peaks along the centerline while it decreases abruptly near the
conductive gates. Furthermore, the entrance potential is significantly more
negative; fewer plasma electrons make it into the trench. These differences
can be understood when considering the effect of the conductive gates. Since
the outer gate sidewalls are not shadowed, plasma electrons are collected
efficiently and distributed to maintain equipotential (at 5.4 V). The gate po-
tential is larger than that of the single mixed-line case because electrons are
collected from only one sidewall. The inner gate sidewall receives a net pos-
itive current as a result of ion deflection by the potential gradient in the
direction of each gate. Thus, the gate potential develops in response to the
imbalance between the net electron current to the outer sidewall and the net
ion current to the inner sidewall. When steady-state charging is reached, the
two currents become equal. The ion bombardment of the inner sidewalls bears
important consequences for profile evolution during overetching. Since there
are fewer ions deflected towards the upper sidewalls, the negative entrance
potential is not reduced (by charge neutralization) as much as in the case of
the two insulating lines.
Finally, it is instructive to consider placing an insulating line next to a
mixed conductor-insulator line. The potential contour map for this case is
shown in Fig. 7.8c. The pattern asymmetry results now in a skewed charg-
ing potential distribution near the trench bottom. The ion flux to the inner
gate sidewall increases; in response, the steady-state equipotential must also
increase (to 5.9V) so that more electrons are attracted at the sidewall facing
the open area. Note that the in-trench electric field toward the inner gate
sidewall is now significantly increased; as a result, the deflected ions will be
accelerated to larger velocities. Therefore, a higher flux of more energetic ions
will bombard the inner gate sidewall, suggesting a more dramatic influence
on profile evolution.

7.3 The Notching Effect


7.3.1 Observations and Mechanisms
The notching effect describes the opening of a long narrow wedge (the "notch")
in a conductive material at the interface with an underlying insulator. The
notch appears during the overetching step in high density plasma tools. It
typically forms at the inner sidewall foot of the outermost feature in a line-
and-space (L&S) pattern neighboring an open area, as shown in Fig. 7.1.
Many observations have been reported regarding the formation and extent of
the notch; a list of important trends is given below.
7 Fundamentals of Plasma Process-Induced Charging and Damage 269

1. The notch depth depends on the width of the open area separating L&S
patterns; while it initially increaseD rapidly with the width, saturation is
observed beyond a certain value [7].
2. Notching is a strong function of the aspect ratio of the Dpaces (trenches)
as well aD the mask aspect ratio [8,20].
3. Notching worsens with increasing electron temperature and plasma den-
sity [20].
4. Wafer rf biaDing influenceD notching in a complex way: Low bias fre-
quency decreaDes notching in compariDon with the no-bias case; however,
increasing bias frequency generally worsens notching [8,21]. Increasing
bias voltage always decreaseD the extent of the notch [8].
5. When the lines are electrically connected, Dymmetric notcheD appear at
both sides of all lineD (with the exception of the outer sidewalls) [7].
6. Higher poly-Si conductivity has been reported to reDult in more Devere
notching [22].
7. Time-modulated (pulsed) plaDmas generally decrease or completely miti-
gate notching [23].
8. NotcheD do not form in the presence of strong Didewall pasDivation [24].
9. Notching depends on the thicknesD (and quality) of the oxide on which the
gates are formed. For fixed overetching time, there is an abrupt reduction
in notching when the gate oxide is 7-8 mn thick [25].
10. Mechanical DtreDsing of a wafer in the direction parallel to the lines has
been found to increaDe the notch depth in beam experimentD [26].
11. When the wafer is biased at low rf frequency, presence of low-mass ions
(H+, Hj, He+, etc.) in the plasma reduceD notching [27,28].

Various mechanismD have been proposed in the literature to explain notch-


ing. At the time of thiD writing, there is a general conDensus among the plasma
etching community that ion deflection -- due to local electric fields in the
trench Dpace - is the dominant mechanism [13,14,29]. In the absence of
measurements, simulationD have Dhown that the energy-flux of the deflected
ionD iD sufficient to etch the sidewall foot and form the notch [14] even in
the preDence of Durface currents [30] that could dissipate the charge on the
inDulating trench bottom (Si0 2 ) thereby reducing the local electric fields.
The ion deflection mechanism is consistent with all obDervations of notch-
ing trends reported hitherto; moreover, its origin lies in pattern-dependent
charging which has also explained successfully gate oxide degradation during
metal etching in uniform plasmaD [31,32]. Pattern-dependent charging thus
provideD a unifying mechaniDm to explain both the apparent and the latent
forms of charging damage. The fact that the Dame underlying physicD can
capture seemingly different phenomena makes a powerful argument for its
plausibility.
There iD little doubt that additional effectD are contributing to notching.
For example, field-induced ion migration (surface current) to the sidewall
foot [8,22] may heat the polYDilicon or metal at the interface region thereby
270 K.P. Giapis

increasing the etch rate. Or residual thermal stress at the polysilicon/ Si0 2
interface [26] may increase the yield per deflected ion that impinges at that
location. Or simply, the passivation layer b ecomes thinner at the sidewall foot
because depositing etch products become unavailable during the overetch [24],
thus allowing deflected ions to penetrate and etch the polysilicon or metal
sidewall more effectively. Despite such importa nt contributions, ion deflection
still appears to be a necessa ry condition for notching.
Simulations [14] have shown that notching begins as etching of the poly-Si
sidewall foot by energetic ions tha t are deflected as they traverse local electric
fields in the trench. As the notch deepens, newly uncovered Si0 2 surface
b ecomes available for forward scattering of ions. In addition, the exposed Si0 2
surface charges up causing forward deflection of ions. The latter mechanism
is of particular importance as it allows ions to move forward without contact
with the surface, thus retaining a larger part of their transverse energy than
scattered ions. The contribution of thus-scattered or deflected ions becomes
increasingly important in defining the notch channel and maintaining the
etch rate deep inside the wedge. The proposed mechanisms are schematically
depicted in Fig. 7.9.

Resist
Open area

n· ·poly-Si
Si0 2 t:::~~*~LrI=:±=:3::J

Fig. 7.9. Schematic depiction of the m echanisms proposed to contribute to notch


formation: (a) Ion trajectory bending due to open a rea charging and direct bom-
bardment of the poly-Si, (b) forward ion deflection due to Si0 2 charging under the
etched area, and (c) nea r grazing ion-Si0 2 surface collision, followed up by forward
scattering and bombardment of the notch apex.

7.3.2 Phenomena that Influence Notching


This section discusses in more detail some of the phenomena that are be-
lieved to contribute to the notching effect in a significant way; where pos-
sible, empirical models are given which could serve as points of departure
for simulations and explanations of experimental trends. Although simula-
tion procedures will not be given in detail, it is instructive to summarize the
7 Fundamentals of Plasma Process-Induced Charging and Damage 271

difIicultie8 of providing a quantitative description of the notching effect. To


begin with, charging and notch profile evolution are coupled. As the notch
apex advances, charging of the newly expo8ed Si0 2 surface by deflected ions
and its subsequent influence on ion trajectories must be considered. Further-
more, charging at neighboring lines affects ion tran8port at the edge trench
-- where the "classical" notch i8 observed - through long range electrostatic
forces, thereby necessitating a larger computational domain to account for
multiple feature interactions [33,34]. Large potentials on dielectric surfaces
could lead to rapid charge dissipation (by surface discharging, sub-surface
conduction, or other mechanisms) which would decrease local electric fields
[30]; thus, surface charge dissipation in submicrometer scales must be consid-
ered, especially in the presence of plasma radiation and chemisorbed gases.
Photo conduction at oxide/substrate interfaces has also been shown to occur
[35,36] and could affect surface charging at the bottom of trenches, provided
photoelectrons are produced with enough energy to enter the conduction
band of the oxide. Electron tunneling through thinner oxides as occurring in
gate electrode definition is an eS8entiai mechanism for gate oxide degradation
but it will also affect notching if electrons can tunnel from the substrate di-
rectly to the charged trench bottoms [37]. Secondary ion and electron emis8ion
are also important mechanisms that could influence charging to the extent
they occur at the relatively low ion and electron energie8 of typical etching
plasmas. Etch product redeposition or formation of a pa88ivating layer at
the sidewalls can clearly hinder notching [24]. Finally, accurate models of the
inelastic and reactive scattering of halogen atoms [38] are needed to de8cribe
etching in the notch area. The reaction mechanism must include ion energy
and angular dependencies as well as contributions from neutral background
flux and possible ion etch yield changes due to residual thermal stres8 at the
polysilicon/Si0 2 interface.
Several of the aforementioned requirements cannot be met in view of the
lack of model8 and experimental measurements to support them. One can
only try to include effect8 for which reasonable models exist and try to de-
scribe the notch profile evolution. While success in capturing a particular
notch shape or trend does not guarantee that the essential physic8 of the
notching effect have been revealed, more confidence i8 gained when several
trends and dependencies are explained simultaneously without model adjust-
ments. This is believed to be the case for notching during etching of polysili-
con gates in high-density chlorine plasmas. In addition to using tested global
models [39] to describe the uniform chlorine plasma and nonlinear sheath
theory [40] to calculate the ion and electron energy and angular distribu-
tions just above the patterned wafer, three mechanisms have been essential
in describing successfully pattern-dependent charging and the notch profile
evolution in photoresist-masked polysilicon gates. Although the descriptions
that follow may not be unique representations of these mechanisms, they pro-
272 K.P. Giapis

vide insight into the physics and chemistry of notching and offer a point of
departure for predicting how to minimize or completely eliminate notching.

7.3.2.1 Inelastic and Reactive Scattering Dynamics

When an energetic ion collides with the poly-Si, physical and/or chemical
sputtering may take place resulting in material removal. Collisions with the
poly-Si and Si0 2 surfaces may also result in inelastic scattering. Reactions
and direct inelastic scattering are essential for notching and must be included
in the simulation. We employ a simple model of sputtering and scattering,
based on previous simulations of profile evolution during neutral beam etching
[38]. This model is slightly different from the one given in our earlier study
on notching [14], but it works as well while it also unifies results from beam
experiments. When a hyperthermal CI+ impinges on the SiClx salvage layer,
it may scatter with a probability P d or etch with a yield Y, which both
depend on the incident energy E j and angle Bj , as described by the simple
formulae:

Pd = 1 - G1 yE; (~ - Bj ) (7.1)

Y = G 2 ( yE; - ~)(1 - P d ) (7.2)

where G 1 , G 2 (eV- 1 / 2 ) are model parameters, and Eth (eV) is a translational


energy threshold for CI+ etching of Si under high CI/CI+ flux conditions. The
square-root dependence on energy has been proposed by Steinbriichel [41] for
physical and ion-enhanced-chemical etch yields at low ion energies. A value of
Eth = lOeV has been recently reported from beam experiments [42] for the
CI+ etching of Si under high CI/CI+ flux ratio. The proportionality constant
G 2 affects only the relative rate of notch formation and is not significant for
the simulation, as long as it is not excessively large or small. This parameter
can capture the etch yield dependence on residual thermal stress. Negative
values of Y signify no reaction. Spontaneous etching of the sidewall by back-
ground neutrals is neglected. Neither reactions nor sputtering are considered
for the photoresist or the Si0 2 .
Scattering occurs at both the chlorinated poly-Si and the Si0 2 surface.
Scattering on the photoresist surfaces is believed not to be important for
notching. Among the different modes of scattering [38], only direct inelas-
tic (or single-bounce) scattering is important for notching as a result of the
large translational energy of the emerging particles. In spite of the large num-
bers of ions that undergo multiple-bounce scattering and trapping desorption,
these ions do not have large enough energy to induce physical or chemical
sputtering in a subsequent collision event and are therefore neglected. Direct
inelastic scattering is particularly important at large angles of incidence when
the energy transfer to the surface is small. This situation is frequently encoun-
tered at the sloping poly-Si surface that defines the upper notch boundary,
7 Fundamentals of Plasma Process-Induced Charging and Damage 273

whenever deflected ions impinge directly onto the poly-Si surface. However,
only grazing collisions will result in projectiles that subsequently impinge
on poly-Si; the vast majority of the directly scattered projectiles will reach
the Si0 2 surface, where they must scatter again to be able to reach the
poly-Si surface. Energy losses in such double bounces reduce the significance
of the corresponding scattered projectiles for notching. Thus, direct inelas-
tic scattering on poly-Si (SiCl x layer) need not be considered in a notching
simulation.
Direct inelastic scattering on the Si0 2 surface is, however, very important
as all the ejected projectiles arrive at the poly-Si surface. Although initially
very small, the number of ions that scatter directly on the Si0 2 surface in-
creases concomitant with the increase in newly exposed Si0 2 as the notch
evolves. Scattering contributes to the notch characteristics and must be in-
cluded in the simulation. We assume that the charge of the ion is transferred
to and remains at the surface upon impact, so that the scattered particle is
neutral; thus, its motion is no longer affected by electric fields. In the ab-
sence of experimental information on CI+ scattering on a chlorinated Si0 2
surface, only specular scattering is considered which is further assumed to
follow hard-sphere collision kinematics [43]. The translational energy Ef of
the specularly scattered atom is determined from the equation:

l1E
- - =
Ej
(
ft
2ft )2 [ 1 + cos 2e j
+1
J1 - 11,2
.
sm 2 2e + 11, sm
j
. 2
2e ]
j (7.3)

where l1E = (Ej -Ed, and ft is the ratio of the mass of the gas-atom over the
effective mass of the surface. Equation (7.3) represents the hard-sphere model
prediction [43] for specular scattering and does not take into consideration
surface--atom motion or the gas surface attractive potential; however, these
effects should be negligible for incident ion energies typical of etching plasmas.
The notch depth is slightly sensitive to the value of ft [14]; as before, ft = 1.0
is assumed for a Cl-covered Si0 2 surface.

7.3.2.2 Electron Tunneling


Future devices require gate oxide thickness <5 nm for improved transistor
operation and control [1]. When the oxide becomes so thin, electron tunnel-
ing through the layer may occur readily. Tunneling currents cause reliability
problems and electrical failure when flowing through the oxide under a gate
[1,6]; however, even catastrophic currents through the oxide in cleared areas
are unimportant for the electrical characteristics of the device, since the space
is filled with dielectric after etching. Thus, as the oxide becomes thinner, elec-
tron tunneling may reduce charging potentials at the bottom of trenches.
Since patterned gates tend to charge up positively during overetching,
an electron supply to the substrate is needed to facilitate tunneling. While
hindered from reaching the bottom Si0 2 surface directly through the trench
entrance, plasma electrons arrive unimpeded at unpatterned surfaces; if the
274 K.P. Giapis

thin gate oxide extends to such open areas, then the electrons can tunnel
down to the conductive substrate. This "double-tunneling" approach can
reduce notching as will be demonstrated later.
Two tunneling mechanisms are considered with well established analytic
expressions [44-46]:

a) The so-called Fowler-Nordheim tunneling (FNT) of electrons from the


conduction band of the gate electrode (metal or polysilicon) to the con-
duction band of the oxide, described by the expression:

hNT = exE2 exp( - (3 / E) (7.4)

where E = Vox/t ox V /cm, Vox is the voltage across the oxide, tox is the
oxide thickness and ex = 5.14 x 10- 7 C V- 2 s, (3 = 2.51 X 108 V cm- 1 are
fixed parameters [47].
b) Direct tunneling (DT) of electrons from the conduction band of the gate
electrode to the Si(100) conduction band (substrate), which has been
described in the literature by:

(7.5)

where CPB is the tunneling barrier height and I = 6.116 X 10- 6 CVs-I,
8 = 5.128 X 10- 9 C- 1 Js- 1 are fixed parameters [47].

Tunneling from Si0 2 surface states is assumed to proceed by identical mech-


anisms. Note that direct tunneling becomes important for extremely thin
oxides «4nm) and is believed not to be as damaging to the oxide as Fowler-
Nordheim conduction [48].

7.3.2.3 Surface Charge Dissipation

Surface conduction could reduce charging of the exposed Si0 2 surface at the
bottom of cleared trenches thereby decreasing the degree of ion deflection.
Thus, notching can be considerably affected by the inability of the insulating
surface to prevent charge dissipation. Classic theories of surface breakdown
are of limited validity in the submicrometer scale of relevance to notching [30].
In the absence of surface conduction, the calculated maximum surface electric
fields (3-5 MV cm- 1 ) appear to be adequate for field emission of electrons at
the triple junction (the interface where the polysilicon electrode, oxide, and
vacuum are in close proximity) which could directly neutralize the positive
charge accumulated on the oxide in the vicinity of the polysilicon sidewall
foot. Electron emission at the triple junction has been suggested as the first
step for surface breakdown (flashover) of insulators [49], which is known to
occur for electric fields much lower than bulk breakdown [50], especially in
the presence of surface adsorbates or photon (UV, x-ray) irradiation [49].
7 Fundamentals of Plasma Process-Induced Charging and Damage 275

While surface flashover may be an extreme case, surface currents could also
flow by subsurface conduction, where electrons are injected and propagate in
the conduction band of the insulator [51]. With the exception of slow charge
leakage (when surface charging is unstable), such mechanisms for surface
charge dissipation are typically controlled by an electric field threshold [49],
denoted here by Es , which determines the maximum charging potential that
can form on the surface of an insulator. Irrespective of the surface conduction
mechanism, Es becomes a crucial parameter for pattern-dependent charging.
A systematic investigation of the effect of this parameter on charging poten-
tials and notching has been given elsewhere [30]. It has been demonstrated
there that reducing Es results in lower surface potentials at the trench bot-
tom which, however, are still sufficient to cause ion deflection and notching.
Notches similar to those simulated for the case with no surface conduction
[14] can form for voltage gradients as low as 0.5-1.0MV cm-I, a reasonable
range for the surface of good insulators [51]. For the purposes of this review,
Es is fixed arbitrarily at 1.0 MV cm-\ surface currents are allowed to flow
readily when the surface electric field exceeds this value. Note that this is the
simplest model to describe a very complex phenomenon of great importance
for plasma etching and, more generally, for charged-particle interactions with
patterned surfaces.

7.3.2.4 Stress Effects


Tensile stress in the polysilicon at the interface with the underlying oxide has
been shown in beam experiments to influence the notch depth [26]. Mechani-
cal stress is expected to modify the etch rate, e.g., by increasing the etch yield
per ion bombarding the stressed material. Stress is not expected to be the
dominant cause of notching for two reasons: 1) extra care is taken in modern
plasma etchers to avoid stressing the wafer (for the fear of shattering it), and
2) the residual tensile stress in polysilicon due to thermal mismatch with the
oxide is expected to be small. Notching has been found to occur extensively
in metal etching where residual thermal stress could not have been present
[52]. The influence of stress could be easily accounted for Eqn. (7.2) ~ by
making C 2 stress-dependent ~ provided the etch yield of polysilicon were
known as a function of tensile stress in blanket films.

7.3.3 Results from Self-Consistent Charging Simulations


The Monte Carlo based iterative charging simulation has been described in
detail elsewhere [14]. A very brief outline of the procedures is given in the
following. Electrons and ions are generated successively above the patterned
area with energy and direction determined by randomly sampling the corre-
sponding energy and angular distributions, calculated from nonlinear sheath
theory [16]. Then, the charged particles are followed as they impinge onto
and transfer their charge to various surfaces. Charge deposition creates local
276 K.P. Giapis

electric fields determined by solving the Laplace equation, ~2V = 0, subject


to boundary conditions: V = 0 at a horizontal plane located 3.5 ~m above the
wafer, L1 V = 0 at vertical boundaries located to the left and right of the pat-
terned structure at 4 ~m from the corresponding edge lines, and the charging
potential distribution along all surfaces of the pattern (time-varying). The
fields are used to alter ion trajectories and are modified self-consistently
as more charge accumulates. Whenever the potential gradient along oxide
or photoresist surface cells exceeds the surface charge dissipation threshold,
current is allowed to flow thereby altering the potential boundary condition.
Likewise, the electric field across the gate oxide is calculated; then, Eqns. (7.4)
and (7.5) are used to determine the tunneling current to the substrate which
reduces the surface and/or gate potentials. Steady-state charging is reached
when the potential distribution along the bottom Si0 2 surfaces remains in-
variant to further iterations [14J. At that point, a dynamic equilibrium is
achieved, where plasma currents are distributed along the insulating surfaces
and across the oxide.
For the particular simulation, a perfectly etched L&S structure consisting
of five isolated 0.3 ~m lines separated by 0.3 ~m spaces (trenches) is exposed
to a low-pressure «5 mTorr) plasma at the "standard" plasma and bias
conditions (ne = 1 x 1012 cm -3, Te = 4.0V, Ti = 0.5V, W = 0.4 MHz, Vpp =
60 V). Identical patterns are separated by open areas 8 ~m- wide. Each feature
consists of a 0.6 ~m photoresist mask (insulating) onto 0.3 ~m n+ -poly-Si gate
formed on top of a uniform layer of Si0 2 (>lOOnm) Tunneling currents are
negligible for this structure. Charge dissipation along the insulating surfaces
is allowed when the surface electric field exceeds 1.0 MV cm- I .
The results of charging simulations are best understood when plotted in
terms of colored potential contour maps, such as that of Fig. 7.lOa for elec-
trically isolated gates. The consequences of electron shading become readily
apparent: the upper mask sidewalls charge up negatively while the trench
bottoms charge up positively and also to much larger absolute potentials.
(Note the differences between the intermediate trenches and those near the
edge lines.) The electron supply to the edge gate from the open area reduces
its potential, thereby perturbing the in-trench ion dynamics and causing a
nonuniform potential distribution to develop in the edge trenches. The inset
illustrates how skewed the distribution is: while the potential peaks near the
center of the trench, it rapidly decreases in the direction of the edge line. This
observation implies the presence of a substantial potential gradient, that is,
a large electric field, which is expected to deflect and accelerate incident ions
toward the edge line. The impact of this electric field on ion trajectories is all
the more significant for two additional reasons: 1) the time that ions are sub-
jected to this field is relatively long, and 2) the incident ions also encounter a
negative potential gradient as they approach the trench bottom which slows
them down.
v: .j;
7

·2
....
· 1 -OS -0. 1 o.s J 1 .) !5
~
6 7
..
Fundamentals of Plasma Process-Induced Charging and Damage

10 13 16 19
277

9.2

Fig. 7.10. Potential contour maps calculated for five mixed insulator-conductor
lines that are (a) electrically isolated from each other as well as from the sub-
strate, and (b) electrically connected to each other but isolated from the substrate
(comb structure). The insets illustrate the equipotential contour lines in the edge
trenches in more detail. The simulation assumed "standard" plasma and bias con-
ditions. Each feature is 0.3 f..lm-wid e and consists of 0.6 f..lm photoresist onto 0.3 f..lm
polysilicon; the trench width is 0.3 f..lm . Charge dissipation along the insulating sur-
faces (including the substrate) is allowed when the surface electric field exceeds
1.0MV cm- I .

These observations can be better understood by plotting the potential


surface in the trench space between the lines, as shown in Fig. 7.11a. As
ions enter the illustrated region, they are subjected to an electric field , which
can be described in terms of two components: 1) an accelerating field per-
pendicular to the trench centerline in the direction of the edge line, and 2)
a decelerating field parallel to the trench centerline in the direction of the
trench bottom. Both electric field components increase in magnitude as the
ions approach the trench bottom. This situation results in a significant num-
ber of ions deflected and accelerated toward the sidewall of the edge line.
Since the intermediate gates are shaded from both sides, there is no ad-
ditional electron supply from the plasma to keep their potential low. The
bottom surface of the trench charges up more uniformly and reaches a much
larger potential which reduces notably the negative entrance potential as
compared to the edge trench. Although the potential peaks at the centerline,
the gradient toward the gates is very small. Thus, ions traversing intermedi-
278 K.P. Giapis

Fig. 7.11. Two-dimensional potential


distribution in the "edge" trench area
bordered by the poly-Si sidewalls for lines
(b) that are electrically (a) isolated , and (b)
connected. The inset in (a) illustrates the
30
area of interest and defines the origin for
25 the potential surface. The microstructure
>- 20 has been rotated so that the direction of
(ij
'@ 15
ions as they approach the potential sur-
Q) face corresponds to the direction of ions
'0 10
a.. 0.30
0.25
as they enter the trench (arrows). Note
0.20 ~ the differences between (a) and (b) in the
0.15 'l:
0 .10 .,e.>' magnitude of the potential surface slope
0.05 #" in the direction of corresponding polysili-
0.00 ...\,'
con sidewalls.

ate trenches are not subjected to an electric field capable of deflecting and
accelerating them toward the sidewalls.
N ow that the difference in charging potentials between the edge trench
and intermediate trenches has been established , it is easy to understand why
etching of the inner sidewall of the edge line will be accelerated. Since the
horizontal electric field increases toward the trench bottom, deflected ions
have the largest perpendicular velocity at the sidewall foot, resulting in in-
creased etch rate at that location. As the notch apex advances, the exposed
Si0 2 surface charges up and b egins to deflect incident ions in the forward di-
rection (see Fig. 7.9) . While scattering at the exposed Si0 2 also contributes,
the forward-deflected ions are mainly responsible for sustaining etching and
deepening the notch.
When the lines are electrically connected, electrons collected through the
gates facing the open areas are distributed so that all lines reach equipotential
(see Fig. 7.lOb). The potential distribution at all trenches becomes virtually
identical. The distribution peaks at the centerline and decreases symmetri-
cally toward both gates. Identical gradients (electric fields) are established
7 Fundamentals of Plasma Process-Induced Charging and Damage 279

toward both sidewalls which are large enough to deflect and accelerate a
fraction of the incident ions causing symmetric notches. Note the differences
between the insets of Figs. 7.lOa and 7.10b. The potential gradient toward
the edge line is smaller in the case of electrically connected lines suggesting
that the notch will also be smaller for fixed overetching time. Figure 7.11b
illustrates the potential surface seen by incident ions as they approach the
trench bottom and helps to better understand the reason why equal numbers
of ions will be deflected and accelerated toward both sidewalls.
Given that the equipotential of the lines plays a significant role in deter-
mining the magnitude of the horizontal electric field, factors that influence
the equipotenial should also affect directly the notch depth ..For example,
increasing the number of lines (without altering their length) in the pattern
would lead to a larger equipotential with a concomitant reduction in notching.
This multiple feature effect can be understood as follows. More intermediate
lines collect a larger net ion current which must be balanced by the electron
supply to the outer sidewalls of the edge lines. Since the latter is limited, the
equipotential must increase so that more ions are deflected away from the
lines.
Reducing the mask aspect ratio (e.g., by decreasing the mask thickness
at constant trench width) would result in larger electron irradiation of the
conductive gate sidewalls through the trench openings, thus lowering the
equipotential of the connected lines and causing deeper notches. Remarkably,
the same modification on electrically isolated lines would cause the notch to
appear at intermediate lines as well [33].

7.3.4 Validation
Figures 7.12 and 7.13 illustrate how well the notch is captured for isolated
and electrically connected lines, respectively. The experimental and simu-
lated structure for these results was slightly different from the one discussed
above (lines = 0.5 11m, spaces = 0.5 11m, mask thickness = 1.0l1m) and the
simulation did not include surface conduction. The profile of Fig. 7.12 was
generated by launching ions and performing the iterative charging and etch-
ing calculations until the numerical profile matched the digitized one in the
form shown. Subsequently, the same number of particles was used to generate
the profile shown in Fig. 7.13 by the continuous line which was superimposed
on the digitized experimental profile for electrically connected lines etched
under the same conditions. The good agreement indicates the ability of the
simulation to predict the required overetching time to reach a certain depth.
The limitations of the assumptions used in these simulations as well as the
role of surface currents have been discussed elsewhere [14,30].
As the open area width is decreased, electron shading of the gates becomes
more significant. The electron supply to the sidewalls decreases thereby lead-
ing to an increase in the gate potential which brings about a decrease in
notching. This dependence of the notch depth on open area width has been
280 K.P. Giapis

Fig. 7.12. Comparison between exper-


imenta l and simulated notch profiles.
The circles r epresent the digitized ex-
PR perimental profile of Nozawa et al. [7]
for a n open a rea of 2 ~m, etched un-
der "sta ndard" plasma and bias condi-
tions, described in Fig. 7.4. The notch
region h as b een expanded to facili-
tate clearer comparison. The poly-Si
Poly-Si dimensions in the expanded r egion are
to scale, unlike the photoresist CPR)
dimensions.

- -
PR

--:- c---
poly

PR PR

Poly-Si ) l Poly- Si

Fig. 7.13 . Comparison between experimenta l and simula t ed notch profiles for the
case of electrically connected lines. The circles r epresent the digitized experimental
profile of Nozawa et at. [7] for the last trench before a large open area, etched under
"standard" plasma and bias conditions , described in Fig. 7.4. The simulated profile
was generated for the sam e overetching time as the profile of Fig. 7.12. The notch
region has been expanded to facilit ate clea rer comparison. The poly-Si and trench
dimensions are to scale; the photoresist CPR) has been trunca t ed.

documented in the experiment s of Nozawa et al. [7]; their experimenta l points


are reproduced in Fig. 7.14. The trend is predicted very well by the simu-
lations lending additional support to the electrostatic origin of the notching
effect.
7 Fundamentals of Plasma Process-Induced Charging and Damage 281

~ ~ '\;1:11
W=1.2I1m
Poly-Si

W=211m W=1011m
Fig. 7.14. Dependence of the calcu-
lated and experimental notch depth
0.30 on the width of the open space,


separating dense L&S patterns. The
0
0.25 points are reproduced from the work
n of Nozawa et al. [7] and describe
~ measurements of notch depth as a

[W]
E 0.20
::1
function of open space width for
~
It 0.15
Q
.c
~ experiments with different line-and-
space structures (L = linewidth, S =
.s0 0 L=0.6, 8=0.6 £I'm]
spacewidth), etched under "stan-
z A- L E O.8, 8-0.6
dard" plasma and bias conditions,
0 L=O.8, 8-0.8 described in Fig. 7.4. The inset fig-
0 • L=0.8, 8=1.0
- - simulated notch depth
ures illustrate the notch profile for
the indicated open area widths ob-
0.00
0 2 4 6 8 10 12 tained for the same 200% overetching
Open Space Width (11m) time.

Ferhaps the most dramatic support for the theory of pattern-dependent


charging presented in this chapter is the prediction of a precipitous reduction
in notching when the thickness of the oxide under the gates is decreased,
to below 8 nm, first demonstrated by Morimoto et al. [25J This remarkable
dependence is a result of a dramatic reduction in the charging potential of
the trench bottom brought about by electron tunneling from the substrate.
The experimental and theoretical results are compared in Fig. 7.15. Recently,
Sheu et al. [53J verified this result in realistic L&S structures containing
gates located simultaneously over thin gate oxide and thick field oxide. Only
gates over field oxide were notched; remarkably, if a gate over field oxide was
bordering a trench over gate oxide, the sidewall facing the trench over gate
oxide did not develop a notch.

t 30
• Experiment
- 0 - Simulation

~
.iJ
2;
20
Fig. 7.15. Comparison between experiment
~
Cl
c and simulations in terms of the reentrant
«
'E 10
000-0
\ angle, cp, at the notched area as a function of
the gate oxide thickness which is assumed to
~
• •
extend over the whole wafer surface. The ex-
CD
perimental points are reproduced from Mo-
r! °0 2 6 B 10 12 14 16 rimoto et al. [25] Two simulated profiles are
Oxide Thickness, nm shown as insets.
282 K.P. Giapis

7.4 Other Profile Effects Influenced by Charging


Ion trajectory deflection can influence the profile not only during the overetch
but also during the main etch, while the lines are connected through the
remaining polysilicon or metal. In this case, any imbalance of ion and electron
currents at the trench bottom will be compensated by charged particles of
the opposite polarity collected at open areas. However, pattern-dependent
charging effects could appear provided that mask charging is significant. We
shall limit our investigation into the role of charging on reactive ion etching
lag (RIE-Iag) and microtrenching.

7.4.1 Reactive Ion Etching Lag


RIE-Iag or aspect-ratio-dependent etching describes the difference in etch rate
between open and densely patterned areas. While other dependencies have
been documented, the "normal" behavior is to have a lower etch rate in the
densely patterned area. Gottscho et al. [10] have provided a thorough review
of the various causes of RIE-Iag, concluding that factors that influence neu-
tral and ion transport were responsible. Among the mechanisms discussed,
differential microstructure charging was mentioned as important for normal
RIE-Iag in dielectric etch. Obviously, charging of the trench or via bottom
could deflect ions to the sidewalls or reduce their translational energy thereby
decreasing the etch rate [54]. However, minimal charging occurs at the bottom
of trenches or vias during plasma etching of conductors (polysilicon, metals)
in view of the electrical continuity of the film. Based on the theoretical liter-
ature available at the time, it was suggested that mask charging could play
only a minimal role in RIE-Iag during etching of conducting materials {lO].
Kurihara and 8ekine [55] have shown experimentally that charging in high
aspect ratio channels with insulating sidewalls can significantly reduce the ion
energy-flux through the channel. While the case of metal or polysilicon etch-
ing may appear different due to the presence of a trench bottom (closed end),
its connection to the open area guarantees a low potential corresponding to
a similar electrostatic boundary condition. Thus, the experiment of Kurihara
and 8ekine suggests that mask charging could contribute to the reduction of
the etch rate at the bottom of vias or trenches.
Detailed simulations of charging and etching of polysilicon L&8 structures
under standard conditions [56] have indicated that RIE-Iag can still QCcur
even in the extreme case of ion-limited etching (theoretical approximation).
In the ion-limited regime, neutrals are assumed to be able to reach instan-
taneously all parts of the pattern including the trench bottom. Thus, the
contribution of neutral shading is (artificially) eliminated and the etch rate
is controlled solely by the ions. Any reduction in the ion energy-flux should
directly impact the etch rate. Figure 7.16 illustrates the simulated profile
evolution for the five-line structure described earlier. RIE-Iag is clearly ob-
served: While the open area has been cleared, there is still material remaining
7 Fundamentals of Plasma Process-Induced Cha rging and Damage 283

Fig. 7.16. Sequence of simulated etch profiles at various etch times . The thick
solid lin e represents the profile just when the open area clears, and illustrates the
existence of ARDE, d espite etching in an ion-limited r egime. The thin solid line
represents the profile after 100% overet ching; notice how the inner sidewall of the
edge ga t e (A) has become straighter while the sidewa lls of the neighboring line (B)
are still reent rant.

between the lines of the p attern. As overetching is continued, the trench bot-
toms will begin to clear at the same t ime. Beyond that point, however , the
ion dynamics at the edge t rench are a ltered in a m anner very different from
what occurs at intermediate trenches. A strong local electric field builds up
at the edge trench in the direction of the edge line because of its low poten-
tial, a r esult of the loca lization of the electrons collected through the sidewall
facing the open area; these electrons can no longer be distributed to inter-
mediat e lines since the electrical continuity has been interrupted. Thus, ions
are deflected preferent ially in the direction of the e dge line, etching the inner
sidewall and causing it to become straighter much s ooner than the sidewalls
of intermediate lines. Since overetching must be continued until the tapered
sidewalls of the intermediate lines b ecome straight, there is ample time for a
notch to form due to continued bombardment of the inner sidewall foot by
the deflected ions.
The smaller etch r at e in the trenches is a r esult of the influence of mask
charging on ions traversing the trench. It can be best explained by plotting
the ion energy distribution function (IEDF) at the poly-Si surface at vari-
ous "snapshots" during etching (Fig . 7.17). The IEDF at the onset of the
main etch in the trench (Fig. 7.17b) differs from the IEDF on a flat wafer
(Fig. 7.17a) because ion motion is perturbed by in-trench electric fields. A
reduction in intensity for both low- and high-energy peaks is readily appar-
ent; the missing ions are lost to the mask sidewalls. The high-energy peak
is also shifted to lower energies as a result of mask sidewall charging and
minor charging of the polysilicon film to facilitate current balance from the
open area. Only minor changes in the IEDF occur during the main etch at
the trench bottom. At the onset of overetching (Fig. 7.17c), however, the
electron supply through the open area decreases thereby inducing changes in
the IEDF: the distribution is no longer bimodal and the high-energy peak
is shifted to even lower energies. Not e that there are still low energy ions
284 K.P. Giapis

(a)

(b)

:i
.i
LL
oW ~ ____________________ ~~

(c)

Fig. 7.17. Ion energy distribution functions


(IEDFs) at various instances during etching
under "standard" plasma and bias condi-
tions: (a) Initial IEDF just above the mi-
(d)
crostructure, (b) at the start of the main
etch, (c) at the start of the initial overetch
(just after the open area clears), and at the
start of the final overetch (just when the
lines become disconnected at the trench bot-
o 20 40 60 80 toms). The insets show the surface segment
Energy, eV where the IEDF is calculated (arrows).

arriving at the poly-Si surface. The peak energy is further reduced when the
poly-Si lines become disconnected at the trench bottom (Fig. 7.17d). These
results clearly demonstrate that, for ion-limited etching, the instantaneous
etch rate in the trench remains constant during the main etch but decreases
significantly at the onset of overetching.
The influence of charging on the IEDF through a via or a trench depends
critically on the mask aspect ratio, defined as the ratio of the mask thickness
over the via diameter or trench width [55]. It will be shown in the next
section that, for large mask aspect ratios , the negative potential of the upper
mask sidewall can be alternated by a strong positive potential at a lower
position, producing an ion-focusing effect with interesting consequences for
profile evolution.
7 Fundamentals of Plasma Process-Induced Charging and Damage 285

7.4.2 Microtrenching

Microtrenching describes the appearance of narrow grooves at the feet of the


sidewalls in the direction of ion bombardment. This profile irregularity is
widely attributed to forward scattering of ions at the sidewalls (of the mask
or the material being etched) [38,57,58]' albeit other mechanisms may be con-
tributing to it as well. Microtrenches have been found to occur during etching
of dielectrics, semiconductors, and metals. We shall limit our discussion on
the etching of doped polysilicon because the scattering dynamics of halogen
atoms on its surface are somewhat understood [38,59]. Etching of dielectrics
or metals proceeds by means of thick passivation layers whose chemistry and
scattering dynamics are currently unknown.
Hwang et ai. [38] have shown that when energetic halogen neutral atoms
or ions impinge on halogenated salvage layers, such as those encountered on
the sidewalls during polysilicon etching, a significant fraction of them may
scatter inelastically in the forward direction retaining memory of the incident
energy and angle. For angles of incidence with respect to the surface normal
greater than 60 0 , the scattered atoms were distributed narrowly about the
specular scattering direction. In addition, the larger the angle of incidence,
the larger the translational energy of the scattered atom. When sidewalls
are inclined with respect to the direction of ions, forward scattering results
in increased ion energy-flux at the sidewall feet, which must be added to
the flux of ions arriving at the same location directly from the plasma. A
larger etch rate ensues at that location which leads to microtrenching. Note
that significant microtrenching can also occur even when the sidewalls are
perfectly straight (vide infra).
Before addressing the role of charging on microtrenching, we must first
understand how sidewall scattering is brought about. We recall from Fig. 7.4a
that ions from the "wings" of the distribution bombard the wafer surface at
angles of incidence larger than 00. Generally, the average angle of incidence,
B, depends on the ion temperature, 'n, and the dc sheath potential, Vdc , as

fl·
described by the relationship:

B = tan- 1 _I (7.6)
Vdc

Modern high-density plasmas generally have a high Ti and are operated


with independent wafer bias at typically low peak-to-peak rf bias voltage
[16]; it is therefore not surprising that the number of energetic ions capable
of bombarding perfectly straight sidewalls will be large. When a thin mask
is used (Fig. 7.18a), scattering at the polysilicon sidewalls is the dominant
cause of microtrenching. When the mask is thick, mask scattering will also
contribute (Fig. 7.18b). High aspect ratio masks can charge up, thus providing
an additional mechanism for ion deflection to the sidewalls and potentially
increasing the scattered flux to the trench bottom (Fig. 7.18c). The degree
286 K.P. Giapis

+ + +

(a) (b) (c)

Fig. 7.18. Schematic illustration of mechanisms that could aggravate microtrench-


ing by increasing the flux of energetic species to the sidewall feet: (a) Ion scattering
at the polysilicon sidewalls, (b) ion scattering at the mask sidewalls, and (c) ion
deflection due to mask charging followed up by ion scattering at the sidewalls. Cases
(a) and (b) refer to oblique ions (ion temperature effect) while case (c) refers to
directional ions (charging effect).

of deflection depends on upper mask sidewall charging and the incident ion
energy.
Mask scattering has been correlated to microtrenching. In particular, the
mask shape has been shown to influence both the shape and depth of the mi-
crotrench [60]. We would like to illustrate this effect by simulating the profile
evolution of polysilicon trenches patterned by a conductive mask whose side-
wall slope, Bm, with respect to the surface normal is varied from 0-10°. For
simplicity, mask etching is neglected and only specular scattering is consid-
ered on both the mask and exposed polysilicon sidewalls; however, the full
incident ion angular distribution is taken into account and the scattered atom
energy is determined by using Eqn. (7.3). The series of trenches are etched
in a pure chlorine plasma, described by the standard conditions except for
the following modifications: Ti = 0.3 V, Vdc = 40 V. The mask aspect ratio is
taken to be 1.0. Mask charging is neglected for this calculation (conductive
mask); we shall see shortly that this is a reasonable approximation even for
the case of insulating masks when the mask aspect ratio is ::;1 and the elec-
tron temperature is ::;4 V. Figure 7.19 illustrates the profile sequences for four
values of Bm in equal etch time increments. The richness of the ensuing profile
shapes is remarkable. Compare the final profile for the four cases: the trape-
zoidal trench bottom obtained for Bm = 10, becomes rounded when Bm = 3°,
sharp triangular when Bm = 5°, and broad triangular when Bm = 10°. This
is a consequence of more scattered ions arriving at the trench bottom. As
the flux is increased by increasing Bm, the microtrenches become broader at
the expense of the material remaining near the trench centerline. This is why
profiles at shorter etch times in the sequences for larger Bm bear similarities
to those obtained at longer etch times but smaller Bm values. Slope changes
in the sidewall of the mask during etching will significantly influence the
evolution of the profile. Although not specifically simulated, striking similar-
7 Fundamentals of Plasma Process-Induced Charging and Damage 287

Fig. 7.19. Profile sequences simulated by artificially varying the slope of the mask
sidewall (8 m ) with respect to normal, as indicated. While ion scattering at the mask
and polysilicon sidewalls has been considered, charging effects have been excluded
from this simulation. The following conditions were assumed for this calculation:
plasma density = 1 x 10 12 cm - 3, electron temperature = 4 V , ion temperature =
0.3 V , rf bias = 40 V (peak-to-peak) applied at 0.4 MHz.

ities exist b etween the profiles of Fig. 7.19 and those published in a recent
comprehensive experimental study by Vyvoda et aZ. [6 1] .
Next we proceed to establish the influence of charging. This will b e illus-
trated by comparing etch profiles obtained with and without charging effects.
Specular mask scattering is included in both cases. The mask aspect ratio
is assumed to b e 1.0, the mask slope is em = 0°, and the mask material
is not etched . Figure 7.20 illustrates profile sequences for a low (1~ = 2 V)
and a high (Te = 8 V) electron temperature. For this simulation, we take
T. = 0.3 V, and Vd c = 40 V . Changes in the electron temperature result in
slightly different profiles even in the absence of mask charging, as inferred
from a comparison of Figs. 7.20a and 7.20b; this is caused by the influence
of Te on the ion energy distribution (Sect. 5.3). When mask charging is in-
cluded in the simulation, profile differences becom e significant only for the
high electron temperature (Te = 8 V). A comparison of Figs. 7.20b and 7.20d
reveals that the microtrenches b ecome much deeper and broader. On the con-
trary, only a slight change in the shape of the bottom is obtained when mask
charging is considered at Te = 2 V , as shown in Figs. 7.20a and 7.20c. The
potential contour maps in the mask region at the onset of etching, shown as
insets in the same figure , provide a clear picture of why charging influences
microtrenching. When Te = 8 V , the substantial negative potential acquired
by the upper mask sidewalls causes ion deflection toward the sidewalls as
anticipated in Fig. 7.18c. In contrast, mask charging is significantly weaker
when Te = 2 V . Generally, the effect of mask charging will be more apparent
at higher electron temperatures and/or larger mask aspect ratios.
It is interesting at this point to examine the influence of the ion tempera-
ture on microtrenching. According to Eqn. (7.6) , increasing Ti results in wider
angles of incidence of ions impinging at the mask and polysilicon sidewalls
(after some etching). Thus, microtrenching should be affected even in the
absence of charging. This is indeed the case, as shown in Fig. 7.21 , obtained
at the indicated ion temperatures, when Te = 8 V, and Vd c = 40 V. The mi-
288 K.P. Giapis

(c)

·6 -4 ·3 .2 V

~
(b) (d)
t-------i
0.3 11m

Fig. 7.20. Profile sequences simulated for cases where mask charging was excluded
[(a) and (b)] or included [(e) and (d)]. The electron temperature was also varied
in this calculation from a low value of 2 V for cases (a) and (e) to a high value of
8 V for cases (b) and (d). Ion scattering at the mask and polysilicon sidewalls has
been considered. The following conditions were assumed for this calculation: plasma
density = 1 x 10 12 cm - 3, ion temperature = 0.3 V , rf bias = 40 V (peak-to-peak)
applied at 0.4 MHz.

(a) (c)

·6 ·4 ·3 ·2V

(b) (d)
t----1
II
0.3 11m
Fig. 7.21. Profile sequences simulated for cases where mask charging was excluded
[(a) and (b)] or included [(e) and (d)]. The ion temperature was also varied in
this calculation from a low value of 0.1 V for cases (a) and (e) to a high value of
0.5 V for cases (b) and (d). Ion scattering at the mask and polysilicon sidewalls has
been considered. The following conditions were assumed for this calculation: plasma
density = 1 x 10 12 cm - 3, electron temperature = 8 V, rf bias = 40 V (peak-to-peak)
applied at 0.4 MHz.
7 Fundamentals of Plasma Process-Induced C ha rging a nd Dama ge 289

crotrenches are sharper a nd more pronounced when T, = 0.1 V (Fig. 7.21a) ,


while they become broader and more rounded at the bottom as Ti is increased
to 0.3 V (Fig. 7.20b) and further to 0.5 V (Fig. 7.2 1b) . Note how the polysil-
icon sidewalls also change shape: The profile becomes more bowed at larger
T, as more ions arrive directly to the sidewall and the reaction probability
there remains finite . The same effects are seen when charging is included in
the simulation, albeit more pronounced. Indeed , the sharp microtrench and
tapered polysilicon sidewall obtained when T, = 0.1 V (Fig. 7.21c) change
into a broader microtrench and more bowed sidewall as Ti is raised to 0.3 V
(Fig. 7.20d) and further to 0.5 V (Fig. 7.21d). Mask sidewall charging is
weaker at higher ion temperature as the corresponding charging maps indi-
cate. This occurs because more ions impinge at the mask sidewalls at larger Ti
bringing about a reduction in negative charging. As before, significant differ-
ences exist between the profiles corresponding to the cases with and without
charging effects.
We conclude this section by a simulation prediction for high mask aspect
ratios, illustrated in Fig. 7.22a, which might become the most dramatic mani-
festation of charging if validated. This case requires thick insulating masks
with straight sidewalls; the result is valid even for moderate values of the

++
V
5 -
= -
3

0 + +
+ +
-3 + +
-6

(a) (b) (c)


Fig. 7.22. (a) Profile sequence predicted for insulating masks with high aspect ra-
tio (3: 1) when mask charging is included. (b) The corresponding potential contour
map at the onset of the main etch. (c) Schematic representation of the ion focus-
ing mechanism responsible for the rounded shape of the trench bottom. Note the
absence of microtrenching. Ion scattering at the mask and polysilicon sidewalls has
been included. The following conditions were assumed for this calculation: plasma
density = 1 x 10 12 cm - 3 , electron temperature = 4 V , ion temperature = 0.3 V, rf
bias = 40V (peak-to-peak) applied at OAMHz.
290 K.P. Giapis

plasma parameters: Te = 4 V, 11 = 0.3 V, and Vdc = 40 V. The simulations


suggest that, when the mask aspect ratio is increased to 3.0, ion deflection due
to upper sidewall charging will cause the lower part of the sidewalls to charge
up positively, as inferred from the charging map of Fig. 7.22b. Given the much
higher energy of the ions, positive charging can reach large absolute values
thereby giving rise to a strong ion focusing effect. At steady state, a large
fraction of the ions will be deflected toward the trench center thus eliminating
sidewall scattering. It is then easy to understand (Fig. 7.22c) why the simula-
tions predict a profile evolution with a rounded bottom and no indication of
microtrenching. Depending on where the focus point is, microtrenching may
appear at greater etch depths. The same effect is responsible for the slowing
of ions as they traverse the mask region as discussed in the previous section.

7.5 Gate Oxide Degradation


Metal etching is an essential processing step in the definition of intercon-
nects for integrated circuits. It is typically performed in high-density plasmas,
where control of ion energy permits directional pattern transfer of a photore-
sist mask into the metal layer with sufficient selectivity to preserve linewidth.
As critical dimensions shrink and aspect ratios increase, charging damage to
buried thin gate oxides connected to the metal lines has been found to occur
more frequently [6]. The damage has been associated with the overetching
period; to the best of our knowledge, damage has not been observed hitherto
during the main etch. One of the culprits is believed to be the slower etch
rate in the trenches (RIE-Iag) which allows a thin layer of metal to remain
in the patterned region at the end of the main etch (see Sect. 4.1). Thus, the
lines remain connected forming a latent antenna which subjected to unequal
fluxes of ions and electrons as a result of electron shading. Significant charg-
ing of the antenna may ensue followed by current injection through the gate
oxide and degradation of its dielectric characteristics.
Simulations of charging during latent antenna overetching have revealed
two mechanisms of current injection: 1) tunneling current surges at the on-
set of overetching [31], and 2) constant current stressing during overetching
[31,62]. Large tunneling currents are possible during latent antenna overetch-
ing as the imbalance of ion and electron currents to each trench bottom is
amplified by the antenna collection area. When the trench bottoms begin
to clear, the antenna effect stops and the tunneling current becomes consid-
erably smaller. In this section, we will first explain the origin of tunneling
current transients and then proceed to investigate the influence of plasma
parameters on charging damage during latent antenna overetching.

7.5.1 The Driving Force for Current Injection


The best way to explain why large currents may be injected during the la-
tent antenna overetching period is to generate potential contour maps of the
7 Fundamentals of Plasma Process-Induced Charging and Damage 291

V. ·5

(b)

Fig. 7 .23. Potential contour maps for a latent antenna exposed to a high-density
plasma at the "standard" plasma and bias conditions . Two cases are shown: (a) an-
tenna is isolated (floating), and (b) antenna is connected to a buried gate, separated
from the grounded substrate by a 4 nm-thick gate oxide.

steady-state charging occurring when an antenna is exposed to a high-density


plasma at the "standard" plasma and bias conditions. The first map, plotted
in Fig. 7.23a, applies to an isolated interconnect structure formed on top of
thick field oxide, as it would be during the onset of overetching. Note that
there is a thin layer of metal connecting all five lines considered for the sim-
ulation. The presence of this layer allows for the imbalance of the plasma ion
and electron currents arriving through the trench openings to influence the
whole antenna. In addition, electrons arriving at the unshaded sidewalls of
the outermost lines (facing the open area) can be distributed at all lines, thus
establishing a low equipotential of 9.8 V. Note the differences between this
map and that of Fig. 7.lOb, where the lines are connected via a cross-line
(comb antenna). Remarkably, the equipotential of the latter structure is ap-
proximately the same; thus any conclusions that apply to the latent antenna,
for the short time the lines remain connected, will also be valid for the comb
antenna long after the metal at the trench bottoms clears off.
Now let us suppose that, after steady-state charging has been reached,
the antenna is connected through a small metal conduit to a buried polysil-
icon gate at a lower level. If the thickness of the gate oxide is such that the
electric field in the oxide exceeds 1.2 V nm -1 (12 MV cm -1), instantaneous
292 K.P. Giapis

breakdown should occur [1]. Assuming for an instant that the oxide quality
does not deteriorate when current is injected, charging simulations can be
performed to predict the magnitude of the total tunneling current, as de-
scribed in Sect. 7.3.2.2. Figure 7.23b illustrates the charging map for a latent
antenna connected to a 4 nm-thick gate oxide; the substrate is assumed to
be grounded [63]. As the potential of the antenna begins to increase, tun-
neling currents begin to flow. When steady-state charging is accomplished,
the equipotential of the antenna reaches a value of 6.2 V driving a tunnel-
ing current of 1O.9Acm- 2 through the gate oxide. The antenna potential
is lower than before because electrons from the substrate reach the antenna
through the oxide. This tunneling current stresses the oxide until the metal
lines become disconnected . When the cumulative charge through the oxide
exceeds 20Ccm- 2, damage will ensue [1]. This constant current stressing is
the most plausible mechanism for damage and explains why the early stages
of overetching are critical.

7.5.2 Tunneling Current Transients

Our objective here is to illustrate when currents flow during etching. The
first simulation will be performed under conditions significantly different
from those employed earlier so that complexities related to mask sidewall
charging and microtrenching are minimized. Since profile evolution must be
included, we choose to etch polysilicon instead of metal, so that the (vali-
dated) etching model discussed above applies. We assume a plasma density
of 4.5 x 1010 cm- 3 , electron temperature of 1.25 V , ion temperature of 0.1 V.
The wafer electrode is biased at 13.56 MHz with a peak-to-peak voltage of
100 V. The pattern consists of five 0.5/lm lines separated by 1.0/lm spaces
(trenches). Identical patterns are separated by large open areas. At the onset
of etching, each feature consists of a 1.0/lm photoresist mask onto a layer of
0.8/lm n+ -poly-Si, formed on top of a thick (> 100 nm) layer of Si02. One of
the lines sits on top of a poly-Si conduit to a small area covered by 3.5 nm
gate oxide [antenna structure, see Fig. 7.24 (not to scale)]. The antenna ratio,

A B c o E

Fig. 7.24. Schematic of the latent antenna structure at the onset of overetching
(M = metal, PR = photoresist mask). The plasma ion and electron currents (Ji,
J e ) onto each trench bottom and those (Ji s, J es ) at the metal sidewalls facing the
open areas are indicated by arrows .
7 Fundamentals of Plasma Process-Induced Charging and Damage 293

defined here as the area ratio of one trench footprint (At = width x length)
over that of the gate oxide (Ao), is taken to be 2,462: 1.
The Monte Carlo simulations of microstructure charging and profile evolu-
tion are performed as described above with the exception that surface charge
dissipation is neglected. Tunneling current transients would not occur without
RIE-Iag [56]; the simulation describes RIE-Iag solely as a result of differential
charging, while neutral shadowing is unimportant in the ion-limited etching
regime. Since the aspect ratio is low (1: 1 at the start, 1.8: 1 at the end of
etching), the difference in etch rate between the trenches and the open area
will be small. We calculated a difference of about 3.2%, which implies a short
"initial overetch" to clear the latent antenna. Because of the low aspect ratio,
the profile evolves with relatively straight sidewalls and flat bottom.
When monitoring tunneling currents, the substrate potential plays a cen-
tral role, given that the potential difference across the oxide determines
whether tunneling will occur, the polarity of the current, and its magni-
tude. A "grounded" substrate is clearly an extreme that is difficult to real-
ize in practice [63], although it simplifies the calculations considerably. The
other extreme is that of a "floating" substrate, completely isolated from the
plasma and capable of responding immediately to the current injections [64].
For completeness, both cases are considered.
We begin by monitoring the potential of various lines (Vi, i = A, B, C) and
the substrate (Vsub), during various phases of the etch (Table 7.1). During
the main etch, all potentials are at zero. As soon as the open area clears,
the potential of the connected lines jumps up to a new value, which remains
constant until the trench bottoms become disconnected. In the next phase
(the final overetch), the potentials of the lines change individually, but not
independently [56]. Since there is not much reentrant sidewall left to collect
ions, the potentials do not vary much as the final overetch progresses.
The changes in the potentials with etch time occur because of variations
in the supply of electrons to the lines as the profile evolves, and can be under-

Table 7.1. Steady-state potentials of various lines and the substrate during the
two phases of the overetch. The plasma parameters for this simulation were: plasma
density = 4.5 x 10 10 cm- 3 , electron temperature = 1.25 V, ion temperature = 0.1 V,
rf bias = 100 V (peak-to-peak) applied at 13.56 MHz.

Floating Substrate Grounded Substrate


Potential Initial Final Initial Final
(V) Overetch Overetch Overetch Overetch
VA 16.20 10.00 4.98 7.50
VB 16.20 24.90 4.98 22.50
Vc 16.20 24.95 4.98 4.64
Vsub 12.98 22.05 0 0
294 K.P. Giapis

3 r---- Main eteh --I Overeteh

(a)

1 st Transient l 2nd
~: Transient

11/ Fig. 7.25. The tunneling current


through a 3.5 nm-thick gate oxide as a
0.0
0c=========~====~
0.5 1.0 1.5
Normalized Etch Time ( t I to )
function of the normalized etch time,
for (a) floating and (b) grounded
substrate. to is the duration of the
main etch. The cumulative charge
3 - Main eteh - - overete.>...: 0.3 NE
over time is also shown for (b). The
1 st Transient / " ~ plasma parameters for this simula-
:~:-
,/ 0.2 ";0 tion were: plasma density = 4.5 x
.
(b) :
"::J:
u 10 10 cm -3, electron temperature =
:
... Q)
1.25 V, ion temperature = 0.1 V, rf
... 0.1 >
~ bias = 100 V (peak-to-peak) applied
:;
E at 13.56 MHz. The aspect ratio of

0.0
::l
0t===========~~~~o (.) each trench changes from 1:1 (at the
0.5 1.0 1.5 onset of the main etch) to 1.8:1 (when
Normalized Etch Time ( t I to ) the trenches are cleared).

stood in conjunction with the tunneling current, plotted in Fig. 7.25. During
the main etch, no tunneling current flows. The ion and electron current im-
balance at the patterned area is compensated for by electrons bombarding
the open area (unshadowed). When the open area clears, electrons can only
be supplied to the outer edge of the pattern. The potential of the connected
lines must increase to attract more electrons, so that the balance is main-
tained. As the substrate attempts to follow (floating case), electrons tunnel
to the poly-Si giving rise to the 1st transient (Fig. 7.25a), with more than
1 A cm -2 surging through the gate oxide. Tunneling stops when the potential
of the lines reaches a value high enough to 1) deflect a number of low energy
ions in the patterned area, and 2) attract more electrons at the outer edge of
the pattern, so that current equality to the latent antenna is re-established.
The current balance is perturbed once more, when the lines become discon-
nected. The electron supply to the outer edge of line A becomes localized and
decreases VA. VB and Vc must increase to deflect more ions; as Vsub trails, a
2nd current transient appears, albeit of a smaller magnitude. We emphasize
that these are true transients, controlled by rapid charging.
When the substrate is grounded, the picture changes dramatically
(Fig. 7.25b). The 1st current transient reaches almost 3Acm- 2 before drop-
ping to a steady-state value of 2.3 A cm -2, which lasts throughout the initial
overetch. Since the potential of the connected lines does not rise as much as
in the previous case (Table 7.1), fewer electrons are attracted at the outer
7 Fundamentals of Plasma Process-Induced Charging and Damage 295

edge of the pattern. Thus, more substrate electrons must tunnel to balance
the ion current. As soon as the lines become disconnected, the current drops
to 0.55Acm- 2 , where it remains throughout the final overetch. Note that
cumulative damage may now become noticeable. The cumulative charge in-
jected (Qinj) increases with overetch time, but more than 50% overetch is
required before it reaches 20 C cm- 2 .
Our results clearly suggest that the 1st current transient could cause se-
vere damage. When the substrate is grounded [63], the oxide field peaks at
14.23MV cm- 1 , causing instantaneous oxide breakdown (C-mode failure) [1];
the sustained high current during the initial overetch can only worsen dam-
age. When the substrate is floating, the oxide field peaks at 9.20 MV cm- 1 ,
exceeding the B-mode failure threshold [1]. In both cases, the damage occurs
probably because a large tunneling current surges through the thin oxide.
The timing of the surge coincides with when the damage is observed experi-
mentally [65].
Such transients have not yet been observed experimentally. Do they really
occur? The simulations indicate that the rapid clearing of conductive material
from open areas is responsible for the transients. The slower this clearing, the
smaller the peak intensity of the transient. Thus, if the etch rate in the open
area is slowed (etch landing schemes), the transients may not be observed.
Note, however, that microtrenching at the foot of the edge line facing the open
area may aggravate the transient since it can effectively break the electrical
connection between the antenna and the open area very abruptly.
In the absence of experimental evidence for the charging transients, we
shall focus our investigation into the steady-state current injection observed
between the transients. which is expected to flow during etching of the latent
antenna. The possibility of such current has been also proposed by Kinoshita
et al. [62].

7.5.3 The Influence of Electron and Ion Temperature


The objective of this section is to illustrate how the electron and ion temper-
atures influence the magnitude of the steady-state current injected through
a thin oxide. With the exception of these two parameters, the simulation
employs our standard plasma conditions. The structure modeled is changed
slightly to emphasize charging of the sidewalls and its side-effects.
To summarize, we vary Te between 2-8 V and Ti between 0.1-0.5 V (typi-
cal ranges); since we aim at revealing trends, we shall not worry about what
combinations of Te and Ti are possible for a particular plasma. We model a
fully dissociated, low-pressure «5 mTorr) Ch plasma under the "standard"
plasma and bias conditions. The pattern consists of five 0.3 ~m features sep-
arated by 0.3 ~m spaces. Identical patterns are separated by 4 ~m-wide open
areas. At the onset of overetching, each feature consists of a 0.6 ~m-thick pho-
toresist onto a 0.3~m-thick metal line, formed on top of a thick (>100nm)
layer of Si0 2 . The metal lines are connected by a thin metal layer remaining
296 K.P. Giapis

in the trenches as a result of RIE-lag. The center line sits on top of a metallic
conduit to a small gate, separated from the grounded substrate by 4 nm-thick
gate oxide (Fig. 7.24). The antenna ratio, defined here as the area ratio of
one trench footprint (At = width x length) over that of the gate oxide (Ao),
is taken to be 1,000; 1.
The Monte Carlo simulation of microstructure charging is performed as
described above with the inclusion of surface currents, which are assumed to
flow readily when the surface electric field on photoresist or exposed oxide
exceeds 1 MV cm- 1 [30]. Although the magnitude of the tunneling current is
treated as a measure of charging damage, the effects of oxide degradation are
not considered [1].
The dependence of the steady-state tunneling current, J tn , through the
4 nm gate oxide on the electron and ion temperatures is summarized in
Fig. 7.26. Table 7.2 also lists representative potential and current values
at various locations, as defined in Fig. 7.24. J tn is the sum of the Fowler-
Nordheim and direct tunneling currents described above. At steady state,
J tn can be also approximated by;

(7.7)

where N is the number of trenches in the antenna, As is the area of the outer
antenna sidewall, J i and J e are the ion and electron current densities at a
trench bottom, and J is and J es are the ion and electron current densities at
the outer antenna sidewall.
As expected, J tn depends strongly on Te. The current increases by a factor
of 18.5 when raising Te from 2 to 8 V (for 11 = 0.1 V constant). The increase
can be even larger, e.g., a factor of 100 for the same rise of Te but at a
higher 11 = 0.5 V (see Table 7.2). This dependence confirms again the crucial
role of electron shading on charging damage. While small currents at low T e

80
70
60
C\J
E 50
Fig. 7.26. The steady-state
~ tunneling current through a
c 4 nm-thick gate oxide as a
-,-
function of the electron (Te)
and ion (71) temperatures.
The other plasma parameters
were fixed to the values speci-
fied in the "standard" plasma
and bias conditions.
7 Fundamentals of Plasma Process-Induced Charging and Damage 297

Table 7.2. Calculated ion and electron currents supplied to the residual metal at
the bottom of each trench (Ji, Je) and to each outer antenna sidewall (Jis , J es ) for
various combinations of ion (Ti) and electron (Te) temperatures; the steady-state
antenna potential (Vp ) and the corresponding tunneling current (Jtn ) through a
4 nm gate oxide (antenna ratio = 1000) are also listed.

Te Ti Ji/Je Jis / Jes Vp Jtn


(V) (V) (mAcm- 2 ) (mAcm- 2 ) (V) (Acm- 2 )

2 0.1 3.96/1.39 0.17/3.12 5.85 3.99


8 0.1 22.1/3.42 4.89/4.85 6.87 74.24
2 0.5 3.31/1.82 4.27/3.08 5.29 0.51
8 0.5 18.16/4.85 4.23/5.18 6.72 49.81

may be tolerated, the exponential increase in current accompanying plasma


operation at higher Te is bound to lead to damage. Although not as strong,
the dependence of J tn on Ti is remarkable. The tunneling current actually
deer'eases roughly by 30 90% (Te dependent) upon increasing Ti from 0.1 to
0.5 V. This beneficial effect of ion temperature on charging damage has not
been discussed before.
To better understand the influence of Te and T, on tunneling current, it
is instructive to plot the potential contour maps for various parameter com-
binations. In Figs. 7.27a and 7.27b, we compare a case of "severe" electron
shading (Te = 8 V) with one of "mild" electron shading (Te = 2 V), both at
a low Ti = 0.1 V. As a consequence of the more energetic electrons at higher
T e , significant negative charging appears at the upper photoresist sidewalls
of the former case. One might expect a decrease in the electron current to the
trench bottom as a result of the more negative entrance potential [79]. How-
ever, Table II indicates the opposite: J e increases from 1.39 to 3.42 rnA cm- 2
upon raising Te from 2 to 8 V, respectively. The proportionality of the plasma
conduction current to the Bohm velocity (ex: Pe) could account for at most
a factor of 2 increase if charging of the sidewalls were absent. The factor of
2.5 increase observed is attributed to the larger population of higher energy
electrons at Te = 8 V, which can penetrate the more negative entrance po-
tential. Once in the trench, such electrons are more readily directed to the
bottom by the larger electric field between trench entrance and conductive
bottom. Remarkably, the ion current to the trench bottom increases much
more: from 3.96 to 22.1 mAcm- 2 (a factor of 5.6!) for the same rise in Te.
The magnitude of the increase cannot be explained by the change in plasma
conduction current. What is missing?
Raising the electron temperature increases the minimum sheath potential,
Vdc from 4 to 21.5 V which, in turn, shifts both the low and high energy peaks
of the bimodal ion energy distribution to higher energies, as predicted from a
self-consistent treatment of the sheath [40] and illustrated in Fig. 7.28. Since
Ti remains constant, more energetic ions cause a decrease in ion shading;
298 K.P. Giapis

V ·5 -2 - I -0.6-0205 I 2 3 5 6 7 10 13 16 19

(b)

(c)

Fig. 7.27. Potential contour maps for various combinations of electron and ion
temperatures: (a) Te = 8V, 'Ii = 0.1 V, (b) Te = 2V, 'Ii = 0.1 V , and (c) Te = 2V,
'Ii = 0.5 V. Other plasma parameters were: plasma density = 1 x 10 12 cm -3, rf
bias = 50 V (peak-to-peak) applied at 0.4 MHz. Each feature is 0.3 11m-wide and
consists of 0.5 11m photoresist onto 0.3 11m polysilicon; the trench width is 0.3 11m;
the trench aspect ratio for this simulation is just under 3:1. Charge dissipation
along the insulating surfaces (including the substrate) is allowed when the surface
electric field exceeds 1.0 MV cm -1 .
7 Fundamentals of Plasma Process-Induced Charging and Damage 299

T =2V

./
u..
o
:\
!:!:! 0.05 Fig. 7.28. Initial ion energy distribution
iii 1\
:;::; 1; functions (IEDF) calculated for the indi-
::
:E :: cated values of electron temperature (Te).
t \. Other plasma parameters were: ion tempera-
f
",". ~.
ture = 0.1 V, plasma density = 1 x 10 12 cm- 3 ,
0.00 ,-,-~.u::.~-,-~-,---,,----,-~~
o 20 40 60 80 100 rf bias = 60 V (peak-to-peak) applied at
Energy, eV 0.4 MHz.

as a result, fewer ions are lost to the sidewalls by direct irradiation. Since
the antenna potential increases by a mere 1 V (see Table 7.2), fewer ions
are deflected away from the bottom. For these two reasons, many more ions
make it to the trench bottom at the higher T e , thereby contributing to the
net tunneling current through the buried gate oxide. It is interesting to note
that the worsening in differential charging at higher Te does not really play
much of a role: there are just mOTe directional ions arriving at the patterned
~mrface at h'igheT energy. The changes in J i and J e , when amplified by the
antenna collection area [N(At/Ao)], account for a larger increase in J tn than
listed in Table 7.2; here is where the antenna perimeter plays a role.
The imbalance of ion and electron currents to the outer conductive side-
walls can be positive or negative, depending on the values of Te and T i . As
inferred from Table II, a net negative current enters the antenna through
the outer sidewalls at Te = 2 V; the net current becomes slightly positive
at Te = 8 V (Ti = 0.1 V constant) as a result of the large increase in J is
which far exceeds the increase in J es due to the dependence of the plasma
conduction current on Te. This result is surprising considering that the ions
become more directional at Te = 8 V. Its origin lies in the significant negative
charging at the upper mask sidewall facing the open area (Fig. 7.27a). The
negative potential is large enough to deflect slower ions that travel in the
vicinity of the mask toward the antenna.
The ion temperature effect can be explained by the broadening of the ion
angular distribution which: 1) reduces the ion flux to the trench bottom (ion
shading), and 2) increases ion bombardment of the upper mask sidewalls, thus
reducing the negative potential at the trench entrance (Fig. 7.27c). Indeed,
this is most easily observed at the outer antenna sidewalls where the ion flux
increases from 0.17 to 4.27mAcm- 2 when Ti is changed from 0.1 to 0.5 V,
respectively (Te = 2 V constant), although the negative potential at the upper
mask sidewalls actually decreases. Furthermore, J i decreases from 3.96 to
3.31 rnA cm- 2 for the same change. Remarkably, J c increases slightly from
1.39 to 1.82 rnA cm -2, indicating that more electrons penetrate the reduced
300 K.P. Giapis

entrance potential. The net result of the Ii increase is a dramatic decrease in


J tn from 4.0 to 0.5Acm- 2 . The trend also holds for larger values ofTe .
In summary, the steady-state tunneling current through the buried gate
oxide connected to the antenna depends strongly on electron temperature,
not because of more severe differential charging of the pattern but rather as
a result of the influence of the electron temperature on the ion energy distri-
bution function and on the plasma conduction current. Remarkably, plasma
operation at a higher electron temperature increases both ion and electron
currents to the shaded antenna; damage worsens because the increase in pos-
itive current overwhelms the increase in negative current. At higher ion tem-
perature, the tunneling current decreases in all cases suggesting a reduction
in charging damage. The net current collected by the antenna under all condi-
tions is proportional to the total area between the antenna fingers (including
the etched polysilicon sidewalls). The antenna perimeter was found to play
a more complex role whose significance depended on both electron and ion
temperatures. The simulation results suggest that operation at low electron
and high ion temperatures, e.g., by running the plasma at higher pressure,
should be preferred for a reduction in charging damage during overetching.
Note that such or operation should be limited only to the etching of the latent
antenna; otherwise it could adversely affect the profile.

7.6 Charging Reduction Methodology

Notching can be reduced by decreasing the energy and/or flux ofthe deflected
ions to the sidewalls. Sidewall passivation or changes in the etch chemistry can
also be employed, but these methods effectively increase the energy threshold
for etching [14]. The root of the problem is the differential charging of the mi-
crostructure and only by attacking it can notching be completely eliminated.
Making the electron angular distribution more anisotropic would prevent
sidewall charging, while it would also neutralize very effectively the bottom
surface potentials. This approach is, however, not compatible with continuous
plasma operation. Broadening the ion angular distribution is also, obviously,
not an option, although it could effectively decrease sidewall charging. Given
the existence of the positive sheath and the difference between the ion and
electron anisotropy, how can surface charging be reduced?
For a given geometry, charging is mainly influenced by the electron tem-
perature [20], the energy distribution of ions arriving at the wafer, and the
ability of the dielectric surface (Si0 2 ) to conduct electricity (surface cur-
rents) [30,54,66]. A low electron temperature, T e , results in less negative
trench entrance potentials, which permit more plasma electrons to reach the
trench bottom, thus establishing current balance at lower charging potentials
[67]. The electron temperature decreases with the chamber pressure and the
plasma size [16]. Operation at higher pressures has the added advantage of
a more collisional sheath which broadens the ion angular distribution and,
7 Fundamentals of Plasma Process-Induced Charging and Damage 301

thereby, the positive current to the mask sidewalls. Thus, performing the
overetch at a pressure higher than the main etch is a good way to decrease
differential microstructure charging and notching.
Although not immediately apparent, a similar reduction in charging can
be achieved at lower pressures when the ion energy distribution function has
a significant low energy component (typically ~ 10 eV). Directional ions with
such low translational energy can be deflected by lower charging potentials,
further away from the trench bottom - and the gate electrode sidewalls; by
contributing to upper sidewall neutralization, these low energy ions can re-
duce differential charging significantly. Indeed, a dramatic reduction in notch-
ing has been reported for etching in high-density plasmas by applying an rf
bias, v;.f, at very low rf frequencies (~400kHz) as compared to the unbiased
case. Applying the rf bias leads to a bimodal ion energy distribution function
[15] - to be contrasted with the unimodal distribution for an unbiased wafer
platen - with the low energy component at substantially reduced transla-
tional energies [68]. The position of the low energy peak is determined by the
rf bias frequency, sheath thickness, and the minimum dc sheath potential,
Vdc , that spontaneously develops across the sheath, which is given by [16]:

Vdc = Te
2
[In (~)
27rMe
-In (27rVrf)]
Te
, (7.8)

where Me = electron mass, Mi = ion mass (or the sum of the abundance-
weighted ion masses, when multiple ions are present). Vdc obviously decreases
by decreasing Te (double benefit!). Also, addition of helium, or the easier
ionizable hydrogen, to the plasma will decrease Mi and Vdc by introducing
light ions, such as He+, H+, and Ht. The benefit of this effect is, however,
discounted at low rf bias frequencies [28].
An interesting way to reduce the trench bottom potential was proposed
by Kofuji et al. [69] for polysilicon gate etching in continuous high-density Cb
plasmas. By applying a short (~100 ns) positive voltage pulse (up to 100 V) to
the wafer platen, they claimed to be able to increase the substrate potential
above the plasma potential. As a result, electrons were accelerated to the
wafer, struck the bottom surface of high-aspect-ratio patterns and reduced
the local charge buildup. They indeed demonstrated a dramatic reduction
in notch depth by increasing the repetition frequency of the voltage pulses,
which presumably increased the number of electrons striking the bottom
surface. Neither the etch selectivity, nor the etch anisotropy were adversely
affected. Kofuji et al. [70] later implemented the same idea in an rf-biased
wafer platen by superimposing a short positive dc pulse on top of the rf bias
during the sheath potential minimum. Again they demonstrated a significant
reduction (by a factor of 2) in notch depth as compared to applying the rf bias
alone. The pulse bias technique apparently increases the directionality of the
electrons during the short pulse, thereby overcoming a fundamental limitation
of sheath dynamics. However, more experimental proof - accompanied by
theoretical analysis - is needed to corroborate this interpretation.
302 K.P. Giapis

Thinking along the same lines, Shibayama et al. [71] proposed to exploit
the negative ions existing in halogen plasmas by applying an rf bias capable of
reversing the sheath so that the negative ions can be accelerated to the wafer
surface. When running a high density SF 6 plasma under conditions for which
the negative ion (F-) density was maximized (power = 300W, pressure =
40mTorr, peak-to-peak bias voltage = 200V applied at 400kHz), they were
able to etch polysilicon gate electrodes on a platen located 9 cm away from
the plasma source, with no evidence of notching even for very long (400%)
overetching times! The improvement was attributed to charge neutralization
at the trench bottom "achieved by alternating irradiations of negative and
positive ions" during the rf cycle. The direct correspondence between the
density of F- and the polysilicon etch rate was offered as evidence that
etching by negative ions had taken place. The etch rate was relatively high,
corresponding to an etch yield per each F- of about 4. This number appears
to be fairly high, given that the F- energy distribution at the wafer was
unimodal with a peak around 4eV. Unless the etch chemistry changes when
negative ions are present, such high yield values would require projectiles
with energy >100eV [72]. At a peak-to-peak bias voltage of 200V, one would
expect that positive ions are accelerated to such energies. It is known [20,73]
that increasing the ion energy decreases notching, which would explain the
result without invoking etching by negative ions. However, it was claimed
[71] that etching by positive ions hardly occurred. Overzet et al. [74] had to
pulse a high density SF 6 plasma to enable F- extraction during the afterglow,
because sheath fields were difficult to reverse during the active glow "even
when the Iep source [was located] 15 cm from the mass spectrometer pinhole
inlet and [they were] investigating highly electronegative SF 6 discharges at
low power levels «500 W)." The results of Shibayama et al. [71] are all the
more intriguing for charging damage, when considering that the possibility
of sheath reversal for one-half of the rf cycle implies that the electron angular
distribution will be more anisotropic, thus providing an additional reason for
reduced differential charging. Although the idea of alternating irradiation of
negative and positive ions is promising, more experimentation is needed to
verify that sheath reversal does occur.
A significant reduction in charging damage has been reported when etch-
ing in pulsed plasmas [75-77]. The neutralization in charging potentials im-
plied by these improvements has been attributed to the lower sheath potential
in the afterglow [75], negative ions [76], more directional electrons in the af-
terglow [77], less anisotropic ions in the afterglow [78,79], more electrons than
ions in the early stages of the active glow [80], more electrons than ions in
the late stages of the afterglow [79,81], just to mention a few of the proposed
mechanisms. The apparent controversy suggests gaps in the understanding
of sheath dynamics in pulsed plasmas. Our thesis is that the mechanism for
charge reduction depends on when the sheath collapses and is different before
and after the latter event. Thus, we distinguish two time intervals in the af-
7 Fundamentals of Plasma Process-Induced Charging and Damage 303

terglow: interval I, from the moment the plasma power is turned off until the
sheath collapses, and interval II, spanning the time after the positive sheath
disappears. When the power-off time is within interval I, we have shown that
low energy ions, produced as the electron temperature is rapidly decreased,
are ultimately responsible for the reduced charging potentials at the trench
bottom surface [82]. Deflected by smaller local electric fields, these ions reach
the upper mask sidewalls where they decrease the negative entrance poten-
tial; current balances are accomplished at lower charging potentials along
the patterned surface. The significant low energy ion component of pulsed
plasmas can also explain the improvement in etch selectivity of Si0 2 over
Si [75]. The interested reader is referred to our extensive article on charging
reduction in pulsed plasma etching [82].

7.7 Concluding Remarks


7.7.1 Historical Perspective
It is somewhat ironic that the requirement for anisotropic ion angular dis-
tribution - which makes plasmas so useful in directional pattern transfer -
is accompanied by less anisotropic electrons that cause pattern-dependent
charging. It is also interesting to point out why charging-induced profile
irregularities were first seen when high-density plasma sources were intro-
duced for etching. Albeit thicker, sheaths also exist in low-density capac-
itively driven glow discharges [16], causing a similar anisotropy difference
between the angular distributions of ions and electrons at the wafer. Then
why was notching not observed when etching gate electrodes in parallel plate
etchers? First, the electron temperature was generally lower in these reac-
tors [16], while aspect ratios were also not as high, making it difficult to
observe charging damage [67]. Secondly, the energy of incident ions was gen-
erally much larger than that obtained in high-density sources [16], where
independent control of the sheath voltage is possible. To deflect more ener-
getic ions near the trench bottom, larger charging potentials are required.
However, surface charging potentials cannot increase indefinitely; they are
limited by surface currents [54,30] and by more plasma electrons, which can
be attracted through the trench entrance. Thirdly, parallel plate etchers were
usually operated at higher pressures making the sheath collisional and, thus,
broadening the ion angular distribution significantly [83]; more positive ions
to the sidewalls make the entrance potential less negative, which leads to
an increased electron flux from the plasma to the trench bottom and lower
charging potentials there. We surmise that differential charging of patterned
surfaces must have occurred in low-density high pressure glow discharges;
however, the trench bottom surface charged up probably less, causing fewer
ions to be deflected to the sidewalls and also with lower translational energy.
Remarkably, pattern-dependent charging has been considered in simulations
of profile evolution during etching in low-density plasmas [84,85]. Although
304 K.P. Giapis

the possibility of differential microstructure charging was pointed out, the


computational complexity of the in-trench charged particle dynamics limited
the focus of such studies to the influence of mask charging on ion trajectories
and sidewall bowing. The requirements of low ion energy for reduced bom-
bardment damage, low pressure operation for easier removal of etch products
from confined spaces, and high ion currents for improved throughput have
led to the replacement of rf glow discharges by low-pressure, high-density
plasma sources. The advantages of more directional and lower energy ions
have also enhanced differential charging in densely patterned surfaces and
made its adverse effects more dramatic.

7.7.2 Will Charging Problems Persist?

It appears that notching is no longer a problem as overetching is frequently


performed in the presence of species, such as bromine or oxygen, that form
passivating layers at the sidewalls. However, sidewall passivation results in
linewidth loss which may become a problem in future generations of devices.
Likewise, it appears that current injection in extremely thin-gate oxides pro-
ceeds by direct tunneling which is claimed to be less damaging to the oxide
[48]. The issue is currently a matter of controversy as it is unclear whether
presently used damage-assessment methods can be employed at all at oxide
thickness below 2 nm [86]. Even the increase in pattern aspect ratio, which
generally worsens charging problems, has been predicted in simulations to
reverse the trend and cause less damage beyond a certain point [87].
Although encouraging, such results should not diminish the effort to un-
derstand the physics of charging damage. The fundamental difference be-
tween the anisotropy of ions and electrons arriving at a patterned surface
will continue to exist as it is inextricably linked with the use of electroposi-
tive plasmas. As long as plasmas are used in the manufacture of integrated
circuits, pattern-dependent charging will remain a possibility and its poten-
tially adverse effects will always threaten reliability and yield.

Acknowledgements. This material was based on work supported by NSF


through a Career Award (profile effects) and through grant ECS-9729968
(charging damage). KPG is grateful to the Camille and Henry Dreyfus Foun-
dation for a New Faculty Award and a Camille Dreyfus Teacher-Scholar
Award. The work of GSH was also supported by the Applied Materials Schol-
arship Program at Caltech.
7 Fundamentals of Plasma Process-Induced Charging and Damage 305

References

1. S. Wolf, Sil'lcon Processing for the VLSI Era (Lattice Press, Sunset Beach, CA,
1995) Vol. 3.
2. R.A. Gottscho, Phys. World 6, 39 (1993).
3. D.B. Graves, M.J. Kushner, J.W. Gallagher, A. Garscadden, G.S. Oehrlein, and
A.V. Phelps, Database Needs for Modeling and Simulation of Plasma Processing
(National Academy Press, Washington, DC, 1996).
4. Proceedings of the 1st International Symposium on Plasma Process-Induced
Damage (Santa Clara, 1996).
5. C.T. Gabriel, and J.P. McVittie, Solid State Technol., June 1992, p. 81.
6. K. Hashimoto, Jpn ..J. Appl. Phys. 32, 6109 (1993).
7. T. Nozawa, T. Kinoshita, T. Nishizuka, A. Narai, T. Inoue, and A. Nakaue,
.lpn. J. Appl. Phys. 34, 2107 (1995).
8. N. Fujiwara, T. Maruyama, and M. Yoneda, Jpn. J. App!. Phys. 34, 2095
(1995).
9. K.P. Cheung, and C.P. Chang, J. App!. Phys. 75,4415 (1994), and references
cited therein.
10. R.A. Gottscho, C.W. Jurgensen, and D.J. Vitkavage, J. Vac. Sci. Techno!. B 10,
2133 (1992), and references cited therein.
11. V. Vahedi, N. Benjamin, and A. Perry, Proceedings of the 2nd International
Symposium on Plasma Process-Induced Damage (Monterey, CA, ] 997), p. 41.
12. K.P. Giapis, and G.S. Hwang, Jap. J. App!. Phys. 37, 2281 (1998).
13. T. Kinoshita, M. Hane, and J.P. McVittie, J. Vac. Sci. Techno!. B 14, 560
(1996).
14. G.S. Hwang, and K.P. Giapis, J. Vac. Sci. Techno!. B 15, 70 (1997).
15. M.S. Barnes, J.C. Foster, and J.H. Keller, IEEE Trans. Plasma Sci. 19, 240
(1991).
16. M.A. Lieberman, and A.J. Lichtenberg, Principles of Plasma Discharges and
Materials Processing (John Wiley & Sons, Inc., New York, 1994).
17. J.R. Woodworth, M.E. Riley, P.A. Miller, G.A. Hebner, and T.W. Hamilton,
J. App!. Phys. 81, 5950 (1997).
18. G.S. Hwang, and K.P. Giapis, J. App!. Phys. 82, 566 (1997).
19. S. Ogino, N. Fujiwara, H. Miyatake, and M. Yoneda, Jpn. J. Appl. Phys. 35,
2445 (1996).
20. N. Fujiwara, S. Ogino, T. Maruyama, and M. Yoneda, Plasma Sources Sci.
Techno!. 5, 126 (1996).
21. H. Morioka, D. Matsunaga, and H. Yagi, J. Vac. Sci. Techno!. A 16, 1588
(1998).
22. K.K. Chi, H.S. Shin, W.J. Yoo, C.O . .lung, Y.B. Koh, and M.Y. Lee, Jpn.
J. Appl. Phys. 35, 2440 (1996).
23. S. Samukawa, and T. Mieno, Plasma Sources Sci. Techno!. 5, 132 (1996).
24. M. Sato, and Y. Arita, J. Vac. ScLTechno!. B 16, 1038 (1998).
25. T. Morimoto, C. Takahashi, and S.Matsuo, Proceedings of the 13th Dry Process
Symposium (Tokyo, 1991) p.57.
26. J.P. Chang, and H.H. Sawin, 44th National Symposium of the American Vac-
uum Society, San Jose, CA, 1997 (unpublished), oral presentation in session
PS-ThA1.
306 KP. Giapis

27. S. Tabara, Proceedings of the 3nd International Symposium on Plasma Process-


Induced Damage (Honolulu, HI, 1998), p. 187.
28. G.S. Hwang, and KP. Giapis, Appl. Phys. Lett. 71, 1942 (1997).
29. H. Ootera, T. Oomori, M. 'lUda, and K Namba, Jpn. J. Appl. Phys. 33, 4276
(1994).
30. KP. Giapis, and G.S. Hwang, J. Appl. Phys. 84, 683 (1998).
31. G.S. Hwang, and KP. Giapis, J. Electrochem. Soc. 144 (1997) L285.
32. G.S. Hwang, and KP. Giapis, Appl. Phys. Lett. 74, 932 (1999).
33. G.S. Hwang, and KP. Giapis, Appl. Phys. Lett. 70, 2377 (1997).
34. G.S. Hwang, and KP. Giapis, IEEE Trans. Plasma Sci., Sp. Issue "Images in
Plasma Science," in press.
35. KP. Cheung, and C.S. Pai, IEEE Electron Devices Lett., 16, 220 (1995).
36. C. Cismaru, J.L. Shohet, and J.P. McVittie, Proceedings of the 4nd Interna-
tional Symposium on Plasma Process-Induced Damage (Monterey, CA, 1999),
in press.
37. G.S. Hwang, and KP. Giapis, Appl. Phys. Lett. 71, 2928 (1997).
38. G.S. Hwang, C.M. Anderson, M.J. Gordon, T.A. Moore, T.K. Minton, and
KP. Giapis, Phys. Rev. Lett. 77, 3049 (1996).
39. M.A. Lieberman, and S. Ashida, Plasma Sources Sci. Technol. 5, 145 (1996).
40. M.A. Lieberman, IEEE Trans. Plasma Sci. 16,638 (1988).
41. C. Steinbriichel, Appl. Phys. Lett. 55, 1960 (1989).
42. J.P. Chang, and H.H. Sawin, J. Vac. Sci. Technol. A 15,610 (1997).
43. J. Harris, in Dynamics of Gas-Surface Interactions, (ed. C.T. Rettner, and
M.N. Ashfold), (Royal Society of Chemistry, Cambridge, 1991), Chap. 1.
44. M. Lenzlinger, and E.H. Snow, J. Appl. Phys. 40, 278 (1969).
45. Z.A. Weinberg, Solid-State Electron. 20, 11 (1974).
46. M. Hirose, Mater. Sci. Eng. B 41, 35 (1996).
47. The parameters Q and (3 depend on the tunneling barrier height c/>B, which
for the n+ -poly-Si/Si0 2 /p-Si(100) system is fixed at 3.0V regardless of oxide
thickness, and also on the reduced electron effective mass for FNT conduction
taken to be m"FTN = 0.5. Parameter /j depends on the reduced electron effective
mass for DT conduction also taken to be mVT = 0.5.
48. D. Park, and C. Hu, IEEE Electron Devices Lett., 19, 1 (1998).
49. H.C. Miller, IEEE Trans. Electr. Insul. 28, 512 (1993).
50. G. Blaise, and C. Le Gressus, J. Appl. Phys. 69 6334 (1991).
51. J.P. Vigouroux, O. Lee-Deacon, C. Le Gressus, C. Juret, and C. Boiziau, IEEE
Trans. Electr. Insul. 18, 287 (1983).
52. S. Tabara, Jpn. J. Appl. Phys. 35 2456 (1996).
53. B.R. Sheu, M.Y. Tsai, T.S. Yeh, and E.S. Jeng, Proceedings of the 20th Dry
Process Symposium (Tokyo, 1998) p. 91.
54. J.C. Arnold, and H.H. Sawin, J. Appl. Phys. 70, 5314 (1991).
55. K Kurihara, and M. Sekine, Plasma Sources Sci. Technol. 5, 121 (1996).
56. G.S. Hwang, and KP. Giapis, Appl. Phys. Lett. 71, 1945 (1997).
57. T.J. Dalton, J.C. Arnold, H.H. Sawin, S. Swan, and D. Corliss, J. Electrochem.
Soc. 140, 2395 (1993).
58. A.C. Westerheim, A.H. Labun, J.H. Dubash, J.C. Arnold, H.H. Sawin, and
V.Y. Wang, J. Vac. Sci. Technol. A 13, 853 (1995).
59. T.K Minton, KP. Giapis, and T.A. Moore, J. Phys. Chern. A 101, 6549
(1997).
7 Fundamentals of Plasma Process-Induced Charging and Damage 307

60. R.J. Hoekstra, M.J. Kushner, V. Sukharev, and P. Schoenborn, .J. Vac. Sci.
Technol. B 16, 2102 (1998).
61. M.A. Vyvoda, H. Lee, V.M. Malyshev, F.P. Klemens, M. Cerullo, V.M. Don-
nelly, D.B. Graves, A. Kornblit, and J.T.C. Lee, J. Vac. Sci. Technol. A 16,
3247 (1998).
62. T. Kinoshita, S. Krishnan, W. Dostalik, and J. McVittie, Proceedings of the
2nd International Symposium on Plasma Process-Induced Damage (Monterey,
CA, 1997), p. 45.
63. The substrate is "grounded" when its potential remains constant despite the
current injection. This situation occurs when large "patches" of substrate are
directly (or through a thin oxide) exposed to the plasma, e.g., at wafer edges,
at scribe lines (separating dyes), or at open areas separating dense patterns.
64. Often in real processing, a wafer has an Si0 2 layer on its backside that wraps
over to the front edge so that the substrate is in electrical contact neither with
the platen nor with the plasma; see also [8].
65. S. Krishnan, W.W. Dostalik, K. Brennan, S. Aur, S. Rangan, and S. Ashok,
Technical Digest o,f Int. Elec. Dev. Meeting (IEDM) , p. 731 (1996).
66. G.S. Hwang, and K.P. Giapis, Appl. Phys. Lett. 71, 458 (1997).
67. G.S. Hwang, and K.P. Giapis, Phys. Rev. Lett. 79, 845 (1997).
68. The low energy ions are also less directional, which helps sidewall neutralization
by direct irradiation from the plasma during the sheath potential minimum;
however, this contribution is small compared to the flux of ions that arrive
at the sidewalls after traveling some distance into the trench, before they are
deflected.
69. N. Kofuji, K. Tsujimoto, and T. Mizutani, Pmc. 17th DTY Process Symp.
(Tokyo, 1995) p. :~9.
70. N. Kofuji, M. Mori, M. Izawa, K. Tsujimoto, and S. Tachi, Proc. 19th Dry
Pro,cess Symp. (Tokyo, 1997) p.113.
71. T. Shibayarna, H. Shindo, and Y. Horiike, Plasma So,urces SCI. Techno,l. 5, 254
(1996).
72. M.E. Barone, and D.B. Graves, J. Appl. Phys. 78,6604 (1995).
73. A. Hasegawa, Y. Hikosaka, K. Hashimoto, and M. Nakamura, Pmc. 18th Dry
Process Symp. (Tokyo, 1996) p. 43.
74. L.J. Overzet, B.A. Smith, J. Kleber, and S.K. Kanakasabapathy, Jpn. J. Appl.
Phys. 36, 2443 (1997).
75. S. Samukawa, and K. Terada, J. Vac. Sci. Technol. B 12, 3300 (1994).
76. S. Samukawa, Appl. Phys. Lett. 64, 3398 (1994).
77. T.H. Ahn, K. Nakamura, and H. Sugai, Plasma Sources Sci. Technol. 5, 139
(1996).
78. T.H. Ahn, K. Nakamura, and H. Sugai, Jpn. J. Appl. Phys. 34, L1405 (1995).
79. T. Kinoshita, T. Nozawa, M. Hane, and J.P. McVittie, Pmc. 18th Dry Process
Symp. (Tokyo, 1996) p. 37.
80. M. Tuda, K. Ono, M. Tsuchihashi, M. Hanazaki, and T. Komemura, Pmc. 19th
Dry Process Symp. (Tokyo, 1997) p.57.
81. T. Maruyama, N. Fujiwara, S. Ogino, and M. Yoneda, Jpn. J. Appl. Phys. 36,
2526 (1997).
82. G.S. Hwang, and K.P. Giapis, Jpn. J. Appl. Phys. 37, 2291 (1998).
83. J. Liu, G.L. Huppert, and H.H. Sawin, J. Appl. Phys. 68, 3916 (1990).
84. D.J. Economou, and R.C. Alkire, J. Electrochem. Soc. 135,941 (1988).
308 K.P. Ciapis

85. S.C. Ingram, J. Appl. Phys. 68 (1990) 500.


86. H.C. Lin. C.G. Chen, C.H. Chien, S. K. Hsein, M.F. Wang, T.S. Chao, T.Y.
Huang, and C.Y. Chang, IEEE Electron Devices Lett., 19, 68 (1998).
87. W.W. Dostalik, S. Krishnan, T. Kinoshita, and S. Rangan, Proceedings of the
3rd International Symposium on Plasma Process-Induced Damage (Honolulu,
HI, 1998), p. 160.
8 Surface Damage Induced by Dry Etching

S.W. Pang

8.1 Introduction
As the size of electrical and optical devices is scaled to ever smaller dimen-
sions, the surface condition of the devices affects the performance more dra-
matically. Dry etching is often used for pattern transfer for high performance
devices with submicrometer dimensions. Dry etching is needed to control the
directionality of the etch profile for small features. Besides vertical profile,
low damage and high etch rate are also important to maintain high device
performance and high throughput. Dry-etching processes, with energetic par-
ticles bombarding the samples, can induce defects in the materials that de-
grade device properties [1-13]. The defects generated by dry etching often are
much deeper than the ion penetration range and they make damage removal
difficult [14,15].
Different types of defects can be generated as surface damage after dry
etching. These include crystalline defects such as vacancies, interstitials, dis-
locations, or stacking faults, surface roughness, impurities, and device charg-
ing. These defects cause changes in materials that can degrade device char-
acteristics. The demands for the integration of electronic and optoelectronic
devices on the same wafer have made it important to understand how the
etch-induced damage can affect the electrical and optical properties [16,17].
The competition between creation and removal of damage by dry etching
determines whether the devices will have low damage or not [12,18,19]. Slow
etching has been shown to lead to accumulation of damage [19,20] while faster
etch rates have been shown to lead to a denser but shallower damage layer
near the surface [12]. In this chapter, a review of dry-etch-induced damage in
Si and III-V based devices is presented. The important etch conditions that
affect surface damage will be discussed. Techniques to minimize or remove
dry-etch induced defects will be summarized. With the proper etch conditions
or damage removal techniques, high device performance can be achieved after
pattern transfer by dry-etching.

8.2 Surface Damage in Si


Dry etching is critical for the fabrication of Si-based integrated circuits to
provide directional etching and precise dimensional control for small features.

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
310 S.W. Pang

In addition, low damage and high etch rate are also important to maintain
high device performance and high throughput. In a conventional plasma-
etching system, an increase in concentrations of ions and neutral species also
causes an increase in ion energy. This is undesirable since substantial damage
is expected when high-energy ions are used for etching. Previous studies [21-
25] have shown higher leakage current, increased interface state density, or
increased contact resistance after dry-etching. The damaged layer is often
much deeper than the ion penetration range, which makes damage removal
difficult.
To provide more flexibility and lower damage for dry-etching, high-density
plasma sources could be used. Instead of using only one power supply to
control ion energy and ion density, as is often used in typical plasma system,
high-density plasma systems consist of two separate power supplies [26-30].
The power to the source is mainly used to control the ion density while the
power to the stage determines the ion energy. Therefore, a plasma with high
ion density but low ion energy can be generated and low etch-induced damage,
high etch rate, and high selectivity can be expected. For Si etching, a high-
density plasma system consists of a multipolar electron cyclotron resonance
(ECR) source or an inductively coupled plasma (ICP) source with an rf-
powered stage is used. Similar results are expected when other high-density
plasma systems are used, provided the plasma is uniform across the stage.

8.2.1 Changes in Electrical Characteristics due to Dry Etching

Surface damage related to dry-etching includes crystalline defects (vacancy,


interstitial, dislocation, stacking fault, ... ), oxide breakdown and leakage,
surface roughness, and impurities. There are different techniques to evalu-
ate the etch-induced damage. In general, these methods can be classified
into two categories: electrical characterization and surface analysis. Electri-
cal measurements are more sensitive to surface damage since defect density
levels down to 109 cm- 2 can be detected [31-33]. On the other hand, surface
analysis can provide information on the physical origins of the defects [34-36].
By relating results obtained from electrical characterization to surface analy-
sis, it is expected that the mechanisms for defect generation by dry-etching
can be better understood.
Schottky diodes and metal oxide semiconductor (MOS) capacitors have
been used to characterize dry-etch-induced damage on Si surface. These sim-
ple structures are easy to fabricate with only a few processing steps. There-
fore, surface damage due to dry-etching can be evaluated without the com-
plications from subsequent processing. Schottky diodes were formed with a
Pt/ Au (50/250 nm) contact to the etched Si surface and Al on the backside
of the wafer. The metals were deposited by electron beam evaporation and
the diodes were 1500 x 1500 11m2. To form MOS capacitors, thermal oxide
was grown in dry oxygen at 1000°C. Electron beam evaporated Al was used
8 Surface Damage Induced by Dry Etching 311

as front and backside contacts. Post-metallization annealing was carried at


450°C in N2 for 20 min. The MOS capacitors were 500/lm in diameter.

8.2.1.1 Responses of Schottky Diodes after Dry Etching


Current-voltage (I-V) and capacitance-voltage (C-V) measurements on
Schottky diodes can be used to obtain parameters related to device per-
formance such as breakdown voltage (VBR), ideality factor (n), barrier height
(¢B), doping profile, and intercept voltage (V;) [5]. Breakdown voltage is de-
fined as the reverse bias voltage that will induce lOOIlA leakage current. For
comparison, all the samples were etched to a depth of 150 nm. Figure 8.1
shows the forward I-V curves obtained at different microwave power with
the self-induced dc bias voltage (lVdcl) fixed at 50V. Etching was performed
with 10 sccm Cl 2 at 1 mTorr and the sample was 8 cm below the source. With
different microwave power and fixed IVdc I, the concentrations of ions and re-
active species were varied but the ion energy remained mostly unchanged.
The control sample was etched to the same depth using a wet chemical so-
lution. It has an ideality factor of 1.0, barrier height of 0.84eV, intercept
voltage of 0.53 V, and breakdown voltage of 60 V. As microwave power was
increased, the diodes became more leaky. The ideality factor increased to 1.42
as microwave power was increased to 800 W. Meanwhile, the barrier height
decreased to 0.69 eV and the breakdown voltage decreased to 30 V. At low
IVdc I, the higher concentrations of ions and reactive radicals generated by
higher microwave power causes more defects to be generated and results in
more leaky diodes.

10· 1

10· 2

10· 3

~ 10· 4
I-
Z
W 10· 5
a:
a: 10· 6
::l
()
10· 7

10· 8

10· 9
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8
FORWARD VOLTAGE (V)
Fig. 8.1. Forward I-V curves for Schottky diodes after etching with different mi-
crowave power at a fixed IVdc I of 50 nm. The control sample was etched using a
wet chemical solution. Dry etching was performed with 10 sccm Cb at 1 mTorr and
8 cm source distance. The microwave power was varied from 50 to 800 W.
312 S.W. Pang

The effects of concentrations of ions and reactive species were also inves-
tigated at higher ion energy. The IVdc I was increased to 150 V as microwave
power was varied from 50 to 800 W. The ideality factor and barrier height
after etching are shown in Fig. 8.2. At higher IVdc I, the diode characteris-
tics improve with higher microwave power, in contrast to the results shown
in Fig. 8.1. When microwave power was increased from 50 to 800W, the
ideality factor decreased from 1.23 to 1.05 and the barrier height increased
from 0.74 to 0.78eV. Similarly, increases in breakdown voltage and intercept
voltage were observed at higher microwave power. These results are different
from when a lower IVdcl of 50V was used and they can be explained by the
balance between generation and removal of defects. At low IVdcl, the etch rate
enhancement at higher microwave power is limited due to the low ion energy
used. With IVdcl at 50V, the etch rate increased from 54 to 85nmmin- 1 as
the microwave power was increased from 50 to 800W. When the concentra-
tions of ions and reactive species are increased with increasing microwave
power, more defects are generated and they cannot be effectively removed by
etching due to the low etch rate. At high IVdcl, the increased microwave power
causes a significant increase in the Si etch rate. The etch rate increased from
75 to 190nmmin- 1 when IVdcl was kept at 150V and the microwave power
was increased from 50 to 800 W. The faster etch rate at higher microwave
power can improve device performance by faster removal of the damaged layer
during etching [20]. Even though more defects can be generated at higher mi-
crowave power, they are also removed faster as the sample is etched, resulting
in a lower net defect density. Therefore, diode characteristics improve with
higher microwave power when high ion energy is used but they become worse
at high microwave power when low ion energy is used.

1.25 0.80

1.20 0.78 m
II:
0
>
::u
I- ::u
0.76 iii
0
< 1.15 ::u
u.
:::I:
~ m
::::i 1.10 0.74 is
<
W
:::I:
.....
e 1.05 0.72.s
CD

1.00 0
100 200 300 400 SOO 600 700 800 0 .70
MICROWAVE POWER (W)

Fig. 8.2. Ideality factor and barrier height variations with microwave power at a
fixed IVdcl of 150V. The samples were etched with lOsccm Cb, 1mTorr, and 8cm
source distance.
8 Surface Damage Induced by Dry Etching 313

The effects of ion energy can be evaluated by varying the rf power on


the stage. Figure 8.3 shows breakdown voltage and intercept voltage as a
function of rf power. Si samples were etched with 10 sccm Clz at 1 mTorr.
Microwave power was 50 W with sample located at 8 cm below the source.
The breakdown voltage decreased from 60 to 6 V and the intercept voltage
decreased from 0.26 to 0.15 V as rf power was increased from 20 to 250 W.
At the same time, the ideality factor increased from 1.08 to 1.90 and the
barrier height decreased from 0.81 to 0.63eV. When rf power was increased
from 20 to 250 W, IVdc I also increased from 42 to 445 V which corresponded
to higher ion energy. The results clearly indicate that device degradation is
more significant at higher ion energy. It is important to maintain low-energy
ions in the plasma to keep the etch-induced damage to a minimum.

70 0.30
~ 60 Z
w 0.25 -I
C-' m
50 :D
~
...I 0.20 ~
0
>
z
40
0.15 ~
"
-I

30 r-
0== -I
0 0.10 ~
~
« 20 m
w
a:
m 10 0.05 :3
00 50 100 150 200 250 0
rf POWER (W)

Fig. 8.3. Dependence of breakdown voltage and intercept voltage on rf power. Si


samples were etched with 10sccm Cb, 1mTorr, 50W microwave power, and 8cm
source distance.

When an inert gas is added to the discharge, it can change the balance
between the chemical and physical etching components. Typically, Schottky
diodes became more leaky when more Ar was added in a Clz plasma. The
degradation of the Schottky diode characteristics at higher concentration of
Ar may be attributed to the increased physical sputtering process compared
to the chemical reaction when more Clz is present. More defects are generated
when physical sputtering dominates over the chemical etching process.

8.2.1.2 Effects of Damage on MOS Capacitors


To study the effects of oxide etching, a thermal oxide layer that was 95 nm
thick was etched down to ,,-,50 nm under different dry-etch conditions. MOS
capacitors were formed by depositing front and back contacts on the samples
after oxide etching. From the high and low frequency (capacitance-voltage)
314 S.W. Pang

c-V measurements of the MOS capacitors, fixed charge density and interface
state distribution were obtained [1]. The interface state density was calculated
by integrating from 0.28 eV above the valence band edge to 0.28 eV below the
conduction band edge. The oxide breakdown field was obtained from the I-
V measurements and is defined as the electric field that will induce a lilA
leakage current. No significant difference in the C-V and I-V characteristics
were observed after dry-etching of the oxide when compared to the wet-etched
sample. All the samples had fixed charge density of 2 x 10 10 cm- 2 , interface
state density of 1.2 x 10 10 cm -2, and breakdown field of 10 MV cm -1 before
and after oxide etching. The results indicate that partial etching of oxide
without reaching down to the oxide-Si interface does not cause degradation
in the MOS capacitors.
In addition, Si was etched under different conditions and the etched sur-
face was oxidized to form thermal oxide that was 52.5 nm thick. Part of the
etch-induced defects could be annealed out due to the high temperature used
for oxidation. Figure 8.4 shows the breakdown field of MOS capacitors and
the corresponding IVdc I for Si etched at different rf power. The plasma was
generated with 10 sccm C1 2 at 1 mTorr, 50 W microwave power, and 8 cm
source distance. As rf power was increased from 20 to 200 W, the breakdown
field decreased from 10 to 4 MV cm -1 while IVdc I increased from 42 to 370 V.
As rf power is increased, more defects are generated, similar to the Schottky
diode characteristics shown in Fig. 8.3. When oxide is grown on the etched
surface, the defects in Si, including crystalline defects, surface roughness, or
impurities can degrade the oxide quality and result in a lower the breakdown
field. With IVdc I fixed at 50 V, breakdown field was also found to decrease

(f)
10 400 m
r
1'1
E 350 Z
~ 8
300 c:
0
e
Q
0
m
..J 6 250 0Q.
W
u:: 200
0
OJ
z l>
4 150 (f)
==
0 <
Q
!II:: 0
100 r
cs: 2
w
a: 50
~
m G>
m
...-.
00 50 100 150 200 0 <
;:;::
rf POWER (W)

Fig. 8.4. Dependence of breakdown field of MOS capacitors on rf power. Si samples


were etched with 10sccm Cb at 1mTorr, 50W microwave power, and 8cm source
distance. After etching, the Si surface was oxidized to form 52.5 nm thick thermal
oxide.
8 Surface Damage Induced by Dry Etching 315

from 10 to 5 MV cm- l as microwave power was increased from 50 to 800W.


Reducing the Cl 2 concentration from 100 to 0% also decreased the breakdown
field from 10 to 4 MV cm- l .
Figure 8.5 shows the high and low frequency C-V curves before and
after Si etching. For the dry-etched sample, only the low frequency C-V
curve is shown since the high frequency C-V curve overlaps with the control
sample. The control sample showed a fixed charge density of 2 x 10 10 cm- 2
and interface state density of 1.2 x 10 10 cm- 2 . After etching, the Si samples
with 10 secm Ch at 1 mTorr, 50 W microwave power, 50 W rf power, and
8 cm source distance, the low frequency C-V curve was slightly distorted
compared to the control sample. The interface state density increased slightly
to 2.5 x 1010 cm- 2 while the fixed charge density remained unchanged. For
MOS capacitors formed by oxidizing the dry-etched Si surface, varying the
microwave power, rf power, or Cl 2 concentration has no influence on the
fixed charge density and only causes a slight increase (2X) in interface state
density.

0.8

x 0.6

.....
0
0.4

0.2

o -4 -2 0 2 4
APPLIED VOLTAGE (V)

Fig. 8.5. High and low frequency C-V curves. For the control sample, both the
high (- ---) and low ( - - - ) frequency C-V curves are shown. For the dry-etched
sample, only the low ( ......... ) frequency C-V curve is shown. The dry-etch con-
ditions were 10sccm Cb at 1 mTorr, 50W microwave power, 50W rf power, and
8 cm source distance.

8.2.2 Defects Evaluated by Surface Analysis

Besides evaluating the etch-induced damage by electrical measurements on


Schottky diodes and MOS capacitors, transmission electron microscopy
(TEM), Auger electron spectroscopy (AES), and thermal wave spectroscopy
(TWS) can be used to identify the physical origins of the defects. TEM can
provide substantial information on the defect type, size, density, and distrib-
316 S.W. Pang

ution and it is a very powerful surface analysis technique. On the other hand,
AES and thermal wave spectroscopy do not require any sample preparation.
Surface composition and the concentration profile can be obtained by AES.
The thermal wave signal is very sensitive to changes on the surface but the
interpretation of the results is more complicated.
For TEM, the samples were prepared in {1l0} cross-sections. The samples
were mechanically polished and thinned to electron transparency «3 nm) by
ion milling with 4 keV Ar ions in a liquid nitrogen cooled stage. Microscopy
was performed using a Philips 420T STEM at 120keV and a JEOL 2010
TEM at 200 keV. Surface morphology evaluations were obtained via bright
field and lattice imaging techniques. Crystal damage studies were obtained
via centered weak beam dark field (g = 220) techniques. AES was performed
using a PHI660 scanning Auger microprobe manufactured by Perkin-Elmer.
The electron beam size is 1 f.lm with electron energy at 5 ke V. An Ar ion
beam with accelerating voltage of 4 ke V is used to sputter the sample for
profile analysis. For TWS, a Therma-Probe 200 was used. The pump beam
is a 35mW Ar+ laser at 488nm and the probe beam is a 5mW He-Ne laser
at 633 nm with I-f.lm-dia scanning area.

8.2.2.1 Defect Density and Distribution Analyzed by TEM

Transmission electron microscopy was used to analyze surface damage after


etching the Si samples under different rf power. From the electrical measure-
ments, device degradation is more significant at higher rf power. Figure 8.6
shows the defect density and damage layer thickness obtained from TEM
analysis as a function of rf power. The etch condition was 1 mTorr, 10 sccm
C1 2 , and 23 cm below the ECR source. The defects were found to be mainly

140
c
:l>-
'E s:
u :l>-
C> 130 I:)
m
'0
r
')( 10 :I>-
-<
120 m
:II
~
U)
-I
:I:
z 110 0
~ 5 ;II;
z
t>w 100
m
III
III
IL.
W :i'
C ~
oL.............................................L...........................................J...............
~
90
o 100 200 300 400 500
rf POWER
Fig. 8.6. Effects of rf power on defect density and damage layer thickness as mea-
sured by TEM. The samples were etched with 10 sccm Cb at 1 mTorr and 23 cm
below the ECR source.
8 Surface Damage Induced by Dry Etching 317

dislocation loops and their sizes ranged from 1.2 to 2.4 nm. For samples etched
with 50 or 250W rf power, both upper and lower defect layers were found.
The top layer had the higher defect density, which was r-.J1 x 1011 cm- 2
for both rf powers. The defect density of the bottom layer increased with rf
power from 3.6 x lO lD cm- 2 for 50 W rf power to 6.3 x lO lD cm- 2 for 250 W rf
power. For samples etched at 500 W rf power, only one single-damage layer
was observed with a defect density of 1.0 x 1011 cm- 2 . The-total thickness
of the damage layer decreased from 134 to 91 nm as rf power was increased
from 50 to 500 W.
The increase in the defect density at higher rf power correlates with the
degraded Schottky diode characteristics. More defects are expected to be
generated at higher ion energy. The decrease in the damage layer thickness
at higher rf power may be related to faster removal of the defects generated at
higher etch rate. The Si etch rate increased from 18 to 82 nm/min as rf power
was increased from 50 to 500 W. Even though more defects are generated at
higher rf power, the faster etch rate may result in a shallower damage depth.
These results suggest that low ion energy should be used to minimize etch-
induced damage and a fast etch rate can reduce the damage layer thickness.

8.2.2.2 Auger Electron Spectroscopy


to Analyze Surface Composition
During dry-etching, impurities from the chamber wall, etch mask, reactive
gas, or nonvolatile etch products may deposit on the samples and influence
device characteristics. AES is used to study the chemical composition of the
etched samples and provide information about the incorporation of impu-
rities. Figure 8.7 shows the composition profile for Si samples etched with
10 Seem Cl 2 at 1 mTorr, 25 W microwave power, 75 W rf power, and 5 em
below the ECR source. The etched Si surface consisted of 85% Si, 8% C,
and 7% O. The C and 0 on the etched surface were due to exposure in air
when samples were transferred from the plasma system to the AES system.
The same levels of C and 0 were also detected on the Si samples that have
not been dry-etched. No other impurities such as Ni or Cl were found. This
indicates that the etched Si surface is not contaminated by the etch mask
(Ni) or the reactive gas (CI 2 ). After 0.5 min of Ar sputtering, C or 0 could
not be detected and 100% Si was observed. These results show that there is
no metallic impurity or residual gas left on the etched Si surface. Etching Si
with a Cl 2 plasma generated by the ECR source can provide a residue-free
surface for high device performance and high reliability.

8.2.2.3 Thermal Wave Spectroscopy for Surface Damage


Thermal wave spectroscopy has the advantages of requiring no sample prepa-
ration and short measurement time. It is highly surface sensitive but the in-
terpretation of the thermal wave signal is more complicated. A pump beam
318 S.W. Pang

100
lz
0 80
~
ct
a:
I- 60
Z
W
0
z 40
0
0
0
:i 20
~
0.2 0.4 0.6 0.8 1
SPUTTER TIME (min)

Fig. 8.7. Atomic concentrations for Si, C, and 0 obtained by AES as a function of
sputter time. Si samples were etched with 10sccm Ch at 1 mTorr, 25 W microwave
power, 75 W rf power, and 5 cm below the ECR source.

(488 nm) is used to incident on the sample to generate the thermal wave.
When defects are formed in the sample, the thermal wave is modulated which
changes the reflectivity of the substrate. The detected thermal wave signal
from the reflected probe beam (633 nm) typically increases with the defect
density in the sample. Figure 8.8 shows the effects of rf power on thermal
wave signal and ideality factor of Schottky diodes. The samples were etched
with 10 sccm Ch and 50 W microwave power at 1 mTorr and 8 cm source dis-
tance. As the rf power was increased from 20 to 500 W, the thermal wave
signal increased from 182 to 676 and IVdcl increased from 60 to 712V. Mean-
while, the ideality factor of the Schottky diodes increased from 1.08 to 1.90

....... 800 2
~
~ 700
..oJ 1.8
zct 600 is
m
»
~
en 500 1.6 r-
:;j
w 400
>
; 300 1.4 »"TI
0
....
....
ct 0
200 :II
::i 1.2
a: 100
w
::E:
I-
00 100 200 300 400 5001
rf POWER
Fig. 8.8. Dependence of thermal wave signal and ideality factor on rf power. The
etch conditions were lOsccm Ch at ImTorr, 50W microwave power, and 8cm
below the ECR source.
8 Surface Damage Induced by Dry Etching 319

as rf power was increased from 20 to 250 W. The increase in thermal wave


signal and ideality factor indicates that more defects are generated at higher
rf power due to higher ion energy.
The effects of Ar addition are studied since Ar is often used to stabilize
the plasma or modify etch rate and surface morphology. Figure 8.9 shows
the dependence of the thermal wave signal and breakdown voltage on Ar
addition. The total gas flow rate for Ar and Cl 2 was lO sccm. The samples
were etched at 1 mTorr pressure, 50W microwave power, 20W rf power, and
8 cm below the ECR source. As the Ar concentration was increased from 0 to
70%, the thermal wave signal increased from 190 to 289 while the breakdown
voltage of the Schottky diodes decreased from 60 to 50 V. In Si etching, Ar
provides the physical sputtering component while Ch promotes the chemical
reactions. Typically, physical sputtering tends to generate more defects than
chemical etching and the Ar concentration should be minimized to reduce
damage.

60
til
:D
5 8 ~

56 C "
~Z
54 <
or-
52 i!
c;')
2 0 0 L......JL..-JL..-JL..-JL..-JL..-JL.......;,--,--,--,--,--,--'--II 5 0
m
'<
010203040506070 ......

Ar PERCENTAGE (%)
Fig. 8.9. Effects of Ar percentage on the thermal wave signal and breakdown volt-
age of the Schottky diodes. Argon was added in Cb to have total gas flow of 10 sccm.
The samples were etched at 1mTorr, 50W microwave power, 20W rf power, and
8 cm source distance.

8.2.3 Modeling of Etch-Induced Damage

The etch-induced damage for Si etched with a Ch plasma has been studied.
Understanding the influence of plasma parameters on damage formation and
the physical properties of the defects allows the dry-etch-induced damage to
be controlled and minimized [31-33]. A physical model for damage induced by
dry-etching was derived. This model relates defect density and distribution
to microwave power, !Vdc !, etch rate, and damage depth. The results agree
320 S.W. Pang

with the degradation of ideality factor and barrier height of Schottky diodes
after etching.
In this model, the etch-induced damage is assumed to cause additional
leakage current through the Schottky diode. As shown in Fig. 8.10, a sheet
charge of etch-induced defects is located at the interface between the metal
and the semiconductor. Electrons from the semiconductor may hop into the
defect states and tunnel into the unoccupied states in the metal and cause
additional leakage current besides the thermionic emission current. This leak-
age current depends on the defect density, probability for the defect states
to be occupied by electrons, and the injection rate of electrons from the de-
fect states. A number of simplifications are made for the damage model. The
defects are assumed to distribute uniformly throughout the Si bandgap and

Fig. 8.10. Energy band diagram


showing additional leakage current
caused by tunneling from the defect
states beside the thermionic emission
~~-------------EV
current.

the probability for a defect state to be occupied by an electron follows the


Fermi-Dirac distribution function [39-41]. The injection rate from the defect

¢b-c
states has been estimated to be 105 S-1 [42,43]. Referring to Fig. 8.10, EFM
represents the Fermi level of the metal, is the difference between the
metal work function and the Si electron affinity for the control sample, ¢i
is the builtin potential related to the work function difference between the
metal and Si, V is the forward bias voltage, EFS is the Fermi level of the
semiconductor, Ec is the conduction band edge of the semiconductor, and
Ev is the valence band edge ofthe semiconductor. The total forward current
for a Schottky diode including the defect-induced current is:

J = J th + Jdefect (8.1)

J th = A*T2 exp ( -~~b-c) exp(~~) (8.2)

Jdefect =
qN
E
lEe dE
(8.3)
1 + exp
(E )'
7 g Ee-<I>b-c kTE F

where J is the total current, J th is the thermionic emission current, Jdefect is


the leakage current induced by the defects, A * is the effective Richardson's
constant (31Acm- 2 K- 2 ), T is the temperature (300K), N is the defect den-
sity (cm- 2 ), Eg is the Si energy bandgap (1.12eV), and 7- 1 is the injection
8 Surface Damage Induced by Dry Etching 321

rate. It is assumed that electrons in the defect states can only tunnel into
the unoccupied states in the metal. The ideality factor (n) and barrier height
(!>h--m) obtained from the measurements are related to the total current:

(8.4)

2
¢b--rn = -kT - -) ,
In (A*T (8.5)
q Jo

where the subscripts 1 and 2 represent two different forward bias voltages
and J o is the total current when no bias voltage is applied. Using (8.1)-(8.5),
the defect density can be calculated as a function of the measured current.
The defect density is related to the measured ideality factor as:

EgA*T2 {exp ( q(V2;;;~») - [exp ( q(V,;;;~») exp ( q(~;;:!))]}


N=
q[
T exp nkT
l)
(q(V2- V fEe
Ee -c/>~ l+exp (E
dE
k~Fl) -
fEe
Ee-c/>~
dE] ,
l+exp (E k~F2)
(8.6)

(8.7)

(8.8)

where EFI and EF2 are the corresponding Fermi levels in Si at the metal-
semiconductor interface with forward bias of Vl and V2 . Similarly, the defect
density can be calculated from the measured barrier height as:

EgA*T2 [exp ( -qt;:rn ) - exp ( -~~~ )]


N= ------~_=~----~----~----~
q fEe dE
(8.9)
T Ee-c/>~ l+exp (E k:F)

Using the expressions shown in (8.6) and (8.9), defect density is calculated
from the measured current as a function of microwave power, IVdcl, etch rate,
and distance from the etched surface. The results can be used to predict
the degree of damage induced by dry-etching. Figure 8.11 shows the increase
in defect density with microwave power with IVdcl fixed at 50V. Si samples
were etched with 10 sccm Ch at 1 mTorr and 8 cm below the ECR source.
The defect density was calculated from the changes in the ideality factor after
etching. As microwave power was increased from 50 to 800 W, the measured
ideality factor increased from 1.10 to 1.42, corresponding to an increase in the
calculated defect density from 8.0 x 108 to 1.2 X 10 10 cm -2. Meanwhile, the
Si etch rate increased from 45 to 80 nm min -1. The calculated defect density
is related to microwave power and etch rate by curve fitting:
N = 5.4 X 107 M1.6 exp( -0.073R), (8.10)
322 S.W. Pang

N
-
'E
80
70
1.45
1.4
u
'b 60 1.35 is
,.... m
--
><
>
I-
50
40
~
1.3 r-
::::j
1.25 -<
en
Z
"11
~
w 30 1.2 0
c -t
0
I-
0 20 1.15 :a
w
u..
w 10 1.1
c
0 1.05
0 200 400 600 800 1000
MICROWAVE POWER (W)
Fig.8.11. Defect density calculated from the measured current as a function of
microwave power. Si samples were etched with 10 sccm Cb at 1 mTorr, 50 V IVdc I
and 8 cm below the ECR source. The measured ideality factor was also shown for
comparison.

where l'vl is the microwave power in Wand R is the etch rate in nm min -1.
Figure 8.11 shows that the increase in the ideality factor with microwave
power corresponds to the increase in defect density.
At 50 V, defect density is found to increase with microwave power. At
higher 1Vdc I, surface damage is found to be lower at higher microwave power
due to the faster removal of the damaged layer as shown in Fig. 8.12. The
calculated defect density, the measured barrier height, and the predicted bar-
rier height are shown in this figure. The samples were etched using similar
conditions as shown in Fig. 8.11 except 1Vdc 1 was increased to 150 V. As
the microwave power was increased from 50 to 800 W, the measured barrier
height increased from 0.74 to 0.78 e V, corresponding to a decrease in the cal-
culated defect density from 4.5 x 10 9 to 6.7 X 10 8 cm- 2 . Significant increase
in etch rate from 70 to 158 nm min -1 is also observed. The fitted expression
for defect density at 150 V Vdc is: 1 1

N = 1.45 X 10 9 M1.6 exp( -0.073R). (8.11)

This relationship is almost identical to the one at 50 V Vdc except the first
1 1

constant in the expression is larger at higher 1Vdc I. By taking into account


the influence of microwave power and etch rate on the defect density, the net
etch-induced defects are found to decrease with microwave power when the
etch rate is high, but increase with microwave power when the etch rate is
low.
Besides concentrations of ions and reactive species, ion energy typically
plays an important role in defect generation. Figure 8.13 shows the defect
density and ideality factor at different Vdc as rf power was varied. Si samples
1 1
8 Surface Damage Induced by Dry Etching 323

50 0.78
......
N I
I
'E I 0.77
u 40
,,
til

...
"b
~ ,
I' - .
0.76
J>
:lJ
:lJ
iii
30 :lJ
~
,•
..----
enz ,,
0.75 m :J:

i5
w 20 -' :J:
c 0.74 ....
I- Ii'
()
w 10 oS
LL 0.73
W
C
o ~~~--~~~--~~--~--~ 0.72
o 200 1000 400 600 800
MICROWAVE POWER (W)
Fig. 8.12. The calculated defect density (_), the measured barrier height (_), and
the predicted barrier height (- - - -) at different microwave powers. The process
conditions were lOsccm Cb at ImTorr, 150V IVdcl, and Scm below the ECR
source.

1000 2.0
......
N

'E
u 1.8
...~
"b
100
a
m
J>
1.6 r
>
I-
:::j
-<
enz "T1
J>
w 1.4
c 10 ....0
0
I- :lJ
()
w
LL
1.2
w
c
1 1.0
0 50 100 150 200 250 300 350 400
1VdCl (V)

Fig. 8.13. The calculated defect density and measured ideality factors at different
IVdcl. Si samples were etched with lOsccm Cb at ImTorr, 50W microwave power,
and 8 cm below the ECR source.

were etched with 10sccm Ch at 1 mTorr, 50W microwave power, and 8cm
below the ECR source. The defect densities at different IVdc I as rf power
was varied were calculated from the changes in ideality factor using (8.6). As
IVdcl was increased from 42 to 356 V, the measured ideality factor increased
from 1.08 to 1.90 while the calculated defect density increased from 5.9 x 108
to 3.4 X 10 10 cm- 2 . By performing a curve fitting on the calculated defect
density, the increase in defect density is related to IVdc I and etch rate by:
N = 3.88 X 10 9 exp(0.034IVdcl) exp( -0.073R). (8.12)
324 S.W. Pang

The exponential increase in defect density with IVdc I indicates that substan-
tial damage can be generated at high ion energy. As IVdc I was increased from
42 to 356 V, the measured ideality factor varied from 1.08 to 1.90 while the
predicted ideality factor using (8.6) and (8.12) shows an increase from 1.08 to
1.92. This shows that the damage model can be used to predict the Schottky
diode characteristics and the results agree with the measurements.
Etch-induced damage is found to depend on the etch conditions. A general
expression that relates defect density to microwave power, ion energy, etch
rate, and distance from the etched surface can be shown as [19]:

N = 7.1 X 10 6 M1.6 exp(0.034IVdcl) exp( -0.073R) exp( -0.039d). (8.13)

The first coefficient in (8.13) was chosen to provide the best fit to all the
measured Schottky diode characteristics while keeping the coefficients for
microwave power, IVdcl, etch rate, and distance from the etched surface fixed.
This damage model can be used to predict the changes in Schottky diode
characteristics and defect density as a function of etch conditions and the
results are in good agreement with the measurements. Factors that show a
strong influence on the etch-induced damage include ion flux, ion energy, and
etch rate.
The ion penetration range and the number of displacements generated
in the Si substrate due to ion bombardment can be simulated using the
Monte Carlo technique as shown in Fig. 8.14. This simulation was developed
by Ziegler et al. [44] and the software package for the calculation is called
transport of ions in matter (TRIM). As the ion energy was increased from 100
to 1000 eV, the ion penetration range increased from 0.9 to 3.1 nm and the
number of displacements increased from 2 to 24. This simulation predicts that
higher energy ions are more efficient in creating defects and can penetrate

4.0 25
3.5
20 c
3.0 iii
-g
E 2.5 r-
.s. 15 :J>
0
w 2.0 m
~ 5:
Z m
c:( 1.5 10 z
a: ::::!
1.0
0
z
5
0.5
0 0
0 200 400 600 800 1000
ION ENERGY (eV)
Fig. 8.14. Ion penetration range and displacement generated per ion calculated
using Monte Carlo simulation.
8 Surface Damage Induced by Dry Etching 325

deeper. The increase in the number of displacements with ion energy agrees
with the measured Schottky diode characteristics. However, the simulation
shows a linear dependence of defect density on ion energy while the diode
characteristics indicate an exponential dependence. In addition, the damage
depth was found to be ~60 nm from the electrical measurements. The deeper
defect penetration measured in this low ion energy range may be related to
ion channeling or ion-enhanced diffusion. The results suggest that the Monte
Carlo simulation based on elastic and inelastic collisions between the ions
and the substrate is only valid at high ion energy range. At lower ion energy,
secondary effects such as channeling and diffusion can be significant and result
in much deeper damage depth compared to the Monte Carlo simulation.

8.3 Surface Damage in 111-V Semiconductors

Dry etching has been used in the fabrication of advanced device structures in
III V materials with submicrometer dimensions because of its favorable etch
profile and etch depth controllability over wet etching. However, the pres-
ence of ions during dry-etching could induce damage on the etched surface,
resulting in degradation of device performance [1-6,45-47]. Therefore, a low
damage etching process suitable for fabricating electrical and optical devices
is needed. Etch-induced damage can be easily detected with Schottky diodes
since any defects present at the metal-semiconductor interface can reduce
the barrier height and cause nonideal behavior in the I-V characteristics.
The doping profile can also be obtained from C-V measurements, which pro-
vides useful information on the damage that is present deeper into the etched
substrate. Schottky diodes were formed on l!lm thick GaAs epitaxial layers
doped to rv 1 X 10 17 cm- 3 on top of a (100) n+ GaAs substrate. A backside
ohmic contact, which consisted of Ni/Ge/ Au/Ti/ Au (25/33/65/10/150 nm),
was evaporated and annealed at 400°C for 40 s. A Schottky contact with
50/300 nm Ti/ Au was then defined on the etched surface by a liftoff process.
The unalloyed contact resistance (Rc) extracted from the transmission
lines was found to be very sensitive to the etched surface, and significant
change in Rc is observed after etching [12]. Transmission lines were fabricated
on a l!lm thick n-GaAs epitaxial layer doped with Si to 5 x 10 18 cm- 3 on
top of a semiinsulating GaAs substrate. For the transmission lines, mesas for
isolation were formed by wet etching in H3P04:H202:H20 = 1:1:10. Metal
contacts were 70x70!lm 2 and the spacings between contacts varied from 5
to 20 !lm. The metal contacts consisted of Ni/Ge/ Au/Ti/ Au (25/33/65/10/
150 nm) and were intentionally not alloyed in order to maximize the sensitiv-
ity of the contact resistance to the effects of etching.
The Schottky diodes and the transmission lines mainly measure the dam-
age induced on the etched surface. For sidewall damage, it can be evalu-
ated using conducting wires with different widths [12,48-50]. The extracted
sidewall damage depth (Ws) provides a quantitative measure of the sidewall
326 S.W. Pang

damage. The conducting wires were defined by electron beam writing on a


bilayer resist consisting of 2% 950 K polymethylmethacrylate (PMMA) on
top of 2% 496 K PMMA. The total thickness of the bilayer resist was 98 nm
and it was used to liftoff 75 nm thick Ni as an etch mask. All the wires were
100)lm long and the wire width ranged from 40 to 1000 nm. Contact pads
were then defined by optical lithography and 75 nm Ni liftoff. The wires were
etched under various etch conditions to a depth of 1.3 )lm down to the semiin-
sulating substrate. After Ni removal, metal contacts similar to the ones used
for transmission lines were evaporated onto the contact pads and alloyed at
400°C for 40 s. This alloying step, after the conducting wires are defined by
dry-etching could partially anneal out the sidewall damage. To determine
W s, the wire width at zero conductance (Wo) was first extracted from a lin-
ear curve fitting. Assuming that the surface Fermi-level position for GaAs
is 0.74 eV above the valence band [11], the intrinsic depletion width (Wi) is
calculated to be 14.4 nm. The sidewall damage depth can then be computed
by using Ws = (Wo - 2Wi )/2.
Various surface analysis techniques can be used to gain an understanding
of the damage mechanisms and the physical origins of the defects. The de-
fect distribution was evaluated using cross-sectional TEM [12,19,51,52]. The
defect density and the depth of defects observed from TEM can be directly
related to the electrical characteristics. Surface stoichiometry on the etched
surface was measured using AES [12,19,53,54] in order to relate the elec-
trical characteristics to the surface chemistry. Changes in the As/Ga ratio
can also induce antisite defects on the etched surface, and this was further
studied with photoreflectance [55,56] so that the energy levels of the defects
can be identified and correlated with the surface compositions obtained from
AES. The results from these surface analysis techniques were used to explain
the changes in the electrical characteristics observed on the Schottky diodes,
transmission lines, and the conducting wires.

8.3.1 Damage Dependence on Etch Conditions


8.3.1.1 Effects of Ion Flux
The effects of ion flux could be investigated by varying the microwave power
at fixed 1Vdc I· The changes in the unalloyed contact resistance extracted from
GaAs transmission lines are shown in Fig. 8.15. The samples were etched in a
Ch/Ar plasma at 0.5mTorr while IVdcl was fixed at 150 and 320V. To main-
tain IVdcl at 150V, rfpower was varied from 53 to 63W as microwave power
was increased from 0 to 500 W. The contact resistance increased from 0.4 to
2.0 kQ as the microwave power was increased from 0 to 500 W , indicating
that more defects are induced on the surface etched with a higher ion flux. A
similar increase of the contact resistance from 1.1 to 36.3 kQ corresponding
to 0 to 250W microwave power was measured with IVdcl fixed at 320V. The
high ion flux can cause more surface damage because of the faster defectgen-
eration rate. An increase in Ws is also observed for GaAs conducting wires
8 Surface Damage Induced by Dry Etching 327

w
(,)
z
c(
10.0
l-
(/)
en
w
a: 150 V
1.0
I-
(,)
c(
I-
Z
o(,)
100 200 300 400 500 600
MICROWAVE POWER (W)

Fig. 8.15. Changes in the unalloyed contact resistance for samples etched at various
microwave power using either 150 V and 20% Cb (.), or 320 V and 10% Cb (_) in
a Cb/ Ar plasma generated at 0.5 mTorr.

etched at higher ion flux. Using the same etch condition with IVdcl fixed at
320 V, Ws increased from 6.6 nm without any microwave power to 12.4 nm
with 50 \\1 microwave power.
More degradation was also observed when Schottky diodes were etched at
higher microwave power. GaAs samples were etched using 300 V IVdc I with
a 30% Cld Ar mixture at 0.6 mTorr and microwave power varying from 0
to 500 W. The ideality factor increased from 1.15 without any microwave
power to 1.26 with 500 W microwave power, and the barrier height decreased
from 0.66 to 0.63eV under these conditions. Under the same condition, an
increase in Vi from the C~V measurements was observed as the microwave
power was increased, which could be related to the formation of an interfa-
cial layer at higher ion flux. From doping profiles extracted from the C~V
measurements, larger carrier depletion from the surface was found at higher
microwave power. Thus, higher ion flux induces more damage to the GaAs
surface.
AES was used to study the changes in the stoichiometry of GaAs etched at
different ion flux. Figure 8.16 shows the depth profiles on the etched surface
of GaAs etched at 250W microwave power, 20% Cl 2 in Ar at 0.5mTorr and
300 V. It is found that the atomic concentration profiles for samples etched
between 0 and 500 W microwave power are all similar to the control sample,
and there is no deviation in the stoichiometry after etching. This shows that
even though the electrical characteristics of the samples degrade at higher
ion flux, the surface compositions of the etched GaAs remain the same. In
addition, no CI or Ni mask deposits can be detected on the etched surface,
showing that etching with a C1 2 / Ar plasma is free of residue. However, CI
can be detected on the Ni mask with an atomic concentration ranging from
3.5 to 18.7%.
328 S.W. Pang

60
~
z 50
0
i=
CC 40
a::
I-
Z 30
w
0
z
0 20
0
0 1 0 - - DRY ETCHED
:iii ------- CONTROL
0
l-
CC 20 40 60 80 100
DEPTH (nm)
Fig. 8.16. Atomic concentration profiles obtained from AES for the control sample
(----) and the GaAs etched at 250W microwave power, 300 V, 0.5mTorr, and
20% Ch in Chi Ar (--).

The effects of ion flux on the Fermi-level pinning position were also stud-
ied, and are shown in Fig. 8.17. Photoreflectance was used to evaluate the
changes in surface properties due to etching. From the photoreflectance spec-
tra, it can be found that there is a sizable electric field in the undoped layer.
For the unetched samples, they show different surface fields for undoped n-
GaAs (UN) and undoped p-GaAs (UP), suggesting different pinning positions
for electrons and holes. The samples were etched with aCId Ar plasma gen-
erated with 20% Ch at 0.5mTorr with a fixed IVdcl of 100V. In this case, the
pinning position is independent of the ion flux, suggesting that Fermi-level
position is not very sensitive to changes in the ion flux.

0.8

:;- 0.6
.!,.
w>
'IL
0.4
w
0.2

00 100 200 300 400 500 600


MICROWAVE POWER (W)
Fig. 8.17. The Fermi-level pinning position as a function of microwave power. All
energies are relative to the top of the valence band.
8 Surface Damage Induced by Dry Etching 329

8.3.1.2 Effects of Ion Energy


In a plasma, positive ions are accelerated towards the samples due to the
presence of a negative self-induced dc bias on the substrate stage. The mag-
nitude of this IVdc I is mainly controlled by the rf power level. However, other
parameters including microwave power, pressure, gas, distance between stage
and plasma source, and plasma system design can also influence IVdcl. Higher
rf power results in higher 1Vdc I. The ion energy at the sample is approximately
related to IVdc I and the plasma potential. The amount of surface damage is
strongly influenced by the ion energy used for dry-etching.

a-
1 2

10 ...... ..
:.

..---.
8


w
0 .200
z 6
CI:
l-
t/)
4
en
w
a: • • • .100

• • •
2 .20 W
NTROL
0
0 5 1 0 1 5 20 25
L (!.1m)

Fig. 8.18. Electrical characteristics of unalloyed GaAs transmission lines etched at


different rf power. The samples were etched in a Chi Ar plasma with 10% Cb and
50 W microwave power at 0.5 mTorr.

Figure 8.18 shows the electrical characteristics of the unalloyed GaAs


transmission lines etched at various rf power. The samples were etched with
10% Ch in a Chi Ar plasma using 50 W microwave power at 0.5 mTorr
before putting on the contact pads. The contact resistance of the unalloyed
metal contacts increased significantly from 0.4 to 5.0 kQ as the rf power was
increased from 20 to 300 W. Since the contact resistance of the control sample
was only 0.1 kQ, the increased contact resistance is due to more damage
generated at higher rf power because of the increased ion energy. As rf power
was increased from 20 to 300 W, 1Vdc 1 increased from 83 to 473 V. The metal
contacts for all the transmission lines were intentionally not alloyed so that
the contact resistance can be very sensitive to the changes on the etched
surface. After dry-etching and contact deposition, if the samples were alloyed
at 400°C for 40 s, the contact resistance for all the dry-etched samples became
very close to the control sample (",2 Q). The alloyed contact resistance is
insensitive to the rf power used for etching.
Measurements on GaAs Schottky diodes also show more defects are gen-
erated at higher rf power. From the C - V characteristics for GaAs diodes
330 S.W. Pang

etched at various rf power, the intercept voltage (V;) increased with rf power.
The increase in V; could be due to the formation of a nonconducting damaged
layer at higher ion energy. From the I-V measurements, it was also found
that n increased and ¢B decreased with rf power. These results show that the
use of high rf power could induce more damage in the samples because of the
bombardment on the surface with higher ion energy, and should therefore be
avoided.
The sidewall damage depth as a function of rf power can be studied with
conducting wires. The conductance as a function of wire width is shown
in Fig. 8.19 for two samples etched at 120 and 250 W rf power, and IVdc I
was 202 and 410 V, respectively. Figure 8.20 shows the scanning electron
micrograph of a 40 nm wide conducting wire etched down to 1.31lm deep
used for the conductance measurements. The wires have a vertical profile
and smooth surface morphology. The extracted sidewall damage depths are
9.9 and 20.4 nm for the samples etched at 120 and 250 W, respectively. This
shows that more damage is induced on the sidewall at higher rf power. It is
found that Ws does not depend on etch time. This could be related to the
large etch depth (1.3Ilm) and/or long etch time (6 to 13min) used so that
the defect generation has already saturated.

1200
--Ws = 9.9 nm
U; 1000 ......... W s = 20.4 nm
2:
w 800
0
z
«
I-
600
0
::::I
Q
400
Z
0 200
0

o
o 200 400 600 800 1000
LlNEWIDTH (nm)

Fig. 8.19. Conductance as a function of wire width for GaAs conducting wires
etched at 120 and 250 W rf power.

The density and distribution of defects generated by dry-etching were in-


vestigated by TEM. Figures 8.21a-c show the cross sections of GaAs etched
at a rf power of 20, 100, and 200W, respectively. The samples were etched
using 20% Cl 2 , and IVdc I increased from 83 to 376 V as rf power was increased
from 20 to 200 W. Smooth surface morphology was observed for all the sam-
ples. The defects are found to be mostly dislocation loops that are 2.4 nm in
diameter. The defect density increased from 9.6 x 109 to 5.0 X 1010 cm- 2 as
the rf power was increased from 20 to 200W. This agrees with the degrada-
8 Surfa ce Damage Induced by Dry Etching 331

Fig. 8.20. Scanning electron micro-


graph of a 40-nm-wide and 1.3-J.lm-
H deep conducting wires with vertica l
100 nm profile and smooth surface.

Density 9.6 x 109 em Depth 133 run


(a)

10 -2
Density 4.0 x 10 em Depth 67 nm
(b)

Fig.8.21. Cross-sectional TEM micro-


graphs of GaAs etched at (a) 20W , (b)
10 -2
Density 5.0 x 10 em Depth53nm 100 W , and (c) 200 W rf power. The sam-
(e) ples were etched with 20% Cb .
332 S.W. Pang

tion of the contact resistance observed in the transmission lines at higher rf


power. The depth of the damaged layer decreased from 133 nm at 20 W to
53 nm at 200 W rf power. The decrease in damage depth at higher rf power
could be due to the higher defect density at the surface and/or the faster
defect removal due to the higher etch rate. This reduction in damage depth
with rf power obtained from TEM is opposite to that of Ws extracted from
the conducting wires. This shows that the dependence of defect penetration
on rf power could be different for the sidewall in comparison to the bottom
surface. It is also possible that the electrical conductance of the wires is influ-
enced mostly by the defect density and to a lesser degree by the depth of the
defects. Therefore, at higher rf power, even though the defect depth is shal-
lower, the higher defect density still results in a decrease in the conductance
of the wires and hence a higher Ws is measured.
The effects of ion energy on surface properties were also evaluated using
photoreflectance. The photoreflectance spectra showed different surface fields
for n- and p-GaAs due to different pinning positions for electrons and holes.
Dry etching induces a larger surface field as shown by the photoreflectance
spectra. This increase in field can be caused by two effects: a reduction in
the thickness of the undoped region and an increase in the surface potential.
Shown in Fig. 8.22 are room temperature Fermi level position for the n- and
p-GaAs as a function of rf power. The rf power was increased from 20 to
300W, with a corresponding increase of IVdcl from 68 to 416V. The samples
were etched with 30% C1 2 in a C1 2 / Ar plasma generated with 50 W microwave
power at 0.6 mTorr. The Fermi level positions of the unetched samples agree
well with previously reported data for (100) GaAs [57,58] and show the two
different pinning positions for n- and p-GaAs. It can also be seen that the
Fermi level position of the n-GaAs is hardly affected by changes in the rf

0.8

>
--....
0.6
CD

w>
0.4
w
0.2

0
0 50 100 150 200 250 300 350
rf POWER (W)
Fig. 8.22. The Fermi-level pinning position as a function of rf power. All energies
are relative to the top of the valence band.
8 Surface Damage Induced by Dry Etching 333

power, whereas the Fermi level position for the p-GaAs moves away from the
valence band and stabilizes near midgap.
These results have important implications. The first is the verification of
the existence of two distinct states at the GaAs/ oxide interface, which pin the
Fermi level differently for n- and p-GaAs. A previous report has shown two
pinning sites at untreated (100) GaAs and their values of pinning positions
are similar to those reported here [58]. The Fermi level pinning position is
dependent on the stoichiometry of the GaAs/oxide interface. Dry etching at
high ion energy produces an oxide in which the Fermi level of the UP samples
shifts towards midgap but remains virtually unchanged for n-type materials.
It is believed that this shift is not related to the presence of chemical species,
such as oxygen or chlorine on the surfaces of the etched materials. From the
advanced unified defect model [59], it has been proposed that antisite defects
such as AsGa and GaAs will result in double donor or double acceptor type
surface states. The GaAs defect states lie close to the valence band and would
tend to pin p-GaAs, while the AsGa defect states are near midgap and would
heavily pin n-GaAs. Changes in the As/Ga ratio at the surface would shift
the Fermi level toward the conduction band minimum if the ratio increased
and toward the valence band maximum if it decreased. We therefore suggest
that dry-etching probably produces an As rich surface at the GaAs/oxide
interface, and that because of this, the Fermi level shifts toward midgap in
the UP structures. Since the Fermi level is already pinned at midgap (at the
AsGa defect state) for the UN samples, further reductions in the GaAs sites
would have little effect.

8.3.1.3 Effects of Etch Temperature


The I-V characteristics of GaAs Schottky diodes etched at temperatures
between -130 and 350°C are shown in Fig. 8.23. The samples were etched
with a Chi Ar mixture with 30% Ch, 50 W microwave power, 200 W rf power
at 0.6 mTorr and 18 cm source to sample distance. A lower leakage current was
observed for samples etched at higher temperatures. This is possibly caused
by the more efficient removal of the surface damage due to the faster etch
rate as well as the annealing effects at higher temperature. The GaAs etch
rate increased from 77 to 398nmmin- 1 as the temperature was increased
from -130 to 350°C. When rapid thermal annealing (RTA) was used after
dry-etching, the surface damage could be reduced. The samples were etched
at 25°C under similar conditions as described above. After RTA at 480°C for
2 min, the ideality factor reduces and the barrier height increases, although
not all the way to the levels of an unetched sample. This suggests that etch-
induced damage can be partially removed by annealing.
The unalloyed contact resistance of GaAs transmission lines etched at dif-
ferent temperatures is shown in Fig. 8.24. The samples were etched with 20%
Cl 2 in a Cl 2 / Ar plasma using 50 W microwave power and 200 W rf power at
0.5 mTorr. The contact resistance decreased from 2.8 to l.6 kQ as the etch
334 S.W. Pang

10.2

1 0-3

1 0""
~
I- 1 0-5
Z
W
a: 10-5
a:
::I 10.7
(,)

1 0-3

0.2 0.3 0.4 0.5 0.6


FORWARD BIAS (V)

Fig. 8.23. Effects of etching temperature on the forward I-V characteristics of


GaAs diodes. The samples were etched at 0.6 mTorr with 30% Cb in a Clz/ Ar
plasma, 50 W microwave power and 200 W rf power.

3.0 16~
a-
~
C
m
14:::E
2.5 J>
w
(,) 12· •
Z 2.0
c( c
I-
!f) 1 0~
iii 1.5 J>
w 8 G)
m
a:
1.0 6 c
I- m
(,)
c( 'tI
I- 0.5 4 -I
::J:
Z
0 S
(,) 0.0 2
0 50 100 150 200 250 300 350 400 .2-
TEMPERATURE (OC)

Fig. 8.24. Unalloyed contact resistance of transmission lines (.) and Ws of con-
ducting wires (_) as a function of etch temperature. The samples were etched with
50 W microwave power and 200 W rf power at 0.5 mTorr in a Clz/ Ar plasma.

temperature was increased from 25 to 350°C, indicating that less damage was
induced on the surface at higher temperature. The improvement of the con-
tact resistance may be related to the defect annealing effects and the faster
GaAs removal rate at higher etch temperature. The etch rate increased from
221 to 292nmmin- 1 as the temperature was increased from 25 to 350°C un-
der this etch condition. Figure 8.24 also shows the reduction of Ws measured
from the conducting wires etched at higher etch temperature. The samples
were etched under similar etch conditions as the transmission lines except
that 10% C1 2 was used. As the etch temperature was increased from 25 to
350°C, Ws decreased from 13.1 to 2.7nm.
8 Surface Damage Induced by Dry Etching 335

The diffusion of the penetrated ions and the generated defects at higher
etch temperature could be investigated using TEM. As the etch temperature
is increased from -130 to 350°C, the defect density decreases from 5.7 to
1.0 x 10 1O cm- 2 and the depth of defects increases from 40 to 160nm. This
shows that even though defects are distributed further below the etched sur-
face at higher etch temperatures, the defect density is still lower because of
the annealing effect. From the results of the electrical measurements discussed
previously, however, higher etch temperature improves both the contact re-
sistance of the transmission lines as well as reducing Ws from the conducting
wires. This suggests that the electrical characteristics of GaAs are more sen-
sitive to the defect density than to the depth of defects under these etch
conditions.
The surface stoichiometry at various etch temperature was studied using
AES. From the depth profiles, the stoichiometry for the etched GaAs surface
is found to be similar to the control sample for etch temperatures as high
as 350°C. This shows that there is no preferential etching of either Ga or
As under these etch conditions even at high etch temperatures. No CI was
detected on the etched surface for etch temperatures ranging from 25 to
350°C. The concentration of CIon the Ni etch mask decreased with increasing
etch temperature, suggesting that higher temperatures help to desorb the
deposited Cl.

8.3.2 Effects of Etch TiIne and Materials on Defect Generation


The reduction in feature size typically reduces the etch times for pattern
transfer. The shorter etch times may cause transients in etching character-
istics to become more pronounced. The competition between creation and
removal of damage by dry-etching determines whether the devices will have
low damage or not [12,19,60]. Slow etching of Si has been shown to lead
to accumulation of damage [10,11] while faster etch rates for GaAs have
been shown to lead to a denser but shallower damage layer near the surface
[12]. Etching damage often extends deeper than the predicted ion stopping
range due to both defect diffusion [60,62,63] and ion channeling [14,62] during
etching. The time dependence of etch-induced damage from an ECR plasma
source in GaAs and Ino.53Gao.47As can be analyzed. Variations in rf power
and etch chemistry were investigated to determine their respective effects on
damage saturation time. Etch damage typically decreased with etch time for
GaAs while it tended to increase for the Ino.53Gao.47As material.

8.3.2.1 Etch Time Dependent Diode Characteristics


The effects of the etch chemistry on the time-dependent diode degradation
were investigated. It was found that increasing the percentage of Clz during
the etch improved the diode characteristics. Figure 8.25 shows the changes
in (/>b with etch time for different Clz percentages in Ar. The etch conditions
336 S.W. Pang

.•.
0.80 ....,..~r-r..,......,r-T"'T"'1"'T'"~....,..'I""T"'T"'I""T....,...,.........,....,...,

:> 0.75
.--CONTROL
10% C'.
.....
CD
..".--
... -----
~~, -.~-----.---.--

........
I- .\.... __ _
::E: 0.70
5%CL
CJ
iii
-.....-- -
::E: 0.65
a::
w
a:a:: 0.60
c(
III ArONLY
0.55

0.50
0 20 40 60 80 100 120

ETCH TIME (5)


Fig. 8.25. Etch time dependence of barrier height for different Ch in Ar percentages
during etching. The etch conditions were 50W microwave power, lOOW rf power,
total flow of 30sccm, 1.5mTorr, and 12cm below the source.

were 50 W microwave power, 100 W rf power, total flow of 30 sccm, 1.5 mTorr,
and a source distance of 12 cm. The concentration of Ch was varied from 0
to 100%. The diodes etched with Ar only showed a decreasing ¢>b with etch
time. The ¢>b was 0.61 eV after 10 s and continued to degrade to 0.53 eV after
120 s. With the addition of only 5% C1 2 , the decrease in ¢b was less compared
to Ar sputtering and the diode characteristics improved with etch time. At 10
s etch time, maximum degradation was observed and ¢>b changed from 0.67
to 0.70eV from 10 to 120s. Less damage was induced with 10% Ch in Ar
and further increases in Ch percentage did not affect the barrier height etch
time dependence. When the samples were etched by Ar sputtering, the ¢>b
continued to degrade with etch time. However, the addition of 5% C1 2 to Ar
improved the diodes with etch time. The GaAs etch rate for 5% Ch in Ar was
40 nm min -1, substantially faster than the typical sputter rate of 5 nm min- 1
when only Ar was used for etching. Thus, if the damaged layer was removed
during the faster etching, the GaAs diodes tended to show better electrical
characteristics [12]. This illustrates the competition between damage creation
and damage removal that occurs during etching.
Similar time dependent of diode characteristics was also observed when
rf power on the stage was varied. The diode ideality factor initially increased
after 10 s etch time and then decreased for longer etch time. However, n is
still higher after etching for 120 s compared to an unetched sample. Simi-
lar changes were found for other diode parameters such as barrier height
and breakdown voltage. The changes with time are not related to etch rate
since etch rates stayed constant with etch time. However, the time-dependent
8 Surface Damage Induced by Dry Etching 337

degradation could be related to the diffusion of dry-etch-induced defects. As


GaAs is etched, defects created at the surface could diffuse farther into the
material due to defect-enhanced diffusion, therefore lowering surface damage
at longer etch times. On the other hand, the defects generated during the
beginning of the etch could be etched away more easily at longer etch time
due to disorder generated at the surface. This disordered layer could lower
surface defects by reducing the channeling of the impinging ions. Disorder
at the surface caused by the etching may be responsible for the reduction in
channeling [14,60].
Capacitance-voltage measurements of diodes etched for different times did
not show a time dependence. There was some carrier depletion of 24% near
the intrinsic depletion region and it extended as deep as 400 nm below the
etched surface. However, neither the degree nor depth of depletion changed
with etch time. This may be due to the large intrinsic depletion layer near
the surface that makes C-V measurements insensitive to changes in defect
density very close to the top surface. This indicates that the time-dependent
effects may be related to surface changes only.
While the electrical characteristics of GaAs diodes tended to improve with
the etch time after the initial degradation peak at 10 s, Ino.53Gao.47As trans-
mission lines tended to degrade with etch time. Direct comparison between
Schottky diodes on GaAs and Ino.53Gao.47As is not feasible because it is
difficult to make Schottky diodes on Ino.53Gao.47As due to the low barrier
height. However, both structures, Schottky diodes and transmission lines, re-
quire only a metal deposition after dry-etching and they have been shown to
be very sensitive to surface damage caused by etching [11,46]. Transmission
lines were fabricated on n- and p-type Ino.53Gao.47As grown on semiinsu-
lating InP substrate. The Ino.53Gao.47As was etched for different times and
then Ti/Pt/Au (25/50/200nm) ohmic contacts were evaporated. Figure 8.26
shows that the contact resistance for both the n- and p-type Ino.53Gao.47As
increased with etch time. The etch conditions were 50 W microwave, 300 W
rf power, an etch chemistry of C1 2 / Ar flowing at 3/27 sccm, 1.5 mTorr cham-
ber pressure, and 12 cm source distance. These etch conditions were used
for the rest of the results reported in this paper. While there was no peak,
as exhibited by the GaAs diodes which showed a maximum degradation at
10 s, the contact resistance increased most dramatically in the first 10 s be-
fore slowing down. The surface defects for the Ino.53Gao.47As may increase
with etch time even though part of the dry-etch-induced damage could be
removed by etching. The etch depth after 60s was 140nm for Ino.53Gao.47As
and 202nm for GaAs. However, the Ino.53Gao.47As had more degradation in
electrical characteristics compared to shorter etch time while the GaAs had
improved characteristics. This suggests that the rate of creation of defects in
Ino.53Gao.47As may be faster than the diffusion of defects compared to GaAs
[64].
338 S.W. Pang

500 4.0
§ :s
0
w 400 0

--- -
(.) Z
Z 3.0
~ ~
U)
300 ~
Cii :a
w m
a: "-type 2.0 U)
I-
(.) 200 Cii
-I
0( ~
I- Z
Z 1.0 0
0 100 m
(.)
Co §
0 0.0
0 10 20 30 40 50 60
ETCH TIME (sec)

Fig. 8.26. p- and n-type Ino.53Gao.47As contact resistance for different etch times.
Etch conditions were 50W microwave power, 300W rf power, Ch/Ar flowing at
3/27 sccm, 1.5 mTorr chamber pressure and state position of 12 cm.

Photoluminescence measurements were performed on a GaAs/ AIGaAs


MQW stack. The wells were of width 2, 3, 4, 6, 8, 10, and 15 nm placed ap-
proximately 52, 75, 99, 125, 153, 183, and 448 nm below the surface. Changes
in PL intensity from a wet etched control sample can be related to etch-
induced damage [64]. Samples were first wet etched to different depths and
dry-etched for different times so that the total material removed for all sam-
ples was the same (",lIOnm) and the 2nm well was 52nm from the surface
for all samples. The intensity of the peaks was normalized to the intensity
from the well 448 nm below the surface that was assumed to be undamaged
for all etch conditions. Similar to the C-V measurements, the PL spectra of
the samples did not exhibit changes in PL intensity or damage depth after
the initial 10 s of etching as shown in Fig. 8.27. Reduction in PL intensity
was observed for quantum wells down to 153 nm below the surface. However,
there was no variation with etch time after the initial 10 s of etching. Etching
at 100 W rf power showed similar behavior but the damage was only 52 nm.
The large damage depths show that channeling of ions and the enhanced
diffusion of defects into the material could occur.

8.3.3 Changes in Electrical and Optical Characteristics

The demands for integration of electronic and optoelectronic devices on the


same wafer have made it important to understand how the etch-induced dam-
age can affect the electrical and optical properties of the material [17,47].
Characterization of etch-induced damage on the optical properties [65] of AI-
GaAs/GaAs and InP /InGaAs has shown that defects can propagate deep into
the material by a combination of ion channeling and diffusion [13,47,62,64].
8 Surface Damage Induced by Dry Etching 339

~ 1.2
'2 --CONTROL 10 nm
:::I ---10 s 15 nm
.c... ·····20 s
~ ----·30 s
- - ·40 s
>-
I- 0.8
en
z
w 0.6
I-
8 nm
~
6nm
C 0.4
W
N 4 nm
::::i
« 0.2
:::!E
II:
0 0
Z 7000 7200 7400 7600 7800 8000 8200

WAVELENGTH (nm)
Fig. 8.27. Photoluminescence spectra of multiple quantum well structure after
etching for different times.

Etch-induced damage has been shown to affect the electrical properties of ma-
terials as well by changes in mobility [66], sidewall damage depth [12,16,50]'
and contact resistance and Schottky diode ideality factor [17]. Previous work
has also shown that the use of higher stage power during etching can affect the
residual damage depth and distribution of the etch-induced defects [12,19].
Furthermore, optical characteristics of near surface quantum wells for epitax-
ial layers grown on rnP substrates have been shown to be adversely affected
by etch-induced damage to a greater extent than epitaxial layers grown on
GaAs substrates [17,64]. Therefore, the choice of material for device fabrica-
tion and the effects of dry-etching on the electrical and optical properties of
these devices should be given careful consideration.

8.3.3.1 Properties of Etched Wires


Changes in the PL intensity and conductance of quantum well structures can
be used to study etch-induced damage in semiconductors. For the electrical
and optical measurements, 160 11m long conducting wires with lateral dimen-
sions down to 120 nm and 500l1m x 500 11m gratings with lines and spaces of
llO and 240 nm, respectively, were used. These wires were defined by electron
beam lithography and etched using an rcp source. Typical etch conditions
were 150 W source power and 200 W stage power with Ch flowing at 6 sccm.
The chamber pressure was 0.15 mTorr and the source-to-sample distance was
12cm. The IVdcl was 270V and an etch rate of 300nmmin- 1 was achieved.
When submicrometer wires are etched at pressures below 1 mTorr with a Cl 2
plasma, they have vertical profiles, smooth surfaces, and high etch rates [67].
The rcp source allows gratings and wires with small dimensions to be etched
and high aspect ratio device structures to be formed.
340 S.W. Pang

For the PL measurements, gratings were used instead of single wires to


improve the intensity of the PL signal. The InO.15GaO.85As PL signals at
925 nm were normalized to the GaAs substrate signal at 820 nm and the in-
tensities were all divided by a fill factor for each grating so that the active
area was the same for all gratings. The PL signal, as a function of etch con-
dition, was found to be independent of the illuminating laser intensity. In
Fig. 8.28, it is shown that both the PL intensity and conductance decreased
with wire width. It can be seen that the conductance of the wires degrades
more quickly for smaller wire width as compared to the PL intensity. The
wires became effectively nonconducting when the wire width decreased to
120 nm. The conductance decreased from 4.3 x 10- 6 to 8.3 X 10- 9 n- 1 as
the wire dimension was reduced from 550 to 120 nm. However, a measurable
PL signal was still detected from gratings with a linewidth of 110 nm. The
PL intensity decreased by 83% as the linewidth was varied from 530 nm to
110 nm, and the gratings were still producing an optical signal at these sizes.
The extracted cutoff width for the optical signal was 33 nm while the cutoff
width for the electrical signal was 136 nm. These results indicate a difference
in how dry-etch-induced damage can affect the electrical and optical proper-
ties of an InGaAs quantum well as evidenced by the different cutoff widths
of etched wires and gratings. While the etch-induced damage may render the
material nonconducting, the quantum well may still be sufficiently intact to
allow for radiative recombination of the carriers generated by the incident
laser in the PL measurement to allow the optical signal to be detected.
When higher stage power is used, there is a larger decrease in the PL
signal. The PL intensity from the gratings etched with 200 W stage power

Iii' 10"
=
..• ..,--- 10"
0
0
z
..
C
I c
.. c
:J
.c 0
.!!. ~
10. 1 10. 1 Z
~ 0
in m
zw
~
.....

1 0- 2
-
><
C.
."

3
....I
Q.

10· 3 1 0- 3
0 100 200 300 400 500 600
WIRE WIDTH (nm)

Fig. 8.28. Comparison of PL intensity and conductivity for wires of different widths
showing different cutoff widths for optical and electrical signals. The source power
was 150 W, stage power was 200 w, Ch flow was 6 seem, and chamber pressure was
0.15mTorr.
8 Surface Damage Induced by Dry Etching 341

was Hignificantly lower than those etched with 50 W. The PL intenHity from
the gratings with 530 nm linewidth decreased by 70% and the llO nm lines
decreased by 22% as the stage power waH increased from 50 to 200 W. The
IVdc I for these conditionH waH 80 and 270 V, respectively. The degradations
in the PL signal with increaHing stage power were probably related to the in-
creaHe in ion energy which could increase the etch-induced damage
along the sidewalls and reduce the luminescence from the quantum well
[16,50,66].

8.3.3.2 Comparisons Between AIGaAs/GaAs


and AlInAs/InGaAs QW Layers
Just aH the etch-induced damage can affect the electrical and optical prop-
ertieH of a material differently, the etch-induced damage can have different
effects on the AIGaAs/lnGaAs and AlInAs/lnGaAs QW structures. Previous
HtudieH have Hhown that AlInAs/lnGaAs QW materials tend to be more sus-
ceptible to damage than AIGaAs/lnGaAs Q W materials [62,64]. In Fig. 8.29,
the Hheet resisitivity (Ps) of AIGaAs/lnGaAs and AlInAs/lnGaAs QW mate-
rials is shown to vary with the stage power used during etching. The etch con-
dition was 100 W Hource power, Chi Ar flowing at 2/28 sccm, a chamber pres-
sure of 2 mTorr, and a source to sample distance of 12 crn. This etch condition
was chosen to provide a slow, controllable etch rate and a higher concentration
of Ar was used to generate more defects. The Ps for the AlInAs/lnGaAs QW
unetched sample was 5.5 compared to 4.95 Q cm for the AIGaAs/InGaAs QW
unetched sample. However, after etching 15 nrn from the surface with 60 W

80 4~
........
rOo....
E ..... en »
:::t
e!;..a
<f) ()
c:r-
m ...
30~ "'-
..
·~60
!IF>-
GN !::: •• :D
m
"'~
.> en '"'"l>
c'" i=
~ ~40
.. en ~
I 20~
~lB
.
~

i < ?
:::::j
'"

II
"'0: I
100 I- CONTROL -< WC)
>< ",D>
G"'~20 1 0'" :..
"!:::t
-'" en
~ "oj»
<C
~
<f)
3:
0
0 0 ~
0 10 20 30 40 50 60 70
STAGE POWER (W)

Fig. 8.29. Variations in sheet resistivity for AIGaAs/InGaAs and AlInAs/lnGaAs


QW materials etched with different stage powers. The etch condition was 100 W
source power, Cb/ Al flowing at 2/28 sccm with a chamber pressure of 2 mTorr and
the source-to-sample distance fixed at 12 cm. The samples were etched to a depth
of 15nm.
342 S.W. Pang

stage power, the rs extracted from the AlInAs/lnGaAs Q W structure was


3876 compared with 31 n cm for the AIGaAs/lnGaAs QW structure. Thus,
the AlInAs/lnGaAs QW structure exhibited a greater deviation from the
control sample, indicating a greater effect from the etch-induced damage.
While the AlInAs/lnGaAs QW structure showed greater degradation with
increasing stage power, the AIGaAs/lnGaAs QW sample had a higher Ps
at 10 W stage power of 69 n cm and it decreased to 31 n cm as the stage
power was increased to 60 W . Over this same range of stage powers, the
IVdcl increased from 32 to 140V. The changes in the Ps measured in the
AIGaAs/lnGaAs QW structure could be related to a different residual dam-
age depth in AIGaAs/lnGaAs QW structures for variations in ion energy.
At lower ion energies, the defect density tends to be lower but the resid-
ual damage depth is larger as compared to higher ion energies. This reflects
the important balance between the damage generation and removal rates
[12,17,47]. While a greater number of defects are generated with increased
stage power and the associated higher ion energy, the etch rate also increases,
thus removing some of the generated defects, resulting in a shallower residual
damage layer. For the AIGaAs/lnGaAs QW structures with a stage power
of lOW, the etch rate was 32 nmmin- 1 but with a stage power of 60W, the
etch rate increased to 56 nm min -1. Thus the damage removal rate may have
increased more rapidly than the generation rate, in effect leading to a lower
number of residual defects to degrade the conduction in the well at the higher
stage power.
InP-based materials have previously been shown to exhibit a different
etch-time dependence on the etch-induced damage compared to GaAs be-
cause of different diffusion, generation, or removal rates [17,64]. Due to the
involatility of the InCl x compounds, the etch rate for the AlInAs/InGaAs
QW structure was slower than that of the AIGaAs/lnGaAs QW materials.
When the stage power was increased from 10 to 60 W, the etch rate in-
creased from 4.5 to 12nmmin- 1 in the AlInAs/lnGaAs QW structure. Thus
the differences in Ps extracted from AlInAs/InGaAs and AIGaAs/lnGaAs
QW structures could be related to the different damage removal rates. The
etch rate of the AlInAs/InGaAs QW structure did not increase significantly
with the increased stage power while for the same range of IVdc I, the damage
generation rate probably did. Thus, more concentrated damage in the well
would lead to a higher Ps at higher stage power.
When no etching occurs, in the case of the sidewalls of conducting wires,
the sidewall damage no longer depends on the etch rate since damage re-
moval can be neglected. In Fig. 8.30, the conductance of wires etched in AI-
GaAs/lnGaAs and AlInAs/lnGaAs QW structures shows that the extracted
cutoff width for the AlInAs/InGaAs QW structure is greater than that for
the AIGaAs/InGaAs QW structure. Wires were defined by electron beam
lithography with widths of 100 nm to 1 ~m and etched to a depth of 700 nm
in an etch condition of 500 W source power, 50 W stage power, Ch flow-
8 Surface Damage Induced by Dry Etching 343

1 0- 4

1 0- 5

~
>
l-
s;:
i=
0
~
0
Z
0
0

1 0- 10
0 200 400 600 800 1000
WIRE WIDTH (nm)
Fig. 8.30. Comparison of the etch-induced sidewall damage in AIGaAs/InGaAs and
AlInAs/InGaAs QW conducting wires. The etch condition was 500 W source power,
50 W stage power, Cb flowing at 6 seem with a chamber pressure of 0.15 mTorr and
a source-to-sample distance of 12 em.

ing at 6sccm, a chamber pressure of 0.15mTorr, and a source to sample


distance of 12 cm. The IVdc I was 80 V and the etch rate for both materi-
als was 280 nm min-I. The conductance of the 111m wires was 2.2 x 10- 5
and 1.3 x 10- 5 Q-1 for the AlInAsjInGaAs and AIGaAsjInGaAs QW struc-
tures, respectively. The AlInAsjInGaAs QW wires became non-conducting
(9.9 x 10- 9 Q-1) at a width of 193 nm while the AIGaAsjInGaAs QW struc-
tures were still conductive (5.7 x 10- 7 Q-1). The electrical cutoff width for
AIGaAsjInGaAs QW wires was evl46nm, while it was ",243nm for the AlI-
nAsjInGaAs QW structures. Thus, when the effect of etch rate or damage
removal rate can be neglected from the residual damage profile, the AlI-
nAsjInGaAs QW structures still show a greater degradation from etching
than the AIGaAsjInGaAs QW structures. Previously, it has been reported
that the presence of a strained layer tends to getter defects [68] and cause
higher degradation of strained quantum wells. In the present study, a lower
degree of damage was observed for the strained AIGaAsjInGaAs QW than
the unstrained AlInAsjInGaAs QW materials. The difference could be due
to the small strain in the AIGaAsjInGaAs QW structures and the differ-
ent barrier materials. AlInAs was used as the barrier in the unstrained layer
structure and AIGaAs in the strained layer structure, preventing a direct
comparison.
344 S.W. Pang

8.4 Damage Removal

Multiple requirements have to be satisfied for most dry-etching applications,


which include fast etch rate, vertical etch profile, high selectivity, smooth
morphology, and low surface damage. Even though the etch conditions can
be optimized to avoid dry-etch-induced damage, defects can still be gener-
ated when other requirements besides low surface damage have to be met.
For example, low ion energy is beneficial to reduce physical damage but high-
energy ions are often used for etching in order to obtain a fast etch rate or
vertical profile. Therefore, techniques to remove damage after dry-etching
are important to ensure high device performance. Wet etching and thermal
annealing can be used to remove surface defects. However, wet etching is
less controllable for etch rate or etch profile. Thermal annealing may cause
changes in electrical and mechanical properties due to diffusion, chemical re-
actions, and thermal stress. Better techniques to eliminate surface damage are
dry-etching with low-energy reactive species or two-step etching. For devices
with very small dimensions, even controllable removal of the damage layer is
not desirable since the device geometry will be altered. Surface passivation
techniques that provide surface modifications without removing additional
materials from the devices will be important.

8.4.1 Wet Etching, Dry Etching, Thermal Annealing,


and Two-Step Etching

8.4.1.1 Damage Removal by Wet Etching

When wet etching is used to remove dry-etch-induced damage, the etch


solution should provide a slow etch rate and it should not cause surface
roughness. Typically, for wet etching of III-V materials, a wet etch solu-
tion of H20:C6H807:H202:H3P04 in ratios of 220:55:5:1 is used for GaAs
and Ino.53Gao.47As with etch rates of 27.6 and 30.0 nmmin-l, respectively.
Figure 8.31 shows the recovery after damage removal by wet etching to differ-
ent etch depth. The samples were dry-etched using 50 W microwave power,
300W rfpower, IVdcl of 240V, Cbl Ar flowing at 3127 sccm, and at 1.5 mTorr.
After dry-etching, the contact resistance of p-Ino.53Gao.47As increased sub-
stantially compared to the sample that was not dry-etched. After wet etching
20 nm, the contact resistance of Ino.53 GaO.4 7As recovered and became close
to its original level. The results shows that most of the defects are introduced
close to the surface. However, the penetration depth of the dry-etch-induced
defects is still larger than expected for the ion energy used.
The effectiveness of damage removal for different dry-etch conditions is
shown in Fig. 8.32. Before patterning the etched surface with the transmission
lines, the samples were wet-etched to depths of 0, 3, 6, 12 and 18 nm. As
the dry-etching induced damage is removed, it is expected that the specific
contact resistivity (Pc) will return to the value measured for the wet-etched
8 Surface Damage Induced by Dry Etching 345

1 0000 1T"""T'""""T'""T"'""T"""'1
I """'T"""T""-'-T""'"
1r-T"""T'""""T'"T"""r--r"""T'"""T"""'I

9:
w 8000 ~I

\
o
z
~
(f) 6000
C;; \ DRY ETCHED
w \

\ ......
a::
~ 4000 r
o
<C
~
Z
oo 2000 \
o tC:O:N:T=R:O~L~:::;::-::;::~··:·~"":t-=·-~-==E:-=··:·-=='
o 5 10 15 20

WET ETCH DEPTH (nm)


Fig. 8.31. p-Ino.5:,Gao.47As damage depth profiling after dry etching for 60s. Con-
tact resistance was measured after damage removal using wet etching to different
depths.

or- 5
E
u
a 4
b
E 3
a."
2

o 5 10 15 20

WET ETCH DEPTH (nm)

Fig. 8.32. Damage depth determined by changes in pc with removal of dry-etched


surface by wet etching. The etch condition for curve A was microwave and rf power
of .50 W, Chi Ar at 1/9 sccm, 1 mTorr pressure, and 13 cm source distance. For curve
B, the rf power was increased to 200 W. For curve C, only Ar flowed at 10 sccm.

control sample. Curve A in Fig. 8.32 shows how Pc gradually increased to the
control level as the damage was removed. After 6 nm of wet etching, Pc was
the same as the control. When the sample was wet etched further to a depth
of 18 nm, Pc was only slightly larger than the control sample value. Curve
A shows the sample etched with 50W microwave and rf power, Ch/Ar at
346 S.W. Pang

1/9sccm, ImTorr, and 13cm source distance. For this etch condition, the
damage depth was determined to be :::;6 nm.
The rf power was increased to 200 W to determine the effect of increased
ion energy on damage depth. Figure 8.32 shows that the damage depth was
between 12 and 18 nm, significantly larger than curve A. The increased ion
energy could increase the penetration depth of the ions as well as the recoil
energy of the surface atoms, generating defects deeper into the substrate.
For curve C, only Ar flow at 10 sccm was used to determine the effect of
Ch addition. Again a deeper damage depth is measured than for curve A.
In this case, the reduced damage depth from Cl 2 addition can be attributed
to the faster etch rate leading to more efficient damage removal. In all these
cases, the defects are within 18 nm, very close to the surface. Therefore, only
techniques that characterize surface properties, and not bulk properties, will
be most sensitive to the dry-etch-induced damage.

8.4.1.2 Low Energy Chlorine Species to Remove Damage


Damage removal using a conventional wet-etching technique [69] suffers from
the disadvantage of having to expose the dry-etched sample to the ambient
before the wet-etching. The controllability of the removal step could be a
problem because of the formation of native oxide or surface impurities on the
samples, as well as the difficulty in getting wet chemical solution into high
aspect ratio features due to surface tension. A more desirable technique is
to remove the surface damage in situ, and this could be achieved by using
low-energy chlorine species generated in the same plasma system right after
the dry-etching step. Figure 8.33 shows the forward I-V curves after various
thicknesses of the etched Si surface were removed by low-energy chlorine
species. The Si sample was first etched with 10 sccm Cl2 at 1 mTorr with 50 W
microwave power, 75W rf power, 8cm source distance, and IVdcl at 150V.
After etching, the Schottky diode became more leaky and had ideality factor
of 1.28, barrier height of 0.74eV, breakdown voltage of 20V, and intercept
voltage of 0.18 V. Without taking the sample out of the plasma system, low-
energy chlorine species were generated using 10 sccm Ch at 1 mTorr with
50 W microwave power, 1 W rf power, and 8 cm source distance. The chlorine
species had very low ion energy since IVdc I was only 5 V. After 34 nm was
removed from the surface, the I-V curve showed significant recovery. The
ideality factor improved to 1.1, the barrier height increased to 0.78 eV, the
breakdown voltage increased to 55 V, and the intercept voltage increased
to 0.25V. After removing 60nm or more from the surface, the I-V curves
coincided with that of the control sample. Removal of the damaged layer
was also performed with wet chemical etching. When the same thickness
was removed from the dry-etched surface using wet-etching, similar recovery
of the diode characteristics was observed. Typically, damage removal using
chlorine ions and reactive radicals is more controllable due to the slower and
more reproducible etch rate.
8 Surface Damage Induced by Dry Etching 347

10- 2

10- 3

10-
g 4

I- 10- 5
Z
W
a: 10-
a: 6
::l
()
10- 7

10- 8

10- 9
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8
FORWARD VOLTAGE (V)

Fig. 8.33. Damaged layer removed by low energy chlorine species. The Si samples
were first etched with 10 seem C1 2 at 1 mTorr, 50 W microwave power, 75 W rf
power, and 8 cm source distance. The chlorine species used for damage removal
were generated using similar condition except the rf power was reduced to 1 W.

The removal of etch-induced damage in Si can be monitored by thermal


wave spectroscopy. Figure 8.34 shows the thermal wave signal and ideality
factor of Si as a function of removed damaged layer thickness. Surface damage
was removed with in situ chlorine species generated under the same conditions
as described in Fig. 8.33. A Si control sample was etched using a chemical

400 1.3
.-.
::i
350
~
...J


300 C
m
CJ 1.2 J>
250
en
w 200
---.. r-
=i
<
>
« "J>
~
...J
«
150
1.1 "0
-I
:II
100
::i5
a:
w ...-
:I: 50
t-
O 1.0
0 50 100 150 200 250
IN-SITU REMOVED THICKNESS (nm)

Fig. 8.34. Thermal wave signal and ideality factor of Si Schottky diodes as a func-
tion of removal thickness from the dry-etched surface. The damaged layer was re-
moved with in situ chlorine species generated under the same conditions as shown
in Fig. 8.33.
348 S.W. Pang

solution to a depth of 150 nm for comparison. As 50 nm was removed from the


dry-etched surface, the thermal wave signal decreased significantly from 364
to 59 which is close to the thermal wave signal of 37 for the control sample.
Further removal to a total depth of 125 nm caused the thermal wave signal to
decrease slightly further to 47. The results suggest that most of the dry-etch-
induced defects were eliminated after 50 nm was removed from the surface.
The recovery of the thermal wave signal agrees with the Si Schottky diode
measurements. The ideality factor improved from 1.28 to 1.0 after 50 nm of
the damaged layer was removed and the diode characteristics were similar to
the control sample.
This in situ damage removal technique using low-energy chlorine species
can also be applied to III-V materials. Figure 8.35 shows the recovery of the
unalloyed contact resistance extracted from dry-etched GaAs transmission
lines after removing various depths using low-energy reactive chlorine species.
All the GaAs samples were first dry-etched using 20% C1 2 in a Cb/ Ar plasma
with 100 W microwave power and 100 W rf power at 0.5 mTorr. The low-
energy reactive chlorine species were generated using the conditions similar
to the dry-etching step except the microwave power was 50 Wand no rf
power was applied. Since no rf power was used, the ion energy of the reactive
chlorine species was low «30 eV) and they can be used to remove the dry-
etch-induced damage. The unalloyed contact resistance recovered from 3.5 kQ
without any damage removal to 0.1 kQ after 25 nm or more of the dry etched
surface was removed and is very close to the control sample. This shows that

3.5

--z~
w
CJ
3.0

2.5
~
en 2.0
enw
a:: 1.5
~
CJ
1.0
~
z
0 0.5
CJ
0.0
0 10 20 30 40 50 60 70 80
DEPTH REMOVED (nm)
Fig. 8.35. Recovery of unalloyed contact resistance after damage removal using low-
energy chlorine species. The GaAs samples were first etched at 0.5 mTorr, 100 W
microwave power and 100 W rf power with 20% Cb in C1 2 / Ar. Low-energy chlorine
species for the damage removal were generated under similar conditions, except
with 50 W microwave power and no rf power was applied.
8 Surface Damage Induced by Dry Etching 349

the low-energy reactive chlorine species is effective in removing the etch-


induced damage.
Low-energy chlorine species have also been used to remove the sidewall
damage of the conducting wires. The conductance as a function of wire
width for the conducting wires with and without damage removal is shown
in Fig. 8.36. Both GaAs samples were dry-etched in a Chi Ar plasma using
10% Cl 2 , 50W microwave power and 200W rf power at 0.5mTorr. One of
the GaAs samples was then exposed to low-energy reactive chlorine species
generated under similar conditions except without any rf power. After remov-
ing 10 nm with the low-energy reactive chlorine species, TV, decreased from
13.1 to 4.0 nm, which agrees with the measured removal depth. This shows
that damage removal using the low-energy reactive chlorine species is mainly
damage-free and can be used as an effective and controllable in situ damage
removal technique.

600
--Ws = 13.1 nm
500 .. · ...... W
s
= 4.0 nm

400
w
()
z 300
<C
I- 10 nm
()
j REMOVED
c 200
z
0
()

100

0
0 100 200 300 400 500

LINEWIDTH (nm)

Fig. 8.36. Reduction of Ws of GaAs conducting wires after damage removal using
low-energy chlorine species. The wires were etched using 50W microwave power,
200 W rf power, and 10% Ch at 0.5 mTorr. Low-energy chlorine species were gen-
erated under the same condition without any rf power.

8.4.1.3 Two-Step Etching

One disadvantage to dry-etching is the potential for ion-induced damage to


the etched surface. There are also several advantages to using a high ion en-
ergy. For example, etch rate increases with rf power. High ion energy also
minimizes the roughness that would otherwise occur due to the low-volatility
350 S.W. Pang

etch products [70], and assists in minimizing the etch initiation time byeffi-
ciently removing the surface oxide at the start of etching [71].
Therefore, a two-step etching of GalnAs which consisted of a high ion
energy etch initially to maintain high etch rates and smooth surface mor-
phologies, and a second etch at low ion energy to remove the damage was
used to optimize the performance. This is desirable to etch the emitter layer
of heterojunction bipolar transistors (HBTs) to reduce roughness and dam-
age simultaneously. The roughness measured by atomic force microscopy was
shown to decrease with increasing rf power due to more efficient removal
of the nonvolatile InCl x etch products. Additionally, a high rf power at the
beginning of the etch removes surface oxide more uniformly. This also im-
proves the surface morphology. However, increasing rf power also increases
the ion energy, which generates more damage. Therefore, the first portion of
the emitter etch is done at high rf power to remove surface oxides, minimize
roughness, and reduce etching time. The second portion of the etch is done
at low rf power to remove the damage created in the first portion of the etch.
For transmission line measurements, a 600 nm thick n-type (doping =
10 16 cm- 3 ) GalnAs layer was dry-etched to remove 150nm from the top. The
samples were etched using either low rf power, high rf power, or a combination
of the two. The high rf power was 160 W (lVdc I = 225 V) and the low rf
power was 30W (lVdcl = 50V). The amount that was etched at 160W was
varied from 0 to 150 nm and the rest of the 150 nm was etched at 30 W rf
power, resulting in the percentage of the GalnAs layer thickness etched at
225 V to vary from 0% to 100%. The other etch conditions were 50 W of
microwave power, 3/27sccm Ch/Ar gas flow, 2mTorr chamber pressure, and
13 cm source distance. Figure 8.37 shows how Pc decreased as the percentage
of the n-type GalnAs layer thickness etched at 225 V IVdcl increased from
0% to 100%. For etching the entire 150 nm with the low power, low damage
condition, Pc was 7.7 x 10- 5 Qcm 2 . After wet-etching 150nm of GalnAs, Pc
was 7.5 x 10- 5 Q cm 2 , very similar to the low damage dry etched case. When
just high rf power was used, Pc decreased to 1.2 x 10- 5 n cm 2 . This decrease
could be due to the creation of defects at higher rf power, which increases
the leakage current, thereby reducing Pc.
Even though there is no damage detected by the TLM measurement when
etching with 30 W rf power, this is impractical for etching the HBT emitter
layer. First, the etch rate is only 14nmmin- 1 such that 20min would be
needed to etch the emitter stack. Secondly, the surface morphology degrades
due to inefficient removal of the InCl x etch products. Therefore, a compromise
can be made with two step etching where 50% of the emitter will be etched
using 160 W rf power, and· 50% can be etched using only 30 W rf power for
damage removal. From Fig. 8.37, it can be seen that a significant improvement
in Pc occurs by including the 50% damage removal step, but full recovery
of Pc does not occur even with 75% of the material etched with the low
darriage condition. The reason for this could be the enhanced diffusion of
8 Surface Damage Induced by Dry Etching 351

er- 10
E()

OJ
'I' 8
....
0

~
>
l- 6
s;: WET ETCHED
i= CONTROL
UJ
U; 4
w
a:
I-
0 2

""
I-
Z
0
0 0
0 25 50 75 100

PERCENT ETCHED AT 225 V (%)


Fig. 8.37. Effect of two-step etching as shown by decrease in contact resistivity
of n-GaInAs from the control value with increase in percent etched at 225 V [Vdc[.
The etch conditions were 50 W of microwave power, Cb/ Ar at 3/27 sccm, 2 mTorr
pressure, and 13 cm source distance.

defect::; introduced by dry etching [72]. Since the damage depth could be
quite extensive for the high power ca::;e, and the etch ratei::; low for the low-
damage case, it is conceivable that some defect::; generated in the first etch
step diffuse into the material faster than they can be removed during the
::;econd etch step.
Two-::;tep etching can be applied directly to the emitter etch of the RBT
::;tructure. The RBT emitter stack included an n-type AlInA::; emitter, the
::;uperlattice layers, a p-type GalnA::; ::;pacer, and a p+ -GalnAs base layer.
Dry etching was used to etch away the entire emitter ::;tack, and exposing
the p+ -GalnA::; base layer underneath. First, the effect of rf power on Pc for
p+ -GalnAs was studied. The rf power was varied from 30 to 250 Wand the
Ga emission intensity was used to stop the etch on the p+ -GalnAs base layer.
These were all single-::;tep etches where the rf power wa::; held fixed for the
entire etch. Before the transmission lines were annealed, increa::;ing the rf
power from 30 to 250 W caused Pc to increase as shown in Fig. 8.38 by over
20X from 3.3 x 10- 5 to 6.7 X 10- 4 Q cm 2. The wet-etched sample had the
lowest Pc for the emitter etche::; before annealing. It was 2.1 x 10- 5 Qcm2,
slightly lower than the value for the sample etched at 30 W rf power. After
annealing, Pc was lower but still showed the increase with rf power. In the
case of etching p-type GalnAs, it appears that the defects generated act to
compensate the p-type dopants and reduce the effective doping level, thereby
increasing Pc.
Also shown in Fig. 8.38 is Pc obtained for a two step etch. For this sample,
the rf power was initially 150 W. When the Ga intensity decreased indicating
352 S.W. Pang

er-
E
u
g
>
....
S;
~
in
w
10'" .,
100 nm GalnAs CAP ETCHED AT

I-------·
~
150 W, AllnAs ETCHED AT 30 W
....o
:-=-=- CONTR~L____ . .
~
z
o
o
1 0-6
.-- _---- • POST-ANNEAL

o 75 150 225 300

rf POWER (W)

Fig. 8.38. The use of rf power allows low pc to be obtained for dry-etched p +-
GaInAs. Also shown is pc measured for a two-step etch. The contact resistivity
before C.) and after C-) annealing are shown. The etch conditions were the same
as given in Fig. 8.37.

that the 100 nm thick GaInAs cap layer was removed, the rf power was re-
duced to 30 W to facilitate damage removal. It can be seen that Pc obtained
for this two step etch is only 1.0 x 10- 4 n cm 2 before annealing. This is sig-
nificantly lower than the value obtained when the rf power was kept at 150 W
for the entire etch, and it is lower than the etch where the rf power was kept
at WOW. However, as was the case with n-type material, the two step etch
is not as good at preventing damage as is a single low damage etch. In this
case, Pc is not as low as the single etch using only 30 W rf power.
The contacts on the p+-GaInAs base layer were annealed to lower Pc
and possibly remove the etch induced damage. Annealing causes the ohmic
contact metal to diffuse into the GaInAs layer where it acts as a dopant
and lowers Pc. The values of Pc after annealing are shown in Fig. 8.38. The
contact resistivity of the wet-etched sample decreased slightly from 2.1 x 10- 5
to 1.6 X 10-5 n cm 2 after annealing at 3000 e for 1 min. For all of the dry
etched samples, the decrease in Pc after annealing was much more substantial.
This suggests that not only is the damage partially removed by annealing,
but the dry etched surface is more readily annealed. For the sample etched
with 30W of rf power, the annealed Pc was reduced from 3.3 x 10- 5 to
8.1 X 10- 6 n cm 2 . The two step etch sample also had a lower Pc after annealing
of 9.6 x 10- 6 n cm 2 than did the wet-etched sample. One possible explanation
could be the smooth surface morphology of the dry etched materials. For all of
the dry etched samples, the root mean square (r.m.s) roughness was <2.5 nm,
while the RMS roughness of the wet-etched sample was 4.4 nm. In general,
8 Surface Damage Induced by Dry Etching 353

a smooth dry-etched surface is obtained with optimized etch conditions and


the stoichiometry of the dry-etched surface is not changed after etching.

8.4.2 Passivation by Low-Energy Reactive Species

Low-energy chlorine species have been shown to be very effective in removing


the damaged surface layer after dry-etching. For some device applications,
however, a precise etch depth is needed and it is not desirable for the damage
removal step to have significant etching. Therefore, plasma passivation of the
etch-induced damage without etching away additional materials will be useful
[73]. For plasma passivation, the samples are exposed to air or an O 2 plasma
after dry-etching so that an oxide layer can be formed on the etched surface.
After that, the samples are passivated by low-energy chlorine species. Etching
by the low-energy chlorine species was avoided by allowing the oxide to form
on the etched surface prior to the passivation step.
The changes in the forward I-V characteristics of the dry-etched GaAs
diode after Ch passivation are shown in Fig. 8.39. All samples were first dry-
etched with a Clz/ Ar plasma using 2/8 sccm Clzl Ar, 50 W microwave power,
and 200 W rf power at 0.5 mTorr. High rf power was chosen intentionally so
that more defects are generated and the changes in the electrical characteris-
tics can be more easily observed. After the dry-etching step, the samples were
taken out from the plasma system and exposed to air for ,,-,3 h. This allows

1 01
DRY
r-
E
0° ETCHED
.
u
~ 0.1
.
>
I-
en 1 0.2
z
w
0
I-
0-3 .
Z
w 1 0-4
a:
II: :
;:) :
(.) 1 0.5 min/CONTROL

1 0-6
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7
FORWARD BIAS (V)
Fig. 8.39. Forward I-V characteristics showing complete recovery after the samples
were etched and passivated with a Cb plasma for times ranging from 0.5 to 2 min.
Samples were first etched in a Cbl AI' plasma with 20% Cb, 50 W microwave power,
and 200 W rf power at 0.5 mTorr. The Cb plasma for passivation was generated
with 50W microwave power at 2mTorr and 25°C.
354 S.W. Pang

oxides to be formed on the surface. The dry-etched surface was then passi-
vated with a Ch plasma generated with 50 W microwave power at 2 mTorr for
times ranging from 0.5 to 2 min. Since oxides were present on the dry-etched
samples, there was no etching of GaAs by this Ch plasma when no rf power
was applied. It can be seen that the diodes have high leakage current after
dry-etching, but they recover completely to the level of the control sample
with only 0.5 min of Ch plasma passivation. The recovery of the diode char-
acteristics is not related to the removal of the damaged surface layer, since
the etch depth during the Ch plasma passivation was found to be negligible.
This shows that the recovery is probably related to surface passivation. Since
the C1 2 plasma was generated with microwave power alone and no rf power
was applied at the stage, the ion energy of the chlorine reactive species is very
low «20 eV). These low-energy chlorine reactive species do not etch GaAs
significantly when the native oxides have not been removed, but they may
passivate the dangling bonds on the oxidized GaAs surface and/or form a
stable surface layer that results in the excellent electrical characteristics ob-
served. If the passivation was carried out immediately after the dry-etching
without exposure to air, considerable GaAs etch rates could be measured
since no native oxides were present on the GaAs surface. Under this condi-
tion, it has been found that at least 25 nm needs to be removed from the
dry-etched surface for complete recovery. The Ch plasma passivation shown
in Fig. 8.39, however, was done with native oxides present on the etched
surface, and complete recovery was observed with no measurable etching.
The pressure used for Ch plasma passivation can be varied. Fig. 8.40
shows the changes in the electrical characteristics of the unalloyed· GaAs
transmission lines after dry-etching and passivated with Cl 2 plasma at dif-

8
7
-- DRY ETCHED

--
-
OJ 6
~

w 5
U
z 4
~
en
en 3
w
a: 2
1 21518 mTorr
~
CONTROL
0
0 5 10 15 20 25
L(~m)

Fig. 8.40. Contact resistance of the unalloyed GaAs transmission lines that were
etched and passivated with a Cb plasma at different pressures for 30 s.
8 Surface Damage Induced by Dry Etching 355

ferent pressure has been studied. The samples were passivated under the
same condition shown in Fig. 8.39 for 30 s with pressures ranging from 2 to
8 mTorr. After dry etching, the unalloyed contact resistance increases from
0.1 to 3.8kQ, but it recovers back to the control level after 30s Cb plasma
passivation at pressure ranging from 2 to 8 mTorr. This agrees with the re-
sults obtained above from the Schottky diode measurements and shows that
Cb plasma was indeed very effective in passivating the etch-induced damage
on GaAs. The pressure used for Cl 2 plasma passivation has no significant
effect on the electrical characteristics of GaAs diodes or transmission lines.
For device applications, it is also important that the passivated surface
remains stable at high temperature. for this purpose, the thermal stability of
the Ch passivated surface has also been investigated. Samples of GaAs were
first dry-etched and then passivated for 30 s in a Cb plasma. After passiva-
tion, the samples were subjected to temperatures between 200 and 450°C in
a N 2 ambient for 3 min. Diode measurements on these samples showed that
the Cb passivation was stable and there was no change in electrical charac-
teristics of the passivated samples at temperatures up to 450°C. This result
suggests that the GaAs surface passivated with the Cl 2 plasma has good
thermal stability and is suitable for device fabrication.
The use of low-energy Cl species to improve the electrical and optical char-
acteristics of semiconducting materials has been applied to vertical structures
where the etch-induced damage is along the sidewall. For the improvement
in the optical properties, gratings were defined by electron beam lithography.
The gratings were etched with a condition of 150 W source power, 50 W stage
power, and the \Vdcl was 130V. The chamber pressure was 0.12mTorr with
5 sccm Cb and the etch rate was 220 nm min -1. After etching, the mask was
stripped in buffered HF and a plasma oxide was grown for 1 min. The sam-
ples were then passivated using 150 \V source power, Cb flowing at 20 sccm,
a chamber pressure of 2 mTorr, and a source-to-sample distance of 25 cm
for 10 min. Photoluminescence measurements were performed and the signal
from the GaAs substrate was used to normalize the signals from the wells
in different samples. As shown by the spectra in Fig. 8.41, the signal from
the InO.15 Gao.85As well showed an improvement of 2.7 times as compared to
the etched sample. This indicates that the Cl 2 passivation techniques can be
used to improve the optical signals from materials and can repair damage
along the sidewalls of structures.
By measuring the conductivity of wires of different dimensions, the effect
of the Cl 2 passivation on the sidewall damage in GaAs can be studied. Con-
ducting wires were defined by electron beam lithography, a Ti/Ni (30/50 nm)
etch mask was lifted off, the samples were etched and the mask was stripped.
Prior to passivation, samples were exposed to an O 2 plasma generated using
80 W rf power at 250 mTorr in a barrel type reactor. By plotting the conduc-
tivity of the wires for different widths, a cutoff width can be extrapolated. In
Fig. 8.42, it is shown that the conductivity from the wires was improved by
356 S.W. Pang

-UI
~ 1.2
:::l
GaAs SIGNAL

...
.ci
~
~ 0.8 InGaAs SIGNAL
enZ
w 0.6
I-
;g;
C 0.4
W
N
::::i 0.2
«
:ill
a: o
oz 800 850 900 950 1000
WAVELENGTH (nm)
Fig. 8.41. Improved PL intensity from etched InGaAs quantum well gratings after
10 min Cl 2 passivation. The etch conditions were 150 W source power, 50 W stage
power, Cb flowing at 5sccm, and a chamber pressure of 0.12mTorr. The gratings
were passivated using 150W source power, OW stage power, Cb flowing at 20sccm,
2 mTorr chamber pressure, and source-to-sample distance of 25 cm.

80

--
70

U) 60
:::1.
50
~
:> 40
i=
0
=» 30
C
Z
0 20
0
10

0
150 200 250 300 350 400 450 500
WIRE WIDTH (nm)
Fig. 8.42. Conductivity from GaAs wires increased after Cb passivation. Extended
plasma oxidation time degraded conductivity. The etch and passivation conditions
were identical to Fig. 8.41.

passivation with Cl species for the sample oxidized for 1 min. The cutoff width
decreased from 159 nm for the etched sample to 146 nm for the sample pas-
sivated for 10 min. When the plasma oxidation time was increased to 10 min
prior to passivation, the cutoff width increased to 170 nm. This implies that
8 Surface Damage Induced by Dry Etching 357

the plasma oxide is preventing the CI species from passivating the surface
of the GaAs as well as inducing some additional damage that decreases the
conductivity.
Other gases have been tested for their effectiveness in plasma passivation
of dry-etch-induced surface damage. When Ar is added in the Ch plasma
for passivation, improvements in diode and transmission line characteristics
were still observed, but the samples did not recover completely to their orig-
inallevels. Similarly, when other common gases for passivation including N 2 ,
N 2 /H2, or H2S were used, partial improvements in device characteristics can
be obtained. However, none of these gases is as effective as Ch to eliminate
dry-etch-induced defects. Therefore, low-energy chlorine species provides the
best passivation of a dry-etched surface to passivate dangling bonds on the
surface and modify surface properties. Etching was suppressed by the pres-
ence of a surface oxide layer. The electrical and optical characteristics can
be improved suhstantially after Cl 2 plasma passivation. The condition of the
surface oxide layer was found to have a strong effect on the passivation. Ex-
posures to atmosphere were needed to grow a native oxide layer sufficient to
protect the material from etching.

8.5 Summary

Dry-etching technologies allow precise control in device dimensions and pro-


vide the desirahle properties such as vertical profile, smooth morphology, fast
etch rate, and high selectivity. However, surface defects can be introduced by
dry-etching due to the presence of energetic particles in the plasma. Sur-
face damage induced by dry-etching often degrades device performance and
should be minimiiled by using appropriate etch conditions, or surface damage
should be eliminated using removal techniques. A review of the influence of
etch conditions on surface damage is presented for both Si and III--V based
devices. Different methods to reduce or remove surface defects are also de-
scribed. By understanding the origins of dry-etch-induced defects and the
factors that control defect generation, high performance devices can be fab-
ricated without detrimental effects from dry-etching.

References

1. S.W. Pang, D.D. Rathman, D.J. Silversmith, R.W. Mountain, and P.D. De-
Graff, J. Appl. Phys. 54, 3272 (1983).
2. S.W. Pang, G.A. Lincoln, R.W. McClelland, P.D. DeGraff, M.W. Geis, and
W.J. Piacentini, J. Vac. Sci. Techno!. B 1, 1334 (1983).
3. S.W. Pang, Solid State Technol. 27, 249 (1984).
4. G.S. Oehrlein, R.M. Tromp, J.C. Tsang, Y.H. Lee, and E.J. Petrillo, J. Elee-
trochem. Soc. 132, 1441 (1985).
5. S.W. Pang, J. Electroehem. Soc. 133,2784 (1986).
358 S.W. Pang

6. S.W. Pang, W.D. Goodhue, T.M. Lyszczarz, D.J. Ehrlich, R.B. Goodman, and
G.D. Johnson, J. Vac. Sci. Techno!. B 6, 1916 (1988).
7. S. Fang, and J. McVittie, IEEE Electron Device Lett. 13, 288 (1992).
8. E.S. Aydil, KP. Giapis, R.A. Gottscho, V.M. Donnelly, and E. Yoon, J. Vac.
Sci. Techno!. B 11, 195 (1993).
9. S.J. Pearton, F. Ren, A. Katz, U.K. Chakrabarti, E. Lane, W.S. Hobson, R.F.
Kopf, C.R. Abernathy, C.S. Wu, D.A. Bohling, and J.C. Ivankovits, J. Vac.
Sci. Techno!. B 11, 546 (1993).
10. K Scheugraf, and C. Hu, Semicond. Sci. Techno!. 9, 989 (1994).
11. KK Ko, and S.W. Pang, J. Electrochem. Soc. 141, 255 (1994).
12. KK Ko, S.W. Pang, T. Brock, M.W. Cole, and L.M. Casas, J. Vac. Sci. Tech-
no!. B 12, 3382 (1994).
13. D.G. Yu, C.-H. Chen, A.L. Holmes, Jr., S.P. DenBaars, and E.L. Hu, J. Vac.
Sci. Techno!. B 15, 2672 (1997).
14. N.G. Stoffel, J. Vac. Sci. Techno!. B 10, 651 (1992).
15. D.L. Green, E.L. Hu, P.M. Petroff, V. Liberman, M. Nooey, and R. Martin,
J. Vac. Sci. Techno!. B 15, 2672 (1997).
16. M. Rahman, N.P. Johnson, M.A. Foad, A.R. Long, M.C. Holland, and C.D.W.
Wilkinson, App!. Phys. Lett. 61, 2335 (1992).
17. E.W. Berg, and S.W. Pang, J. Vac. Sci. Techno!. B 15, 2643 (1997).
18. R.J. Davis, and P. Jha, J. Vac. Sci. Techno!. B 13, 242 (1995).
19. KT. Sung, S.W. Pang, M.W. Cole, and N. Pearce, J. Electrochem. Soc. 142,
206 (1995).
20. A.S. Yapsir, G. Fortuno-Wiltshire, J.P. Gambino, R.H. Kastl, and C.C. Parks,
J. Vac. Sci. Techno!. A8, 2939 (1990).
21. G.S. Oehrlein, R.M. Tromp, J.C. Tsang, Y.H. Lee, and E.J. Petrillo, J. Elec-
trochem. Soc. 132, 1441 (1985).
22. S.W. Pang, Microelectron. Eng. 5, 351 (1986).
23. I-Wen H. Connick, A. Bhattacharyya, and KN. Ritz, J. App!. Phys. 64, 2059
(1988).
24. O.W. Purbo, C.R. Selvakumar, and D. Misra, J. Electrochem. Soc. 140, 2659
(1993).
25.KT. Sung, and S.W. Pang, J. Vac. Sci. Techno!. A 12, 1346 (1994).
26. J. Asmussen, J. Vac. Sci. Techno!. A 7, 883 (1989).
27. S. Nakayama, Pure App!. Chern. 62, 1751 (1990).
28. H.J. Dijkstra, J. Vac. Sci. Techno!. B 10, 2222 (1992).
29. C.W. Jurgensen, R.S. Hutton, and G.N. Taylor, J. Vac. Sci. Techno!. B 10,
2542 (1992).
30. R. Patrick, P. Schoenborn, and H. Toda, J. Vac. Sci. Techno!. All, 1296 (1993).
31. X.C. Mu, S.J. Fonash, B.Y. Yang, K. Vedam, A. Rohatgi, and J. Rieger,
J. App!. Phys. 58, 4284 (1985).
32. T. Mizutani, T. Yunogami, and K Tsujimoto, App!. Phys. Lett. 57, 1654
(1990).
33. G. Washidzu, T. Hara, J. Hiyoshi, M. Sasaki, Y. Suzuki, and K. Ukai, Jpn
J. App!. Phys. 30, 1045 (1991).
34. M.A. Foad, S. Hefferman, J.N. Chapman, and C.D.W. Wilkinson, Int. Symp.
GaAs and Related Compounds, 112, 293 (1990).
35. S.J. Jeng, and G.S. Oehrlein, App!. Phys. Lett. 50, 1912 (1987).
36. A. Mandelis, Photoacoustic and Thermal Wave Phenomena in Semiconductors
(North-Holland, 1987), p. 1.
8 Surface Damage Induced by Dry Etching 359

37. M. Taneya, Y. Sugimoto, and K Akita, .J. App!. Phys. 66, 1375 (1989).
38. KL. Seaward, and N.J. Moll, J. Vac. Sci. Techno!. B 10,46 (1992).
39. A.M. Cowley, and S.M. Sze, J. App!. Phys. 10,3212 (1965).
40. P.M. Owen, W.A. Phillips, and G.R. Fisher, J. Phys.: Condens. Matter. 3, 2917
(1991).
41. A.N. Yakimenko, Sov.-Phys. Semicond. 25, 1236 (1992).
42. L.S. Berman, LV. Grekhov, LN. Karimov, and E.V. Ostroumova, Semiconduc-
tors 27, 497 (1993).
43. S. Biswas, and A. Mansingh, Solid-State Electron. 25, 100 (1992).
44. J.F. Ziegler, J.P. Biersack, and U. Littmark, The Stopping and Range of Ions
in Solids (Pergamon Press, 1985).
45. T. Tanimoto, M. Kudo, M. Mori, and H. Kodera, Jpn. J. App!. Phys. 33, L260
(1994).
46. S. Thomas III, and S.W. Pang, J. Vac. Sci. Techno!. B 12,2941 (1994).
47. H. Linke, 1. IVlaximov, D. Hessman, P. Emanuelsson, Q. Wang, L. Samuelson,
P. Omling, and B.K Meyer, App!. Phys. Lett. 66, 1403 (1995).
48. R. Cheung, Y.H. Lee, C.M. Knoedler, K.Y. Lee, T.P. Smith III, and D.P. Kern,
App!. Phys. Lett. 54, 21:50 (1989).
49. R. Cheung, S. Thoms, M. Watt, M.A. Foad, C.M. Sotomayor-Torres, C.D.W.
Wilkinson, U.J. Cox, R.A. Cowley, C. Dunscombe, and R.H. Williams, Semi-
condo Sci. Techno!. 7, 1189 (1992).
50. S.K Murad, C.D.W. Wilkinson, P.D. Wang, W. Parkes, C.M. Sotomayor-
Torres, and N. Cameron, J. Vac. Sci. Techno!. B 11, 2237 (1993).
51. G.F. McLane, M. Meyyappan, H.S. Lee, M.W. Cole, D.W. Eckart, R.T. Lareau,
M. Namaroff, and J. Sasserath, J. Vac. Sci. Techno!. B 11,333 (1993).
52. R. van Roijen, M.B.M. Kemp, C.W.T. Bulle-Lieuwma, L.J. van ljzendoorn,
and T.L.G. Thijssen, .J. App!. Phys. 70,3983 (1991).
53. V. M. Donnelly, D.L. Flamm, C.W. Tu, and D.E. Ibbotson, J. Electroehem.
Soc. 129, 2533 (1982).
54. T.A. Carlson, Photoelectron and Auger Spectroscopy, (Plenum Press, New York,
1975).
55. X. Yin, H.M. Chen, F.H. Pollack, Y. Cao, P.A. Montano, P.D. Kirchner, G.D.
Pettit, and J.M. Woodall, J. Vac. Sci. Techno!. B9, 2114 (1991).
56. O.J. Glembocki, J.A. Tuchman, KK Ko, S.W. Pang, A. Giordana, R. Kaplan,
and C.E. Stutz, App!. Phys. Lett. 66, 3054 (1995).
57. O.J. Glembocki, Proc. SPIE. 1286, 1 (1990).
58. F.B. Pollak, J. Vac. Sci. Techno!. B 11, 1710 (1993).
59. W.E. Spicer, Z. Liliental-Webber, E. Weber, N. Newman, T. Kendelewicz,
R. Cao, C. McCants, P. Mahowald, K. Miyano, and 1. Lindau, J. Vac. Sci.
Techno!. B 8, 2084 (1990).
60. R.J. Davis, and P. Jha, J. Vac. Sci. Techno!. B 13, 242 (1995).
61. A.S. Yapsir, G. Fortuno-Wiltshire, J.P. Gambino, R.H. Kastl, and C.C. Parks,
J. Vac. Sci. Techno!. A8, 2939 (1990).
62. E.L. Hu, C.H. Chen, and D.L. Green, J. Vac. Sci. Techno!. B 14, 3632 (1996).
63. H. Nakanishi, K Wada and W. Walukiewicz, J. App!. Phys. 78, 5103 (1995).
64. C.H. Chen, D.G. Yu, E.L. Hu, and P.M. Petroff, J. Vac. Sci. Techno!. B 14,
3684 (1996).
65. A. Forchel, B.E. Maile, H. Leier, and R. Germann, Physics and Technology of
Sub micron Structures: Proc. Fifth International Winter School, 26 (1988).
360 S.W. Pang

66. S. Agarwala, and I. Adesida, Sixth International Conference on Indium Phos-


phide and Related Materials, 391 (1994).
67. E.W. Berg, and S.W. Pang, J. Electrochem. Soc. 146, 775 (1999).
68. A.E. Blakeslee, Mater. Res. Soc. Symp. Proc. 148, 217 (1989).
69. O.A. Popov, J. Vac. Sci. Technol., A 9, 711 (1991).
70. S. Thomas III, and S.W. Pang, J. Vac. Sci. Technol. B 13, 2350 (1995).
71. D.J. Kahaian, S. Thomas III, and S.W. Pang, J. Vac. Sci. Technol. B 13, 253
(1995).
72. D.L. Green, E.L. Hu, and N.G. Stoffel, J. Vac. Sci. Technol. B 12, 3311 (1994).
73. K.K. Ko, and S.W. Pang, J. Vac. Sci. Technol. B 13, 2376 (1995).
9 Photomask Etching

D.J. Resnick

9.1 Introduction

While plasma etching of wafers has been a mainstay of the semiconductor in-
dustry for more than 25 years, only in the last decade has dry-etch processing
become interesting to mask manufacturers. The reason for the late introduc-
tion of this technology becomes obvious when the methodologies used to
image silicon wafers are examined. Contact printing, which uses a mercury
arc lamp as a light source, satisfied the early needs of the industry when
critical dimensions (CDs) werewell over 2 ~m. The industry standard for a
mask with CDs less than 5 ~m was a quartz or glass plate on which a thin
80-100 nm layer of chrome was deposited. Resist was spun onto the mask and
exposed using either an optical reduction camera or, as the industry became
more sophisticated, an electron beam writing system. Because the smallest
features were many micrometers in width, the patterns were defined simply
by placing a the mask in a wet etchant such as cerium ammonium nitrate.
The resist was resistant to the chrome and etch and could easily be removed
chemically or in a plasma asher.
Defectivity issues caused by direct contact between mask and wafer were
solved with the invention of projection printers. However, these systems did
very little to decrease the feature size that could be printed on a device
wafer. This issue was remedied with the introduction of the optical reduction
stepper around 1980, which used a more elaborate lens system to project
a demagnified image on to the wafer. Typically, a 5x reduction lens was
employed at a wavelength of 436nm (G-line). Resolution and depth of focus
in these systems are defined by the equations:

Resolution = k1>"/NA, (9.1)

and
(9.2)

where k and k2 are proportionality constants >.. is the wavelength of light


and NA is the numerical aperture of the lens system. The first systems for
manufacturing could be expected to have a kl = 1.0 and a numerical aperture
of 0.35, thereby resulting in the routine printing of 1250 nm features.

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
362 D.J. Resnick

It is important to note that the introduction of reduction steppers elim-


inated (for a number of years) the need for any remarkable improvements
to the mask etching process. A One micrometer feature on the wafer trans-
lates to a 5 micrometer feature On the mask, which is easily resolved with a
wet etch. During the 1980s and early 1990s, improvements in stepper quality
continued. Wavelength was first decreased to 365 nm (still using mercury arc
sources) and then down to 248nm using a KrF excimer laser. As wavelength
decreased, numerical aperture increased. Commercial 248 nm 4 x reduction
systems can nOW be purchased with NAs as high as 0.70. With the continued
improvements of photoresists and the implementation of antireflection coat-
ings, critical dimensions of 250 nm are nOW routinely defined. The most ag-
gressive manufacturers are already printing complex devices with gate lengths
as small as 180 nm.
A 250 nm feature On a wafer translates to 1000 nm on the mask. Although
it is still possible to wet etch a 1000 nm chrome line, additional complica-
tions to the photoprocess start to make the wet etch process unattractive. At
these types of dimensions, line shortening is observed. The commOn meanS
of eliminating line shortening is the tailoring of mask features (optical prox-
imity correction). Line ends are slightly extended as is the linewidth, thereby
putting more emphasis On the chrome etch process. Additionally, it is not
unusual to observe enhanced line edge roughness caused by the selective wet
etching near grain boundaries.
Optical lithography will continue to be the industry mainstay for at least
another ten years, possibly more. Table 9.1 summarizes the Lithographic
roadmap proposed by Sematech in 1997 [1]. The roadmap is very aggressive
and the time frame in which sub-100 nm critical dimensions will be required
is not far away. ArF lasers produce 193 nm light, F2 lasers produce 157 nm
light. It is easily conceivable that these optical systems will be used to manU-
facture complex devices with critical dimensions equal to (perhaps less than)
100 nm. For a 4 x reduction system, this translates to 400 nm On the mask.
These types of dimensions cannot be satisfactorily resolved via wet etching.
The combination of process nonrepeatability and line edge roughness will
not allow final CD control of less than 10 nm 3a to be achieved. As small
as these variations are, this type of control will be a necessity for critical
dimensions less than 130 nm. In addition, the notion of phase shifting is nOW
being employed to improve aerial images and further reduce printed line size.
These techniques require that additional layers or features be patterned On
the mask with dry-etch processes.
Finally, at some point, optical lithography will "run out of steam" . Several
next generation lithographies (NGL) have been proposed to be the succes-
sor to optical. None is a clear-cut winner. All require plasma etch processes
to define the mask features. In this chapter we will review the plasma etch
development of photomasks. The bulk of the discussion will review the work
that has been done On more conventional "binary" masks. The following sec-
9 Photomask Etching 363

Table 9.1. 1997 SIA Lithography roadmap,


Y('al' of fi"'1 prod " '" I !J97 HJ99 2001 2000:~ 2006 2009 20 12
:-.ltipl1t<'llt t.('d l l1()lo~y 25011111 1 01111' 15011111 l:lOlll1l 100 111 11 70 II III fiO nIH
~t'n{'rati()n

\\"ave!' minimulIl 200 l 20 100 70 50


fc\at lire' :-.i;.w ( 11m)
~Iagl1ifkatioll I ,I I 1 I 1 I 1 4 I
~ I n~k minimulIl 00 560 o 400 100 2 0 70 2"'00
::--~5"'
0-""1"""
40 35
i m ag,(' !"iizp (11111 )
~ I ask ope foat lire ,100 20 240 200 100 140 70 100 50 70 35
~i,,(' ( 11111)

IllIage ph.\{'C'fll('TtI ,, 2 36 32 2 14 20 10 16 8 12 6
( 11111, II " ' It ipoint)

CD uniformity (nm)

I~(lla,('d liut,:-, :,!(; 16 13 9 9 6 6 4 4 3


( :lIPl' ,.;nl(,,)
1)(' 11:-.4.' lin(":, :l2 23 20 17 10 13 :; 6 4
( Dn,\ ,,1 hnl f
pitd, )
( ·() I'l a(· t~ /\'iH~ :16 26 22 1 II II 9 10 6 5
Lill('nril\" ( 11111 ) 10 2 21 20 9 11 6 10 :; 7 3
CD .-n('n n in targ('\ :w 12 10 4 6 3 2 3 1
(11111)
Dc' fc'c,t ~i~c' (n 'II ) 200 150 125 100 26 o 20 60 14 10 10
I (tla Vuhlll1(' (en ) :12 -°6"':1---'---' 12 32 512 12 20,' 12 19(; 20~

"1 1l.,k ,l(',i!!:" !!:I'i d 20 10 4 1 I 1 4 1 I I


(11 111 )
Mask materials Optical - Absorber on quartz , 152 nm a nd 230 nm s quare
Optical with pellicles
and s ubstrates - Primary PSM choices are e mbedded shifter and
Optical alternating aperture
(ex posure tool X-ray - Refractory metal on Si carbide membrane
Optical (100 mm diameter)
d epe nde nt) ~ " Pellicle" d efi nition required
E-beam Refracto ry metal scattere r on strutte d Si
Optical membra n e (200mm diameter)
projection - " P e llicle" d efi nition required
EUV - Absorber on multilayer reflector subs trate
Optical (300 mm diameter)
- " P e llicle " definition required
Ion - Carbon- coated silicon m e mbrane stencil mask
Opti cal (200 mm diameter)
projection - " Pellicle" definition re quire d

Solutions exist c==:=J Solutions being pursued c::==:J No known Solu tion

tion will then discuss the pattern transfer techniques necessary for making a
phase shift mask. Following this, the prospects for making NGL masks are
explored , X-ray lithography has the longest history and therefore the most
development, but is beginning to fall out of favor among many companies,
The etch work done for x-ray lithography forms the basis for the start of
development for the other NGL candidates which include projection elec-
tron lithography, extreme ultraviolet (EUV) lithography and ion projection
lithography.
364 D.J. Resnick

9.2 Optical Lithography

9.2.1 Photomask Basics

Early in the development of integrated circuits, a mask layout was typically


drawn in large scale and reduced photographically to a 10 x glass reticle.
Using a second photoreduction system, the pattern was reduced by another
factor of 10 to produce a 1 x image. The final reduction camera also operated
in a step and repeat mode so that an array of circuits was transferred to
the final mask plate. Before the introduction of VLSI circuitry, critical di-
mensions were usually larger than 5 micrometers and an emulsion plate was
sufficient as a mask material. As circuit complexity increased, the technology
described above was replaced with computer-aided design workstations, elec-
tron beam writers and quartz masks covered with a hard surface material.
Although these masks were more expensive than an emulsion plate, they had
far superior resolution.
A conventional photomask or binary mask consists of a quartz plate on
which a thin (80-100nm) reflective film, such as chromium, is deposited.
Earlier masks were either 4 x 4 or 5 x 5 inch square. Typical quartz plate
dimensions today are 152 x 152 mm square and, as shown in Table 9.1, will
eventually increase in size to 230 x 230 mm. There is an extensive list of re-
quirements that any photomask must satisfy to be deemed acceptable for
use in manufacturing. First and foremost is that the mask be defect-free. By
defect-free, it is generally agreed that when a print is made, transferring the
pattern from mask to wafer, that no defect is discernible on the printed pat-
tern. This is an important distinction, since small defects can appear on the
mask, but not be transferred after reduction into a resist image. Nevertheless,
mask defect density is a key issue and any pattern transfer process must take
care in not introducing any serious defects to the mask. Mask materials must
also be durable. Cleaning is necessary from time to time and both the quartz
and chrome must be able to withstand chemical and mechanical cleans. Other
important characteristics include optical density, radiation hardness and line
edge roughness. The final key criteria, of course is line size control. Electron
beam writing systems are capable of defining features well below 50 nm. The
potential therefore, for producing extremely high resolution masks exists. A
schematic of a mask-making process is shown in Fig. 9.1. This basic technol-
ogy has been in place for twenty years. It is only recently that the demand
for higher quality masks has pushed the industry to refine the mask pattern
transfer technology. The methods to achieve these refinements are discussed
in the next three sections.

9.2.2 Chrome Photomasks

The importance of developing a chromium etch to define the features on


optical photomasks was recognized as early as 1980 by several researcher [2,3].
9 Photomask Etching 365

A. Chrome on CfJartz
photmask blank

B. Apply optical or
Electron beam lesist

C. Expose resist
H!

D. Develop resist

E. Etch chrome, strip


resist

Fig. 9.1. Schematic drawing of a photomask process flow .

It was det ermined tha t oxygen plays a key role in the etching of chromium,
since the volatile reaction product during etching was chromyl chloride or
Cr02CI2. At one atmosphere , Cr02Cl2 has a boiling point of 117°C, thus it
is likely that both chemistry and ion bombardment will play critical roles in
determining the chromium etch rate. The main reaction for etching either
chrome or chromium oxide films was proposed to b e:
(9.3)
for chrome and
(9.4)
for chromium oxide. The two equations suggest two possible mechanisms for
etching chrome. In the first, it is assumed that both oxygen and chlorine are
necessary in the transport of Cr. In the second case, the assumption is that
chlorine etches chromium oxide rather than elemental chrome [4]. Because a
significant amount of oxygen is necessary to obtain a reasonable chrome etch
rate, difficulties arose in developing an etch process. In addition to having
poor etch resistance relative to optical photoresists, electron beam resists
are typically very thin (300- 400 nm). This is necessary in order to minimize
forward scattering of electrons during exposure and obtain the best resolution
possible.
Initial experiments by Takata et ai. [2] examined Cr etch rates using an
rf (13.56 MHz) plasma etcher consisting of a cylindrical 8 inch diameter glass
366 D.J. Resnick

tube. With this type of system, rf power is applied by either capacitive or


inductive coupling through the wall of the chamber. Substrates are electrically
floating, and as a result etch profiles typically exhibit an isotropic profile.
Etch gases were combinations of CC1 4, O 2, N2 and Ar. Holding power and
pressure constant at 160 Wand 0.30 Torr, respectively, chrome etch rate was
measured as a function of the ratio of 02/(Ar + O 2). When the ratio was
zero (indicating that no oxygen was present), no discernible etch rate was
detected. As the ratio increased to 20%, the etch rate was observed to jump to
approximately 75 A min -1. Further increases in the ratio caused gradual and
minor increases of the etch rate. Saturation was reached with the inclusion
of 50% oxygen.
In an attempt to improve selectivity between resist and chrome, Suzuki
et al. [3] compared the etch characteristics of chrome films with gas mixtures
of both CC14/0 2 and CC1 4/C0 2. During plasma etching, a spectrometer
was used to monitor Cl, CO and O 2 peaks. This work suggested that oxygen
molecules become attached to the resist film. Atomic oxygen then attacks the
film, as speculated by previous researchers. Since the chromium etch is aided
by the presence of 0(3P) radicals which can be generated from either CO
or O 2, the conclusion was reached that better selectivity can be obtained by
replacing the O 2 with CO 2 In neither case were the actual etched chromium
features acceptable for production use, however.
The first substantial research in a parallel plate etcher was done by Naguib
et al. [5]. In this system, the lower electrode (on which the substrates reside)
is rf grounded. As discussed earlier in this book, this configuration enhances
anisotropic etching by ion bombardment. Mixtures of CC14 and oxygen again
were studied, and etch rates were determined as a function of percent oxygen.
The results are shown in Fig. 9.2. For this experiment, rf power, pressure and
total gas flow rate were set to 0.4 W cm- 2, 0.14 Torr and 15sccm, respectively.
Etch rates were enhanced by a factor of four relative to earlier work and
a maximum etch rate of nearly 400 A min- 1 was obtained with an oxygen
concentration of 40-50% The decline in etch rate above 50% was attributed
to either a reduction in active chlorine species or the formation of nonvolatile
reaction products. This work again confirmed that oxygen is necessary to the
etch process.
Etch rate was also examined as a function of total flow rate and rf power
density, with a set concentration of oxygen equal to 50%. In both cases etch
rates increased monotonically, plateauing at the highest ranges of flow rate
and pressure studied. It was suggested that the rate of increase decline at
the higher flow rates was governed by active species residence time. Under
these conditions active species would be pumped away before they had an
opportunity to react at the substrate surface.
Loading effects were also examined using a process in which rf power was
0.2 and 0.4 W cm- 2 and CC1 4 and O 2 flow rates were set at 12 and 8sccm,
respectively. Up to twelve substrates could be etched in a single run, and etch
9 Photomask Etching 367

500

c
'E
400
.
----~---

~ 300
CD
1ii
a:
.r:::. 200
g
w

100

0
0 20 40 60 80 100
% Oxygen
Fig. 9.2. Chrome etch rate as a function of % oxygen. A maximum etch rate of
400Amin- 1 was obtained with oxygen concentrations between 40 and 50%. (From
[5]).

rate was measured as a function of the number of substrates. At both power


densities, etch rate decreases by approximately a factor of two as the wafer
number is increased from one to twelve. The behavior follows the equation
derived by Mogab [6], who proposed that:

1 G1(1 + VdA) '


R = {3T
(9.5)

where

d = bpNo/M,
R etch rate of the material,
=
G =
generation rate of active species,
T = lifetime of the active species,
{3 = kinetic rate constant dependent on sample temperature,
A = the area of etchable material,
V = plasma volume,
b = the reaction coefficient,
p = material density,
No = Avogadro's number, M = the molecular weight of the material.
When the reciprocal average of etch rate was plotted against substrate num-
ber, excellent agreement was obtained between experiment and theory. To
reduce the loading effect it is necessary to minimize T. One simple method to
368 D.J. Resnick

do this is to increase pump capacity, something that is commonly done with


etchers today.
As electron beam resist etch resistance improved, the development of a
dry-etch mask process became more interesting to the semiconductor commu-
nity. In addition, the introduction of optical-based mask writers, such as the
Etec CORE2564, which used conventional low etch rate photoresists were
now available. SAL-601, a chemically amplified negative electron beam re-
sist from Shipley Corporation, had excellent resolution and etch resistance
comparable to I-line resists [7]. Two major drawbacks to SAL-601 were its
sensitivity (approximately four times slower than PBS), and the need for
a post-exposure bake (PEB). Feature size is very dependent on the PEB,
varying as much as 20 nm °C- 1 . Nevertheless, it provided a good vehicle for
continuing etch development of chrome based masks. Tedesco et al. [8] used
SAL-601 and PLASMAMASK, a dry-etchable photomaterial to study etch-
ing on four inch substrates. A reaction ion etcher operating at 13.56 MHz was
used to optimize resist selectivity and etch uniformity as a function of power,
pressure and oxygen flow rate. Best selectivity was obtained at low powers
and high pressures, but at the cost of etch uniformity.
Other RIE studies have noted the same type of loading effects and nonuni-
form etching observed by Naguib and Tedesco [9]. The higher pressures nec-
essary to insure good selectivity resulted in a radial etch pattern, in which
etch rate was always higher towards the edge of the mask. The reason for
the radial distribution is the chemical component of the etch reaction. Dif-
fusion of unreacted species towards the periphery of the mask generates a
radial concentration gradient, leading to a radial etch pattern. It became ap-
parent that the path to a dry-etch mask process would need to incorporate
high-density plasma etchers which operated at low pressures.
Microwave-based (ECR) and inductively coupled plasma (ICP) etchers
provide the necessary plasma densities and have been the recent focus of at-
tention for photomask etching. The reduction in operating pressure enhances
the rate of species diffusion, resulting in improved uniformity. In addition to
low operating pressures, the plasma power and bias are decoupled, thereby
allowing independent control of ion energies. In this way, both good selectiv-
ity and uniformity can be obtained. ICP-based systems typically have plasma
densities 10-1000 times greater than a more conventional reactive ion etch
system. Although the plasma density is somewhat greater for ECR systems,
the simpler design of an ICP system makes it more attractive for use in
manufacturing environments.
Early experiments with ICP systems proved to be very promising. Cole-
man et al. [10] examined the etching characteristics of a 6 x 6 inch mask us-
ing a Plasma-Therm SLR 720 ICP chamber equipped with a 3601s- 1 turbo
pump. Radio frequency power was coupled into the system at 2.0 MHz us-
ing a four-turn copper coil. A separate and independent 13.56 MHz rf source
was used to apply bias to the mask surface. The mask plates were coated
9 Photomask Etching 369

with a 4500 A OCG-895 I-line resist and were patterned with an Etec ALTA
3000 optical exposure system. Patterns consisted of sparse and dense areas
in which a resolution test pattern was written. Initial experiments examined
masks with approximately 50% open areas. Endpoint was detected with a
conventional HeNe laser reflectance system. Overetch time was held constant
at 30 s. Critical dimensions, after etch, were measured with a Technical In-
strument KMS310 metrology tool.

Table 9.2. Eight mask screening experiment for an rcp chrome etch.

Run# Pressure Gas flow rcp power rf bias Cr/resist Etch time 317
(mTorr) (Cb/02) (W) power selectivity (min) uniformity
(W) (nm)
1 15 25/7 600 0 0.74 6:15 53
2 15 25/7 600 5 0.66 4:45 34
3 15 25/7 500 5 0.74 5:30 29
4 15 25/7 500 0 1.19 5:30 32
5 15 26/4 500 0 1.30 6:00 35
6 15 26/4 600 0 1.06 5:25 34
7 15 26/4 400 5 0.65 12:00 42
8 20 26/4 600 0 1.25 6:30 30

Table 9.2 depicts the input and output parameters that were varied for
an eight-mask screening experiment. Of particular note for the input para-
meters are the low pressures (15--20 mTorr) and low rf bias power applied. A
combination of good resist etch resistance and low bias resulted in etch selec-
tivities greater than one for several operating conditions. Visual inspection
during etch noted no radial clearing pattern. The improvement in etch unifor-
mity was confirmed by measuring CD uniformity. A 16 x 16 array of crosses
were measured, covering a 128 x 128 mm area of the photoplate There was no
case in which a radial pattern was observed. The 317 uniformity average was
approximately 35 nm, which was believed to be close to the initial variation
in the photoresist. A vertical etch profile was also observed for several etch
conditions. An example of a final chrome feature is shown in Fig. 9.3. Fur-
ther experimentation did show evidence of both sloped and reentrant chrome
profiles, and a dependence was noted for percent overetch. It was also ob-
served that best uniformity was obtained at lower Iep powers. Operating
pressure also played a large role. Best CD uniformity was observed for higher
pressures, which was also where best selectivity was observed.
A first attempt was also made to examine defect density after chrome
etching. The initial resist process yielded etch results with unacceptably high
defect counts. After altering the develop/rinse process used to define the pho-
toresist, significantly better results were obtained. Total defects numbered 23,
with the vast majority of defects being unetched chrome areas. A subsequent
370 D.J. Resnick

Fig. 9.3. (a) Vertical etch profile in chrome, and (b) top down images of a chrome
mask.

seven month study yielded comparable defect numbers, with an occasional


spike in the data which correlated to system cleanliness. After chamber clean-
ing, the defect levels always returned to normal levels. Despite the low defect
total, the numbers are high relative to a wet-etch process and work contin-
ued to improve the overall process, as well as improving mask handling issues
which can contribute to defect density.
In order to further improve the process, a second study was pursued by
Constantine et al. [11] using an ICP system with a 6001s- 1 turbo pump. A
17-point DOE was run to investigate the effect of power, oxygen concentra-
tion and pressure on selectivity, CD uniformity and CD mean to target. An
Etec CORE optical exposure tool was used to define the mask patterns. It
was determined that oxygen concentration and pressure played a key role in
the process and a process window was identified in which etch rates and selec-
tivities were better than 320 A min - 1 and 2: 1, respectively. CD uniformity fell
short of expectations (no results better than 33 nm, 30-). The marginal results
were attributed to the exposure tool, however, and an additional plate was
exposed with an Etec ALTA system. After etch, CD uniformity was better
than 17 nm, 30- as shown in Fig. 9.4.
Because of the improvement in etch selectivity, it was observed that degra-
dation in line edge roughness occurred after pattern transfer. The poor line
edge was attributed to an inconsistent "foot" on the photoresist prior to etch.
By subjecting the resist to a 500 A descum process, the foot was removed and
line edge roughness was minimized. The descum was performed with an RIE
process in order to provide maximum anisotropy and minimal CD loss. Resist
feature size was observed to change by less than 20 nm after the descum etch.
A final set of masks was run under optimum conditions in order to un-
derstand the effects of percent overetch and DC bias on CD. Three mask
types (logic metal level, 256M DRAM and a logic PC level) were written to
examine the effects of pattern density. CD measurements were made before
and after etch and the results are shown in Table 9.3. The results indicate
9 Photomask Etching 371

Summary

.. •.
••
9730 Plate #0441 Legend Maximum 2159.00
20% O••retch

.. ..
16 60 Minimum 212900
16 • Mean 2144 85

.. ••
14 46
13 Median 214500
12 • 0
• 40 Max-Mean 15.85
11
10
• 36 Range 30.00

! 8 000 0

I!!
f30 • 3 Sigma

Em
16.57

16.63


7 0 E
0
6 0 o 0 Ii 26
Z


5
4 20
3
2 o
o
0
0 ·0
16

0 10
o 1 2 3 4 6 6 7 8 910111213141616
Column
IrC~:J
ICP Powar-aJlJw
PrellUre - 10 mT
OM~n-22.5%

Fig. 9.4. CD uniformity across a chrome mask using an optimized etch process.
An Etec ALTA system was used to expose the photoresist.

that etch uniformity is excellent in all cases. CD etch bias varies linearly with
overetch, with a 25% overetch variation causing only a 20 nm shift in critical
dimension. The difference in CD etch bias between mask patterns was pri-

Table 9.3. CD variation for three different mask designs. 30" values after etch were
comparable to the initial resist for all samples. (From [11)).

KMS CD SEM measurement


measurement
Plate # Overetch dc bias Resist Final Cr Resist, Final Cr Etch bias
(V) x/y x/y 30" 30" (nm) (nm)
30" (nm) 30" (nm) (nm)
M4 25 90 40/20 38/24 26 23 19
M2 50 90 43/24 41/27 33 24 -3
PC3 50 90 45/31 35/21 26 30 27
T2 75 90 24/24 28/20 25 30 -50
T3 25 120 19/21 20/15 33 30 -14
M1 50 120 44/28 43/26 23 28 -8
PC1 50 120 49/25 40/18 33 46 20
M6 75 120 52/30 43/27 24 29 -25
M3 25 150 40/20 40/25 28 28 13
T1 50 150 22/22 30/16 30 24 -31
PC2 50 150 41/25 38/19 37 25 25
M5 75 150 34/19 42/30 34 29 -21
Mean 38/24 37/22 29.3 28.8
372 D.J. Resnick

marily attributed to the difference in exposure dose during the mask writing
process.
Etchers and resist systems have now progressed to the point at which dry
etching is viable for manufacturing. Etch uniformity is excellent and defect
levels continue to be reduced. For the most demanding masks, exposure on
electron beam writers is now possible with resists that continue to demon-
strate the better etch resistance necessary for dry-etch processing. As an
example, ZEP81OS, an acrylic based positive e-beam resist, has been success-
fully used in a magnetically enhanced reactive ion etch system to pattern
transfer 64M DRAM masks [12]. ZEP7000 resist has also been successfully
integrated into a magnetically enhanced chrome dry-etch process [13]. CD
uniformity was again found to be equivalent to the starting photoresist. De-
fect densities were low and the vertical etch profile obtained was clearly su-
perior to the wet-etch profile. While it is now clear that dry etching will be a
necessity for the most demanding critical layers, the industry, as we will see
in the next section, is not restricted to chrome on quartz masks.

9.2.3 MoSi Photomasks


Although the industry standard for a mask reflector is chrome, serious dry-
etch development work was started on MoSi in the mid 1980s. In particular, a
group from Mitsubishi recognized the need for improved mask pattern trans-
fer and proposed MoSi as an alternative to chrome [14]. Just as with a chrome
mask, there are many criteria that the MoSi reflector must meet. Included in
this list are reflectivity, chemical durability, reliability, defectivity and etch
characteristics.
Film characteristics were studied by Watakabe et al. [14]. MoSi was de-
posited in an rf magnetron system using a mosaic target consisting of molyb-
denum (Mo) and silicon (Si). In order to study different Mo/Si ratios, sput-
ter rates were varied by changing operating pressure during the deposition
process. Si/Mo ratios of 1.9, 2.4 and 3.0 were obtained with pressures of
1.3, 2.7 and 4.0 Pa, respectively. Reflectivity is approximately 50% between
300 and 800 nm, with the greatest reflectivity obtained for the film with the
highest Si/Mo ratio. Optical density was also measured as a function of film
thickness. The results of this experiment are depicted in Fig. 9.5. For a MoSi
film with a Si/Mo ratio of 2.4, it was determined that an optical constant
of 3.0 could be obtained for film thicknesses between 80 and 100 nm. This is
virtually the same number that is obtained for chrome. It is interesting to
note that if it is necessary that the MoSi have antireflective characteristics, it
is possible to decrease the MoSi reflectivity to as little as 10% by controlling
the O 2 partial pressure during the sputter deposition process.
Both chemical durability and reliability are crucial if the mask is to be
used in manufacturing. It is common to clean masks by placing them in
aggressive H 2 S0 4 /H 2 0 2 solutions at temperatures above 100 0 e. This "Pi-
ranha" mixture is very effective at removing organic defects that might reside
9 Photomask Etching 373

I
4

3.S •... ~~Si

3

~
·iii 2.S
c::
Q)
0 2
as
.2
c.. 1.S
0

o.S

o SO 100 1S0 200


Film Thickness (nm)
Fig. 9.5. Optical density as a function of film thickness for a MoSi film. (From
[14]).

on the mask. Watakabe's work demonstrated that no change in optical den-


sity occurred after 120 min exposure to Piranha. When exposed to the same
solution, chrome reflectance increased slightly and antireflective chrome re-
flectance increased by almost a factor of four.
Mask reliability was examined by exposing the mask to a series of ultra-
sonic and scrub cleanings. Again, these methods are used routinely to clean
photomasks. Good adhesion between the quartz plate and reflecting material
is required if these cleaning methods are to be implemented. The effect of
ultrasonic and scrubbing were measured using a KLA-101 automatic mask
inspection tool. The system can detect defects in the mask for feature sizes
down to 1000 nm. Pattern defects were mapped as a function of both ultra-
sonic cleaning time and mask scrubbing cycles. No change in defectivity was
noted for either test. Again it is interesting to point out that chrome masks
obtained from two different commercial suppliers showed increased defect
levels after extensive cleaning.
Both molybdenum and silicon are easily etched in fluorine-based gases.
Molybdenum in the presence of fluorine forms MoF 6 , which has a boiling
point of 35°C. Similarly, silicon in the presence of fluorine forms SiF4 which
has a boiling point of only -86°C. As a result, little rf is necessary to initiate
a dry-etch process. This was important to the development of a MoSi etch
process, since electron beam resists, such as PBS and EBR-9 were notorious
374 D.J. Resnick

for having very poor dry-etch selectivity [15]. Relative to the more common
novolac-based optical resists, EBR-9 etch rates were at least twice as high
when exposed to either fluorine- or oxygen-based plasmas. As described ear-
lier, the majority of etch tools during the 1980s were standard reactive ion
etchers in which rf power and bias could not be independently controlled. It
was important, therefore, that the mask etch take place in a plasma which
would not severely degrade the e-beam resist mask. A low-power fluorine-
based etch meets those criteria.
A combination of CF4 and O 2 is effective for etching MoSi. Watakabe
studied the etch behavior using a planar-type etcher, using CMS resist as a
hard mask. The resist was patterned using a 10 keV EBES-40 electron beam
writer. Tests were conducted on 5 inch square masks using a 100 nm thick
layer of MoSi. MoSi etch rates were maximized in a CF 4/5%02 gas mixture
by increasing the CF 4 flow rate to 200 seem, Selectivity between MoSi and
resist under these conditions was as high as 2:1 at the maximum flow rate.
To further qualify the process, etch rates for both MoSi and the CMS were
measured as a function of oxygen flow rate. The results of this test are shown
in Fig. 9.6. MoSi etch rate increases with increasing oxygen up until 20%.
Selectivity to resist at this point is still approximately 2:1. While the addition
of oxygen initially aids in the formation of free fluorine, it is also possible that
etch rate is enhanced by the evolution of volatile reaction products of MoOF 4
and SiOF 6 .

20

c
--.s'E
E
15

(I)
a;
a:: 10
.s:::.
£
w
5

O~~~~~~~~~~~-L~~~~~

o 50 100 150 200 250


CF4 + 5%02 Flow Rate (seem)

Fig. 9.6. Etch rate for MoSi and CMS resist as a function of CF 4 flow rate. Selec-
tivity is 2:1 at the highest flow rate. (From [14]).
9 Photomask Etching 375

Maximum etch rates and selectivities can vary with oxygen concentration
depending on the actual etch system used. Using a Plasma-Therm 720 shuttle
lock reactive ion etcher with an electrode spacing set to 2.5 inches, Resnick et
al. [16] examined selectivity between MoSi and GMCII resist. Operating at
a pressure of 100 mTorr and a bias of 65 V, it was determined that the best
selectivity ("-'3.5:1) was obtained with the addition of 4% oxygen, yielding a
MoSi etch rate of 40nmmin- l . Above 4%, the selectivity slowly degrades.
Presumably, for oxygen concentrations above 4%, the excess oxygen is free
to react with the resist, causing a decrease in selectivity. Similar results are
also observed in the etching of silicon thin films.
Linewidth control is critical to the success of any mask etch process. It is
often necessary to tailor critical feature sizes in order to introduce bias into
the wafer printing process. As a result, it is common to oversize resist mask
features and carefully monitor etch conditions in order to have a finished
mask with the correct targeted feature size. Unlike chrome wet etching, the
dry-etch process is less susceptible to undercutting. Linewidth loss during the
MoSi plasma etch process is possible, however, for several reasons. First, free
fluorine will etch both Mo and Si, thereby causing feature undercutting. The
linewidth loss is not as severe as a purely isotropic wet etch, however, since
ion bombardment enhances the vertical etch rate of the MoSi film. Secondly,
resist erosion in the presence of both fluorine and oxygen can cause changes
in the feature size. Finally, since selectivity between resist and MoSi is not
infinite, linewidth loss can be expected if the resist feature does not have a
90° sidewall. For very sensitive electron beam resists with low contrast, this
is very common. Some resist processes actually induce resist flow (thereby
sloping the resist sidewall) in order to minimize line edge roughness.
Watakabc determined that the MoSi etch process resulted in a change of
linewidth of approximately 30 nm with no overetch. With a 50% overetch, the
linewidth loss increases to 100 nm, as shown in Fig. 9.7. Similar results were
also obtained by Resnick et al. In this study, final line size was also varied
by controlling the overetch, and line size was observed to change at a rate of
70 nm min-I.
Viability for applying a dry-etch process for use in manufacturing was
confirmed with a study on MoSi 5x reticles with a 16M-bit dynamic RAM
memory pattern [17]. A JEOL JBX-6AIII shaped beam electron beam system
was used to expose EBR-9 HS30 resist. EBR-9 HS30 is a sensitive (7 j..lC cm- 2
at 20 k V) resist, with relatively poor etch resistance. Resist contrast is rather
high, however, and it is possible to obtain resist features with very straight
sidewalls. In order to determine feature size variability after dry-etching,
25 masks were exposed with the 16M-bit DRAM pattern. Coded 5 j..lm gate
features were measured at 65 locations after resist development, and again
after MoSi pattern transfer. The results are shown in Figs. 9.S. The feature
size deviation in the EBR-9 HS30 resist was 30 nm 30" in both resist and MoSi,
within the limit of accuracy for the Nikon LAMPAS-21 inspection tool.
376 D.J. Resnick

120 CF4 + 5%°2


E
.s 100
0.24 W/cm 2
40 Pa •
"S
~
Q)
"0
80
c:
:J •
15
E
60
(L...,J':..-=--=-=j.IMoSi
Resist

~I+-
::J
0 40
E
« /lW
20

0
0 20 40 60 80 100
Percent Overetch
Fig. 9.7. Relation between percent overetch and the amount of MoSi mask under-
cutting. (From [14]).

The final part of the 16M-bit DRAM study concentrated on defect levels
after pattern transfer. A KLA inspection tool run in a die to data comparison
mode was used to examine all 25 masks. Defects larger than l!lm were de-
tectable with this system. A graph of the percentage of reticles as a function
of both clear and opaque defect numbers is shown in Fig. 9.9. Most masks
were completely free of clear defects. It is possible that the few clear defects
detected were the result of pinholes present in the imaging resist. The average
number of opaque defects per masks was found to be 7.2. The majority of
the opaque defects were found to have diameters between one and five micro-
meter. It is conceivable that smaller defects were present, but could simply
not be detected. It is unlikely, however, that the 0.42 G-line stepper would
resolve any mask feature less than one micrometer. The opaque defects that
were found were reparable with the same laser and focused ion beam systems
used to repair chrome masks.
The improvements in selectivity and etch uniformity realized by using
ICP technology for chrome photomasks is also applicable to MoSi. In a recent
study, Constantine et ai. [18] examined the etch characteristics of MoSi using
a chrome hard mask. This particular work focused on the development of an
embedded phase shift mask (next section) for DUV applications, however,
the results of the work are applicable to conventional binary masks. Screen-
ing experiments were run to understand the differences for SF6 and CF 4 etch
chemistries. Input parameters included gas composition, ICP power, rf power
and pressure. The key output parameters were MoSi etch rate, quartz etch
rate, CD uniformity and CD bias. Of particular interest for the SF6 exper-
9 Photomask Etching 377

60
RESIST PATTERNS (EBR-9 HS30) (a)

(fJ
50 N = 65 (5 ",rn patterns)
E
(J)
LAMPAS-21

E 40
~ Opaque patterns Clear patterns
::J
(fJ 3<r = 0.032 ",rn 30" = 0.028 ",rn
as
(J)
30
~
'0
(jj 20
.c
E
::J
Z 10

0
-0.05 0 +0.05 -0.05 0 +0.05
Feature Size Deviation (j.t.m)
60 , - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - ,
MASK PATTERNS (MoSi) (b)

50 N = 65 (5 ",rn patterns)
LAMPAS-21

40
Opaque patterns Clear patterns
30" = 0.025 ",rn 30" = 0.027 ",rn
30

'0
(jj 20
.c
E

J
::J
Z 10

0 I
-0.05
c lLtb
0 +0.05
I
-0.05 0
!hI
+0.05
Feature Size Deviation (!!m)

Fig. 9.8. Feature size deviation of (a) resist patterns and (b) photomask patterns.
(From [17]).

iments were the results for quartz roughness and CD uniformity. Smoother
quartz surfaces were obtained with higher RIE powers, however, best unifor-
mity was realized for lower values of rf power. It was also noted that several
process conditions (particularly when ICP power was low) led to instabilities
in the plasma. This is probably a result of the higher electronegativity of the
SF 6 gas. When excited, SF 6 easily forms free fluorine molecules, which are
neutral species and unaffected by the electric fields set up by the ICP source.
The free fluorine also makes it more difficult to achieve anisotropic etching.
In comparison, when using CF 4 best results for these two output para-
meters were obtained for low values of rf power. Plasmas were stable for all
378 D.J. Resnick

16M DRAM Reticles


en
w average
.....J 80
() defects/reticle
i=
w D Clear defect : 0.25
a:
.....J
60 ~ Opaque Defect : 7.19

~
U.
1 Ilm detection
n: 25
o 40
W

~Z
W 20
()
a:
w
a.

o 2 3 4 5 6 7 8 9 10 >10

NUMBER OF DEFECTS
Fig. 9.9. Distribution of the number of mask defects on a reticle prior to repair.
(From [17]).

operating conditions and anisotropic etching was possible even for the lower
settings of rf power. As a result, the remainder of this work concentrated on
optimizing a CF4-based process. In order to optimize CD uniformity while
not disturbing the quartz surface of the mask, a two-step etch was designed.
In the first part of the etch, higher rf power and higher pressures resulted
in best CD uniformity. When the surface of the quartz was exposed, the RF
power was decreased to minimize surface roughness. The starting uniformity
of the chrome features was 18.6 nm, 10". Starting surface roughness in the
quartz plate was 0.3nm (r.m.s.). After the two step etch, these parameters
exhibited minimal changes; 20.3 nm and 0.75 nm, respectively.
The success of these studies has led to the commercialization of MoSi
photomasks. Chrome and MoSi will continue to serve the needs of the pho-
tomask community as 193 nm photo systems become commercially available.
If, however, 157nm lithography is introduced into manufacturing it is likely
that changes will need to be made. Optical density begins to become an issue
at shorter wavelengths, and a switch to either tungsten or silicon may become
necessary [19].
9 Photomask Etching 379

9.2.4 Phase Shift Mask Technology

Until t his point, discussions have centered on the pattern transfer of binary
masks: i.e. , masks which have either opaque or clear areas. A modular transfer
function (MTF) can be used to predict the resolution one might expect from
an optical projection system with a given wavelength of light and numerical
aperture. Because of diffraction effects at the edge of an opaque feature,
projected patterns appear blurred. When line sizes become small enough,
areas which were opaque on the mask, will have some light intensity at the
wafer plane. This is illustrated in Fig. 9.10 for a mask with an array of line
space pairs. Note that although the chrome or MoSi features may have a
perfectly vertical profile, the diffraction effects for submicrometer line/space
pairs create a transfer function which is far from ideal. The purpose of a phase
sh ift mask is to improve the MTF , thereby improving image contrast, feature

+-- Mask Plate


Chrome
+--

c ----------------:::> +--- ~ns

UV light incident
on wafer

Resist
Wafer

r------, r------~ Ideal tran fer


I I
I I
I I I I
I I
: I
Actual transfer
I
! : .-------
··
I
I

Modulation M:

·::

M=
l -I .
!JIIlI&......!l
/''IM +/min

Position on Wafer
Fig. 9.10. Schematic drawing of aerial image intensity from a projection printer.
380 D.J. Resnick

resolution, depth of focus and process latitude. As a result, the capability of


the printing tool can often be extended to the next generation of lithography.
As an example, phase shift masks when applied to 248 nm projection tools
allow for the printing of features less than 200 nm. As critical dimensions
continue to push below 180 nm, the need for phase shift masks will be a
certainty.
The original work for phase shifting dates back to 1980 and was included
as a claim in a patent covering x-ray mask technology. The application to-
wards more conventional optical lithography, however, is attributed to Lev-
enson et al. [20] from IBM and Shibuya from Nikon. Phase-shifting technol-
ogy enhances resolution by combining the normal wave passing through the
mask with an additional wave which has been phase shifted by 180 0 relative
to the original wave. Three different types of phase-shift strategies are shown
schematically in Fig. 9.11. Depicted are a Levenson shifter, a rim shifter
and an attenuated shifter. Many other strategies have been proposed as well.
Outrigger masks, for example include the writing of additional features which
enhance edge resolution. It also possible to build a phase-shift mask without
the use of any opaque material simply by etching into the glass mask. Issues
associated with complexities in processing and difficulties of mask inspection
make these options less appealing. The attenuated shifter and the rim shifter
have great potential since it is easily applied to all features on the mask. The
attenuated shifter uses a "leaky" chrome or MoSi layer to alter the transfer
function and has been studied extensively by several groups [21-23]. The sec-
ond layer depicted in Fig. 9.11c is necessary since the leaky chrome cannot

A. Levenson PSM

Chrome Shifter

B. Rim shifter

C. Attenuated

Shifter
Fig. 9.11. Schematic illustration depicting three different types of phase shift mask.
9 Photomask Etching 381

properly shift and absorb by the desired amount. A rim shifter uses an opaque
material in combination with a shift material to achieve similar results.
It is important to point out that although the Fig. 9.11 depicts rim and
attenuated shifters which include the use of an additional deposited layer on
the mask (such as spin on glass (SOG), it is just as feasible to change the
phase by removing material (such as the quartz mask). Etec [24] has studied
the etching of quartz using a conventional RIE system with a combination
of CHF 3 and O 2 • In the case of quartz, in which a substantial bias is re-
quired to enhance etch rate, an RIE system is a reasonable choice for this
process. Although the resultant profiles are typically vertical, care must taken
in the choices of gas flow, pressure and power to minimize micromasking and
polymer formation. The CHF 3 is used to generate CHF x, and CF x species,
along with other ions and radicals. Etching occurs through the formation of
SiF 4. The addition of oxygen aids in the reduction of polymer products by
combining with free carbon to form either CO or CO 2 . Best results were ob-
tained with CHF 3 flow rates of 60 sccm, O 2 flow rates of 2 sccm, a pressure of
10 mTorr and a power density of 590 m W cm -2. With these conditions, etch
rates of 330 Amin- 1 were obtained with a 30' etch uniformity of 11oA.
More recent studies using an ICP etcher were done to further improve the
etch uniformity required for the most critical mask layers [25]. Initial tests by
Constantine et at. noted that after process optimization, best uniformity was
limited to approximately 2%. Follow-up tests pointed to the design of the
ICP source itself. It was suspected that some of the fittings were channeling
rf energy away from the coil assembly, thereby reducing plasma uniformity.
A redesigned 3-turn coil assembly was fitted onto the system and quartz etch
rate and uniformity were examined as a function of ICP power. Figure 9.12
depicts the results of this study. For ICP powers between 175 and 225 W, etch

400 a

350
2.5
'2' Etch UnHonnily
300
~
OuIBlde-ln
2 W
::7

*
250
C

i
~
a: 200 1.5
.£:

ro 150 ~ ~
......
~
IU 100
1- I ~

"
~
Etch Rate

1_ I
0.5
50
Uniformity
0 0
0 50 100 150 200 250 300 350 400
ICP Power (Watts)

Fig. 9.12. Quartz etch rate and uniformity with a redesigned ICP source. (From
[25]).
382 D.J. Resnick

uniformity is better than 2%, with a minimum of approximately 1% achieved


at 200 W. It is interesting to note that for powers below 150 W, the etch rate
is faster at the edge than at the center. This trend reverses, however, for
higher powers.
Rim shift technology has been studied extensively by several groups. In
one example of the technology, Lawes et ai. [26] used combination of wet- and
dry-etch processes to achieve the desired feature . A schematic of the process
used is shown in Fig. 9.13. Patterned resist serves as the etch mask during
plasma etching of the chrome layer. A wet etch is then employed to deliber-
ately undercut the chrome. The quartz is then etched using the original resist
again as the etch mask. Finally, the resist is stripped to finish the process.
A fluorine-based etch was again used to etch quartz. Optimized conditions
resulted in a quartz etch rate of 187 A min- I, with a selectivity to resist of
nearly 5: 1. Etch depth repeatability was also studied, and measurements on
five consecutively etched masks yielded repeatability better than ±1 %, which
is equivalent to a phase-shift error of only ±1° for a G-line mask. As the in-
dustry moves towards 193 nm systems, the etch depth in quartz is reduced
to 180 nm with a tolerance of only 8 nm [26] . Given the tight specifications
for CD control necessary for future generations of masks, the limiting step
in this process may likely be the wet etch necessary to undercut the chrome,
which yielded a 3(7 variation for all feature sizes of 56 nm.

Resist
Chrome
1. Pattern resist,
Dry etch chrome
Quartz

2. Chrome etch-back

3. Quartz dry etch

4. Strip resist
Fig. 9.13. Schematic illustration of a rim-type phase shift mask process.
9 Photomask Etching 383

The application of phase-shift technology becomes more complicated as


wavelength continues to decrease. Films such as CrO, and CrON exhibit poor
transmissive behavior at 248 nm. Any new material must satisfy a large num-
ber of criteria such as durability, etchability and radiation hardness. Mohri
et ai. [27] have studied the properties of fluorinated chromium films as an
alternative phase-shift material The material was etched in a mixture of
dichloromethane and oxygen with a selectivity to resist of approximately 1:1.
Etch rates were relatively low (12nmmin- 1 ), requiring etch times of 18 min,
including overetch.
Transmission characteristics change again, when 193 nm light is used. Al-
though many materials may have suitable transmissive properties, few can
satisfy all the criteria for manufacturing and still have satisfactory etch prop-
erties. Smith looked at several possible materials including ZrN, AIN, MoSiO,
TaN, and SixN y [28]. The most promising materials for attenuated phase-shift
masks which exhibit reasonable etch rates and selectivities were multilayers
of TaN and SixNy . Yet another series of films will need to be examined as
157 nm technology begins to mature.

9.3 X-Ray Lithography


9.3.1 X-Ray Lithography Basics
Conventional x-ray lithography (XRL) is usually referred to as proximity
x-ray lithography. A schematic of the XRL process is shown in Fig. 9.14.
Unlike UV or DUV photolithography, x-rays are absorbed (rather than re-
flected) in different materials. The energy absorption in a material follows an
exponential behavior given by the equation
(9.6)
where J1, is the mass absorption coefficient, p is density and z is the material
thickness. A conventional photomask consisting of a thick glass with a rela-
tively thin layer of chromium is no longer suitable. The x-ray mask blank must
consist of a low atomic number membrane mounted on a support structure
consisting of silicon and glass. Typical membrane materials include boron-
doped silicon, silicon nitride and silicon carbide. Membrane thicknesses are
in the range of 1.0--2.0 ~m The chrome or MoSi is replaced by a high atomic
number absorbing metal layer 300--600 nm thick. Because no reduction is in-
volved in the printing process, the mask features are usually defined using a
high-resolution electron beam writing system. The lack of reduction optics is
perhaps the largest drawback to this technology. On the positive side, since
low z materials are essentially transparent to x-rays, many different types of
defects will not be transferred from mask to wafer.
Although there have been demonstrations of several different types of
sources, the x-ray source most commonly used is a synchrotron, which pro-
duces x-rays in the 0.8 to 1.4 nm range. In order to print a pattern, the resist
384 D.J . Resnick

----+l d I+-
Point Source

T D

-Ring
~""""'"~-Wafer

--.J I+--
Penumbral blur,

Fig. 9.14. Schematic of the x-ray lithography printing process. The mask and wafer
are separated by a proximity gap, s. If a point source is used for exposure, a resultant
penumbral blur is created at the wafer surface.

on a silicon substrate is exposed by placing the wafer in close proximity to


the mask. For feature sizes less than 130 nm, a mask-to-wafer gap of less than
20 Ilm is necessary. Earlier sources emitted x-rays from a point source [29],
resulting in a penumbral blur, as illustrated in Fig. 9.14. The blur, b, at the
edge of a feature is defined as:

b = Gd/D , (9.7)
where G is the gap between mask and wafer, D is the distance between the
point source and mask, and d is the size of the point source. In addition, there
is also a position-dependent magnification error which increases linearly form
the center of the mask.
By using a collimated source such as a synchrotron, these effects are elim-
inated. The resolution limit for x-ray lithography is only diffraction-limited
and the minimum printable feature size is given by the equation [3]:
1 = (AG/a)1/2 (9.8)
where A is the source wavelength, G is the mask-to-wafer gap and a is an
empirical factor related to process capability [30]. It has been demonstrated
that values of a as large as 1.5 can be obtained. Therefore, for a wavelength
of 1 nm and a gap of 51lm feature sizes as small as 60 nm can be printed.
Lines smaller than 50 nm have been resolved in several laboratories [31,32].
XRL was demonstrated as early as 1972 [33]. Extensive work has been
done since then, and the technology is considered to be the most mature of
the non-optical lithographic alternatives. In 1993, IBM demonstrated that
9 Photomask Etching 385

dense 250nm CMOS circuits could be built using XRL [34]. Despite these
advances, the technology has not yet been introduced into manufacturing.
There are two primary reasons for its delay: First, the introduction of the
optical stepper and the incorporation of excimer lasers have greatly extended
the lifetime of optical lithography. With the introduction of a 193 nm ArF
excimer laser source, optical proximity correction and the use of phase-shift
technology, it is very likely that optical lithography will be the mainstay
in the industry until a critical dimension of 100 nm is reached. Secondly, it
has proven extremely challenging to routinely manufacture a defect-free 1:1
proximity -x-ray mask.
There are several issues that cause the mask to be difficult to make.
The choice of the membrane is critical. Early studies used materials such as
Kapton and polyimide [35]. These were quickly replaced with more robust
low pressure CVD films such as boron nitride [36]. It was discovered, however,
that these films were not radiation hard, and were subject to changes in both
optical transparency (critical for mask-to-wafer alignment) and stress. More
recently, the use of SiC has proven to be beneficial [37]. The higher Young's
modulus of the film minimizes distortion issues and pattern placement errors.
In addition, polycrystalline SiC membranes have been proven to be nearly
free of any radiation effects.

9.3.2 Gold Absorber-Based Masks


The choice of the absorber and its subsequent pattern transfer have also
proven to be challenging. Gold was a popular choice in the development of
XRL. Pattern transfer of a 600 nm Au absorber film was accomplished with
the use of a tantalum hard mask. The tantalum also served as an etch stop
layer in the pattern transfer process. Following electron beam patterning,
the top tantalum layer was reactive ion etched in CF 4 and oxygen. The gold
was sputtered using a combination of argon and oxygen. The oxygen reacted
with the tantalum to form Ta205, which increased the robustness of the
hard mask. Because of faceting at the feature edge, resolution was limited to
approximately 1.0 ~m
To improve resolution, sputter etching was abandoned and replaced by
gold electroplating [38]. To form a pattern, a thin plating base layer consisting
of Til Au or Crl Au is deposited on the membrane. A thick resist layer is then
electron beam patterned, and the resist stencil is gold electroplated. The resist
and plating base are then removed with a sequence of ashing and sputtering
steps. The processing sequence is illustrated in Fig. 9.15. Because of their
low toxicity, sulfite based baths quickly replaced gold-cyanide baths. Typical
plating rates were on the order of 2000 A min-I.
Two key properties of the patterned absorber are grain size and film stress.
Small grain size has two benefits: First, it minimizes line edge roughness,
thereby improving the XRL printing process. Secondly, it allows the masks
to be inspected with electron-beam-based systems without the introduction
386 D .J. Resnick

1. Mask Blank

2. Deposit plating base

3. Pattem resist

Fig. 9.15. Schematic of a se-


quence used to build a gold
electroplated x-ray mask. Af-
4. Electroplate into stencil ter depositing a plating base,
the resist is electron beam pat-
terned. The final two steps
consist of electroplating the
resist stencil and removing the
unwanted resist and plating
5. Strip stencil and plating base base.

of false defects caused by large grains. Stress plays an important role in


determining the final pattern placement of features on the mask. Because
the mask membrane is only I - 211m in thickness, any stress in the absorber
layer will cause a shift in the placement of features after the pattern transfer
process. It is generally acknowledged that absorber stress must be reduced
to less than 10 MPa to minimize distortion errors.
Both properties are controlled in the plating process through the use
of additives or brighteners in the plating bath. Although successful results
were obtained with an arsenic brightener [39], the instability and extremely
low concentrations (1- 2 ppm) of the arsenic sulfate additive made it diffi-
cult to obtain repeatable results. Dauksher et al. [40] demonstrated that a
thallium-based brightener (ThS04) is more stable and provides stress and
grain size control at larger and more controllable concentrations. Figure 9.16
illustrates the stress dependence of the plated film as brightener concentra-
tion is increased in the bath. It is believed that the initial change in stress
after adding a small amount of brightener is a result of increased nucle-
ation of grains at codeposited thallium sites which depresses grain growth.
As more thallium is incorporated into the film, the grain growth suppression
9 Photomask Etching 387

100

50

o - ---------------~-~-~-----~ •
-50

-100
~~------~------~------~----~
o 20 40 60 80
PPM Thallium
Fig. 9.16. Stress versus thallium concentration for a sample e lectroplated a t 50°C.
Note that the stress is less than 10 MPa for concentrations greater than 50 ppm .
(From [40]) .

reaches a maximum. Further incorpora tion of thallium results in a reduction


of film stress, presumably caused by the incorporation of the larger thallium
atoms into the gold film. An example of plated mask features is shown in
Fig. 9.17.

Fig. 9.17. SEM photograph of a 250 nm gold electroplated bit cell array on a
finished x-ray mask.
388 D.J. Resnick

9.3.3 Refractory Masks

Despite the success of the plating process, the technology did not gain wide
acceptance, primarily because of the concern of introducing gold into a silicon-
based manufacturing line. The soft gold absorber features also made cleaning
the masks a difficult task. Finally, it was observed that the stress in the
gold film was susceptible to change if exposed to moderate temperatures.
Feasibility was demonstrated for relaxing the induced stress by cooling the
mask, however, the process could not fully recover the low stress state, nor
could it reverse the grain growth process that accompanied the stress increase.
Concurrent with the development of the plating process was the introduction
of refractory-based absorbers, such as tungsten and tantalum. A subtractive
process typically uses a thin electron beam resist to define the mask pattern.
The pattern is subsequently transferred first into a thin hard mask, such as
chrome or silicon dioxide and finally into the absorber. In some cases a thin
chrome etch stop is also used to minimize etching into the mask membrane.
Tungsten is easily etched in fluorine-based chemistries. The reaction which
forms a WF6 product is primarily chemically driven, however, and it is not
unusual to observe severe undercut profiles in etched tungsten features. In
order to minimize this effect, it is necessary either to introduce polymer-
forming gases such as CHF 3 into the process or to backside cool the mask
during the pattern transfer process. As an example, using a thin chrome film
as a hard mask, a 200 nm undercut was observed in a 500 nm tungsten film
when reactive ion etched in SF 6 [41]. By cooling the electrode to 20° and
introducing a backside helium pressure of 5 Torr the undercut was reduced
to 40 nm By decreasing the temperature down to -20°C the undercut was
further reduced to 20 nm.
Several studies have examined the feasibility of using tantalum as the
x-ray mask absorber. The etch process, using either fluorine or chlorine
chemistries, benefits from ion bombardment and undercutting the smaller
features tends to be less of an issue. As early as 1989, 100 nm features were
reactive ion etched into a 700 nm tantalum absorber layer using CBrF 3 chem-
istry [42]. More recently, successful pattern transfer has been achieved with
fluorine [43].
The primary concern with the use of either tungsten or tantalum is the
control of stress in the as-deposited films. It is well known that stress varies
significantly as a function of pressure during the sputter deposition process,
making repeatability difficult. Several attempts have been made to minimize
this effect. It is possible for example to vibrate the membrane during the
tungsten deposition process using a concentric ring capacitor. The resonant
frequency of the membrane determines the stress of the absorber, and the
sputter pressure can be adjusted to compensate for any observed tension
in the film [44]. In a study by Yoshihara and Suzuki [45], the variation of
internal film stress was controlled by elevating the deposition temperature
during the sputter process. As seen in Fig. 9.18, as-deposited film stress
9 Photomask Etching 389

100 RF Power: 1.0kW



cu
a..
50

e
• • • •
!IJ 0
!IJ
~
Ci5 -50
Substrate Temperature: 240°C
Fig. 9.18. Ta film stress as a
-100
function of sputter deposition
pressure. Stress remains con-
0.2 0.4 0.6 0.8 1 1.2 1.4
stant between 0.3 and 0.8 Pa.
Xe Pressure (Pa) (From [45]).

remains flat for a Xe pressure change of 0.30 to 0.80 Pa, when the deposition
temperature is elevated to 240°C It is believed that the relaxation in stress at
240°C results from a change in crystal structure from a columnar ,a-tantalum
to a fibrous-structured ,a-tantalum. At a temperature of 270°C the crystal
structure changes again to an a-tantalum The drawback to this process is
that the stress may now be more sensitive to temperature variations in this
regime.
The sensitivity of film stress to temperature can have a significant effect on
pattern distortion. Although the average absorber film stress deposited on a
mask membrane can be very small, it is very easy to induce stress gradients
into the film. At the center of the mask, the low thermal mass allows the
membrane to equilibrate very quickly. Near the membrane edge, the silicon
and glass support structure acts as a heat sink, reducing the time it takes
the edge to reach thermal equilibrium. The result is a stress gradient in the
film that can lead to pattern placement errors as large as 1000 nm, rendering
the mask unusable [46].

9.3.4 Amorphous Refractory-Based Masks

9.3.4.1 Tungsten-Based Absorbers

Several options are available to further reduce the effects of stress and stress
gradients in the mask absorber layer. It is possible, for example, to deposit
the absorber on the silicon wafer prior to forming the membrane. This has
the advantage of being able to better control the deposition temperature
during the sputter process. It is also possible to deposit an "annealable"
film. By depositing a compressive refractory material, such as WN, the final
stress of the film can be controlled by uniformly heating the silicon wafer
until the absorber stress is nearly zero. Figure 9.19 depicts the temperature
dependence of a WN layer annealed in a nitrogen environment [47]. Note that
390 D.J. Resnick

200-r----------------------,.~_,
Tensile r.\
O+---------------~~~·~--~
Compressive
cu
a.. -200
6
~
en
-400

-600
..
• •
0 After anneal
-800
A After 1 day at RT
-1000 I I I
200 300 400 500 600 Fig.9.19. Annealing charac-
teristics of a WN film. (From
Annealing Temperature (0C) (47)).

at a temperature of 475°C the stress is zero. The WN film has the additional
advantage of being amorphous. As a result, surface and line edge roughness
are reduced, thereby allowing the mask to be inspected in the most sensitive
electron-beam-based inspection tools.
It turns out that there is a large class of amorphous refractory materials
that can both be annealed and dry-etched. One of the advantages of these
materials is that the absorber film stress is stable, up to the annealing tem-
perature used to minimize film stress. This is an important consideration,
because a subsequent step in mask formation involves the bonding of the
wafer to the mask ring. An anodic bonding process, typically operates in a
regime of 250 to 350°, thereby requiring that all films comprising the mask
be stable up to this temperature.
TiWN nitride has been seriously considered as a mask absorber [48]. The
material is deposited by sputtering a TiW target using argon and nitrogen.
The resultant as-deposited film stress is approximately 90 MPa compressive,
and anneals to nearly zero at a temperature of 300°C. X-ray diffraction ex-
periments confirm that the film is amorphous. One successful deposition con-
dition resulted in a film which contained 10.5% nitrogen and had an average
surface roughness of 2 nm, as measured by an atomic force microscope (AFM).
TiWN is easily etched in a mixture of SF 6 /CHF 3 /He using an ECR sys-
tem, in which microwave and rf power are controlled separately [49]. To con-
trol etch temperature a helium backside cooling loop is typically employed.
In one study using a thin chrome layer as a hard mask, 100 nm features were
defined in a 500 nm thick TiWN film. Microwave power and rf power den-
sity were 200 Wand 0.65 W cm -2, respectively. The electrode temperature
was set to -50°C in order to minimize any feature undercut. Etch rates of
46nmmin- 1 were obtained, with a selectivity to the chrome mask of 55:1.
9 Photomask Etching 391

9.3.4.2 Tantalum Based Absorbers

Tantalum-based materials have been studied by several groups. Tantalum-


based films may be preferable to their tungsten counterparts because the
materials tend to be very robust in standard wet chemistries that are used
to clean masks. Different absorber layers include Ta4B, TaGe, TaReGe, TaSi
and TaSiN [50-53). Ta-B alloys with a Ta:B ratio of 4:1 have been known
to become amorphous as a result of liquid quenching. Ta4B films deposited
with an rf magnetron sputtering system were determined to be amorphous via
x-ray diffraction studies. Although the authors originally set out to control
stress during deposition, it was quickly discovered that compressively stressed
films relaxed when annealed at a temperature of 350°C. X-ray diffraction
studies have also shown TaGeO.l to be amorphous. Successful patterning of
the TaGe was accomplished using an ECR system with SF 6 as the etchant
gas.
TaSi and TaSiN have been studied extensively. TaSiN has been dc mag-
netron sputter deposited in argon and nitrogen [53). One successful deposition
condition resulted in a film composition of Ta61 Sh 7 N 21 By weight, this trans-
lates to a film consisting of 93.5% tantalum which is more than sufficient for
use as an x-ray absorber. There was also approximately 1 at% of argon in
the film. AFM studies indicate a peak-to-valley surface roughness of 5 nm.
Diffraction-pattern studies indicate that the film is amorphous with some
short range order present. The as-deposited stress of the TaSiN is on the or-
der of 400 MPa, and starts to relax at temperatures above 400°C. Near 450°C
the film stress is nearly zero, exhibiting a rate of stress change of 2.5 MPa;oC.
In order to carefully control the final stress value, a two-step annealing process
is commonly employed. A fifty-wafer study (Shown in Fig. 9.20) depicts the
results of the two-step annealing process. The average stress was 5 MPa ±

300

200

.. . . .. . ... -. ... -. . ... . ..


as
a..
100
~
w
w
~
0 -..- . -

Ci5 -100
Fig. 9.20. Two-step anneal
-200 process used to minimize the
stress of an amorphous TaSiN
-300 film. The average stress of the
0 10 20 30 40 50
50-wafer lot was 5 MPa. (From
Sample (#) [53]).
392 D.J. Resnick

16 MPa. It should be noted that the average could be further reduced by


simply annealing the compressive samples a third time.
To determine if the wafer deposition/anneal/membrane formation se-
quence is successful in minimizing pattern placement errors, a mask was
constructed consisting only of an array of fiducial marks on a 3mm grid. The
position of the marks was determined before and after TaSiN etch, using a
Nikon XY 31 image placement tool. The resultant distortion map is shown
in Fig. 9.21. Maximum deviations in x and y were 32 and 22 nm, respec-
tively, which is comparable to the measurement capability of the tool. This
data confirms that the film stress is extremely low and that minimal stress
gradients are present.

Column
0 1 2 3 4 5 6 7 8 9 10
0

2
3
4

~ 5
6

7
8

9
10

[ .020 mlcronlmm ]
Fig. 9.21. Pattern placement errors on a TaSiN based x-ray mask. Maximum dis-
tortions in x and y were 32 and 22 nm, respectively, indicating that both the absolute
film stress and the stress gradients in the TaSiN were small. (From [53]).

Dry etching of the TaSiN is best accomplished using chlorine [54-57]. A


process was developed in an ECR etcher equipped with helium backside cool-
ing. Tests conducted with a combination of SF 6 and nitrogen typically left a
residue on the surface which could not be completely removed. Using chlorine
as the etchant gas, the microwave power was set to 900 Wand the rf power
was varied. An oxide hard mask was used to aid the pattern transfer of the
absorber layer. Figure 9.22a depicts the etch rate and selectivity to oxide as
a function of rf power. It is not sufficient to select conditions solely to opti-
mize selectivity. Other factors, such as wall profile must also be considered.
9 Photomask Etching 393

(a)
15
en
-.~
2000 111
CD
c: 2-
:cr
-...
CD
(II
1500
Etch Rate
Selectivity
10~

;t
en
a:
.. -
1000
Z
...
J:
U 5 en
o
W
500
0 10 20 30 40 50
-
N

rf Power (watts)

-...
(b)

0
CD 110
CD

-
C) 100
CD
C 90
.! 80
C)
t: 70
« 60
as
~ 50

o 10 20 30 40 50
rf Power (watts)
Fig. 9.22. (a) dependence of both etch rate and selectivity on rf power, and (b)
dependence of wall angle on rf power. (Reprinted with permission of [54]).

In Fig. 9.22b, the variation of absorber wall profile is graphed as a function of


rf power with electrode temperature of 50°C. Backside helium pressure was
6 rnTorr for all tests. The graph indicates that to achieve a 90° profile, a min-
imum temperature of 50° and an rf power of 20 W must be used. Under these
conditions, etch rate and selectivity are 180 nm min -1 and 6: 1, respectively.
Etch uniformity across the mask substrate was optimized using a full wafer
interferometer. The interferometer system consists of a computer-controlled
charge coupled device (CCD)-based sensor, filter, optics and software. The
sensor head is mounted to a viewing port on the etch tool that has a clear
view of the entire substrate. Each pixel of the CCD acts as an independent
detector, imaging a distinct region on the mask and measuring the time vari-
ation of light reflected from that region (see Fig. 9.23). Endpoint is detected
via an algorithm which looks for a change of reflected light intensity from the
mask. Using this tool, the electromagnets which provide collimation of the
394 D.J. Resnick

Plasma CCD Camera

. - - + - Substrate Clamp

Electrode
Backside He
Cooling Loop
Fig. 9.23. Schematic depiction of a CCD interferometer. The system uses the
plasma as a light source and can monitor an etch anywhere within the view of
the CCD array. As a result , it is a simple task to study etch rate uniformity.

plasma can be varied and the etch uniformity can be observed. Figure 9.24
depicts the variation in uniformity as a function of lower magnet current. A
3a uniformity of less than 3% can be achieved with a magnet current of 15 A.
An example of 100 nm features obtained with an optimized process is shown
in Fig. 9.25.

11 .0
10.0
9.0
C?
E 8.0
Cl
'iii 7.0
e 6.0
.~
E 5.0
....
.E 4.0
'2
~ 3.0 Fig. 9.24. TaSiN etch rate
2.0 uniformity as a function of
1.0 magnet current. Microwave
power in the ECR system
0.0
0 5 10 15 20 25 30 35 40 45 50 was held constant at 900 W.
(Reprinted with permission of
Magnet Current (A) [55]).
9 Photomask Etching 395

(a)

(b)
Fig. 9.25. (a) lOOnm line and space patterns, and (b) vias defined using an ECR
etch system with Cl z as the etchant gas.

9.3.5 Thermal Characteristics of a Mask Etch Process


Just as stress uniformity during absorber deposition can be impacted, ther-
mal characteristics playa large role in the etch process of an x-ray mask.
While there are benefits to depositing the absorber on a wafer prior to mask
formation, it is generally considered too difficult to control pattern place-
ment by pattern transferring on a wafer and forming the mask membrane
as the final step. The problem is that severe distortion occurs after the bulk
396 D.J. Resnick

silicon is removed, allowing the mask membrane to relax. As a result, most


pattern transfer schemes require the mask maker to etch the absorber after
the membrane is formed.
Modern high-density plasma etchers, such as ECR and ICP systems, in-
crease the heat generated during the etch process, requiring the routine use of
backside cooling loops. The need for temperature control becomes apparent
in a study by Laudon et al. [58]. An x-ray mask was designed with thermistors
built on to the membrane using a thin layer of molybdenum. An ECR plasma
was struck for 60 s, after which the decay of temperature was monitored at
three different locations on the membrane.
Analytical solutions were derived for the thermal transient conditions
imposed on the mask membrane. For the case in which no backside helium
flow is applied, the resulting equation for the energy balance when the plasma
is turned off is given by the equation
dTm 4 4
mCvdi = emAmo-(Tm - T b ), (9.9)

where m is the mass of the membrane, C v is the specific heat, em is the


emissivity, Am is the membrane area, a is Stefan-Boltzmann's constant, and
T m and Tb are the membrane and background temperature, respectively.
Integrating this equation gives the following solution for time as a function
of temperature:

t- mCv {ln I Tb + T mil


- n I Tb + T mil
'
- 4emAmaT~ Tb - Tm Tb - Tm,i

+ 2[tan- 1(Tm/n) - tan- 1(Tm,i/ Tb)]}, (9.10)

where T m,i
is the initial membrane temperature. For the case in which back-
side helium flow is applied, a constant heat transfer coefficient to the back of
the membrane is assumed and the energy balance equation takes the form

dTm = hAm(Tm - Tf ) ,
mCvdi (9.11)

where h is the heat transfer coefficient and T f is the fluid temperature. Inte-
grating this equation gives an exponential temperature decay with the form

Tm = Tf + (Tm,i - Tr) exp{ -hAmt/mCv). (9.12)

In this model, it is assumed that the radial conduction to the glass ring
was negligible during the cooling process and that the mask cooled more
rapidly than the glass ring. After obtaining the data during cool down, a fit
determines both the effective membrane emissivity and the initial heat flux.
Once these parameters are determined, a finite element model was devel-
oped to predict temperature behavior when the plasma is first struck. Finite
element analysis is a mathematical technique designed to numerically solve
9 Photomask Etching 397

problems which are too complicated to solve by classical methodologies [59].


In general, a complex structure is divided into smaller elements which are
easier to analyze. A piecewise continuous solution can then be computer-
generated from a series of simultaneous equations. The results of a study
in which no backside helium impinges the membrane is shown in Fig. 9.26.
The center of the membrane was initially at room temperature. After three
seconds the membrane center reaches a severely elevated equilibrium temper-
ature of 240°C. Note the disparity in temperature behavior, however, between
center and edge. Because the silicon and glass act as a heat sink, the edge of
the membrane reaches a final temperature of only no°c.

---- Analytical Solution

:: . . . : . :~~:. . :-:.-. -.1-··-·-~::·:·:~:',i·~Jj - - Finite Element Solution


• Measured Mask T~

Membrane
Temperature lI/I:embaneiEdae
ee) 100
!
so
---"T"'-"--
l ' . _.. __ ._._ ••. _.• ~ •• _.

i '-

o ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~

o 2 3 4 5 60 61 62 63 64 65
Time (s)

Fig. 9.26. Transient finite element temperature results at the center and edge of
the membrane due to a plasma being struck (time = 0 s) and being turned off (time
= 60 s). No helium backside cooling. Analytical, finite element, and experimental
results are shown for the temperature decay after the plasma has been turned off.
(From [58]).

Once helium is introduced the temperature increase and variation is sig-


nificantly improved, however, the thermal characteristics are still not uniform
across the entire membrane. Figure 9.27 depicts a finite element model of a
22 x 22 mm mask membrane at a starting temperature of -5°C [57]. The
model includes cooling from radiation, conduction (through the membrane
to the substrate) and convection (from the membrane and ring to the cooling
helium). Temperature is mapped as a function of both position and time. The
backside helium pressure was 6 Torr. Although the membrane reaches a final
processing temperature of 12°C the transient response is still significantly
different between center and edge. The membrane center equilibrates in less
than a second. Approximately 2 mm from the membrane edge, the rise to
final temperature becomes gradual and behaves similar to the wafer at the
membrane edge. Note that it takes almost 100 s before the membrane edge
and center temperature are comparable.
This response is concerning because the TaSiN etch rate exhibits a strong
temperature dependence for temperatures less than 20°C. In a recent study
398 D.J. Resnick

50
40
0'
e..... 30
2!
::l
'§ 20
Ql
0. 10
E
~ 0

Time [sec]
Location [mm] 50 0
Fig. 9.27. Thermal response of an x-ray mask during etch. Relative to the mem-
brane center, the edge takes significantly more time to reach thermal equilibrium.
(From [56]) .

.- --
2400

2200 . V--t ..
r-•
~
• ~. ~ •
/ '7
l7 y
1400
If
1200
-40 ·20 o 20 40 60 80
Temperature (0C)
Fig. 9.28. TaSiN , Ta and Si etch rate plotted as a function of temperature. For
both TaSiN and Ta, the etch rate changes rapidly between -10 and 10°C, after
which the rate increases gradually. The Si etch rate is unaffected by temperature.
(From [57]) .

by Pendharkar et al. [57], etch rate dependence On temperature was mapped


for TaSiN, Ta and Si. Figure 9.28 displays the etch rate dependence of TaSiN
over a temperature range from -30 to 70°C. Between - 5 and 10° the etch
rate increases nearly 40%, from 1600 Amin - 1 to over 2200 Amin - l. Above
9 Photomask Etching 399

Time (sec)

100 107 114 120 127 134 140 147 154

Fig. 9.29. Etch point mapped across a DARPA-NIST x-ray mask. The starting
temperature was - 5°C. The difference in thermal response between the membrane
and support structure results in a large variation in etch time. (From [56]).

this temperature , the response is relatively flat . The increase in etch rate
near - 5°C seems to be related to an activation energy associated with the
tantalum. The silicon etch rate remained virtually unchanged between 10 and
50°C. The TaSiN and Ta showed very similar temperature dependences; also
shown in Fig. 9.28.
It is not unusual in the industry to work at lower electrode temperatures
to improve etch anisotropy. Previous studies on both Wand TiW required
lower backside temperatures to achieve the desired absorber profile [41 ,49].
While it is critical to address both profile and selectivity, the etch cannot
induce any resultant etch nonuniformity. In the case of TaSiN, a starting
temperature of -5°C will result in faster etching at the membrane center.
This is clearly seen in Fig. 9.29. A CCD camera similar to the one depicted
in Fig. 9.23 was used to monitor endpoint for a TaSiN etch on an x-ray mask
starting at -5°C The center area cleared in only 120 s Near the edge, the
clear time increased to almost 150 s.
The effect of both temperature variation and etch rate nonuniformity
can be significant. A study of CD variation as a function of position on the
membrane indicates that over the last two millimeters of the mask edge, a
variation as large as 35 nm can be obtained [56] . This change is probably a
result of redeposition effects caused by the lower etch temperature. Pattern
placement is affected because of the finite selectivity between the absorber
and underlying mask membrane or etch stop. Once the absorber clears in the
center of mask, the underlying layer is exposed to the chlorine plasma and
400 D.J. Resnick

is slowly etched away, creating a gradient in thickness from center to edge.


The gradient introduces a pattern distortion which is linearly proportional
to the film thickness change, resulting in a magnification-like error in the
placement of the absorber features. As an example, consider a 2.0 ~m silicon
nitride based Xray mask supporting a 500 nm thick TaSiN absorber. The
selectivity between the TaSiN and nitride mask is approximately 3:1. If the
absorber is etched at -5°C the central portion of the membrane will clear 30 s
sooner than the membrane edge. When the absorber at the mask edge finally
clears, the nitride in the center is thinner by nominally 30 nm The resulting
distortion can be estimated using a finite element model. Figure 9.30 is a
model of the distortion induced in a single quadrant of an x-ray mask as a
result of the etch described above. The maximum induced distortion error is
20 nm and occurs on the diagonal of the mask, a few millimeters from the
edge. Although this error may seem small, it is important to note that for a
critical dimension of 100 nm, the total mask error budget is limited to only
14nm.

9.3.6 Hard Mask Materials


The properties of the hard mask must also be carefully considered. Although
generally much thinner (30-200nm) than the absorber layer, it is important

ANSYS 5.3
MAR 31 1998

, 14,05,32

" "
\ PLOT NO.
VECTOR

\ \ \ ,..\
STEP=l
t.,\

,""'"I""
'\
~\ ~\ ~" Jt
SUB =1
'- '\ TIME=1

"- '\ ~\. ~\ ~\ ~ ~ U


"- TOP
NODE-30

"'- '~ \ \ ~\ ~\ /';:\ A;: ~ ~ ~ MIN=O


MAX=.198E-06

,,"- '\ \ ""\ \1;-\ ",\ ~ ~ 4 o


.998E-07

"" """"" ''\ '\ \


~ '\ \ \ ",\ ,..
.200E-06
"- "'- ~ ~ .299E-06
.399E-06

... ,~
~ ~~ \ \ .499E-06
.599E-06

"" 1"-"" "- \ \


.699E-06
~
.~

---'"
~ ~~ ~
.798E-06
,

-~ ~.:« ~ ~~ ~~ ~
-"" --- I,
'\ \
'\ ,
""" I ,
"E~ -~ '-..

.. L..:::. f-.-.
" "'-
""
.'S . .;: ~t--. ~

'""'- ~ ~ . ~ 1'""-- ..... "'-


L. G. ." I, ~ ~ ~

I Y.

Fig. 9.30. Distortion plot of a quadrant of an x-ray mask resulting from a nonuni-
form etch rate. A maximum distortion vector of 20 nm is obtained on the diagonal
of the mask. (From [57]).
9 Photomask Etching 401

that stress be minimized in this film as well. Although chrome and Si0 2
are robust hard mask materials, providing excellent selectivity during the
etching of the absorber, it is difficult to obtain low stress films. Most Si0 2
films deposited in a plasma-enhanced CVD system are compressive. In the
case of chrome, large tensile stresses are observed and it has also been noted
that elevated temperatures can cause the film stress to further increase.
Chromium oxide has been studied as an alternative hard mask material.
Direct current sputtering equipment was used and the oxygen was varied in
order to adjust oxide content. Stress was observed to change drastically from
tensile to compressive once the oxygen-to-argon ratio in the sputter chamber
exceeded 5% [60]. Sputter pressure and power, however, had little effect on
film stress. Typical stress values of these films were less than 40 MPa Final
film composition was similar to that of Cr 2 03, as measured by Auger elec-
tron spectroscopy. AFM measurements indicated that the film was relatively
smooth, with a peak-to-peak roughness of 2 nm.
Etch experiments were carried out in an ECR system. Because of the high
content of oxygen in the film, it was determined that the film could be etched
in either straight Cb or a mixture of C1 2 and O 2 . The addition of oxygen was
necessary, however) in order to obtain good anisotropy. Because the material
readily etches in Cb, the use as a hard mask for many Ta-based absorbers
is not feasible. Annealing experiments discovered that the material was not
completely stable, showing a change in film stress from 20 MPa compressive
to 20 MPa tensile at a temperature of 250°C.
While oxide films tend to deposit compressively, nitride films typically
possess a high tensile stress. One logical approach is to develop an oxynitride
PECVD process in order to minimize film stress. This idea has successfully
been demonstrated by Dauksher et- al. reacting SiH4 , NH 3, and N 2 0 in
a nitrogen glow discharge at 250°C. SiH4 flow was found to have a large
effect on final film stress, as shown in Fig. 9.31. Under optimum processing
conditions, the average film stress was less than 10 MPa. Stress gradients
were also small, with a range of stress of ±15 MPa in the membrane area.
Spectroscopic ellipsometry and the use of an effective medium approximation
determined that the SiON film was comprised of 19% nitride and 81 % oxide,
by volume.
Initial attempts to develop a pure CHF 3 etch similar to that used for an
Si0 2 film were hampered by the feature growth caused by excessive poly-
merization on the feature sidewalls. Increases in line size of 90-100 nm were
typical. To minimize polymer deposition, both Ar and O 2 were incorporated
into the etch. The presumption was that the argon would add an additional
physical component, while the oxygen would reduce polymer-forming CF 4
fragments. A successful etch process was demonstrated on a 64 Mbit SRAM
test vehicle. The etch was extremely anisotropic, with no evidence of sidewall
polymerization. The etch selectivity of TaSiN to SiON in the subsequent Cb-
based absorber etch was found to be 4.5:1. This was less than the 6:1 ratio
402 D.J. Resnick

750 ...-----.,.----..,------,-----,

500

<is
D.. 250
6
U)

~ 0
Ci5
-250

-500 L...-_ _--'-_ _ _-'--_ _---'_ _ _--l

o 100 200 300 400


SiH4 flow (seem)
Fig. 9.31. Stress dependence of an SiON film as a function of SiH4 flow. The films
were PECVD deposited using a mixture of SiH4, NH3, and N20 in a N2 glow
discharge. (Reprinted with premission of [61]).

observed for pure Si0 2 masks, but still sufficient from an etch standpoint.
Image placement tests confirmed that the induced distortion was on the order
of the tool's sensitivity (",16nm), indicating that both absolute stress and
stress gradients were minimized in these films.

9.4 SCALPEL
9.4.1 SCALPEL Basics
Scattering with angular limitation projection electron-beam lithography, or
SCALPEL, is a lithographic approach which is designed to combine the
attributes of resolution obtained via electron beam writing with the high
throughput obtained by a parallel projection system. The mask concept was
originally conceived in 1989 at Bell Laboratories and the technology is tar-
geted for production for integrated circuits with dimensions as small as 50 nm.
Early work in projection electron lithography used stencil masks [62]. In
this scheme a mask is patterned by cutting holes through the membrane.
There are two potentially significant limitations with this design: The first
is that closed geometries (such as donuts) cannot be defined. The second is
that the opaque part of the mask must now absorb the electron beam. This
can result in significant heating, leading to magnification errors and pattern
distortion.
In order to overcome these issues, the new mask design uses a very thin
membrane on which a thin metal scattering layer is patterned. A schematic of
the SCALPEL mask and exposure technique, for a single membrane, is shown
in Fig. 9.32 [63]. Because the membrane is so thin (100-150nm), electrons
9 Photomask Etching 403

pass through without significant scattering. A 4:1 reduction lens projects the
electrons through an aperture, to a final lens and finally to the resist-coated
wafer. In the areas containing patterned metal features, electron scattering
reduces the number of electrons passing through the aperture, providing the
contrast necessary to satisfactorily expose the resist.

INCIDENT

SCATTERING
MASK

LENS --~~~""""~---

SCALPEL

LENS --. . . . . . . . . .~. . . .---

IMAGE ON WAFER
Fig. 9.32. Basic SCALPEL principle of operation showing contrast generation by
differentiating more- or less-scattered electrons.

Although membranes are currently made from silicon nitride, other ma-
terials, such as silicon carbide are also good candidates for the SCALPEL
t echnology. Because the membranes need to be so thin , however, it is not
possible to build a mask consisting of a single, rectangular membrane. As a
result, an array of membranes, approximately 1 mm x 12 mm in size must
b e defined To build a mask blank with this characteristic, a silicon wafer is
deposited with nitride on both the front and back sides. Although masks are
currently made using 100 mm silicon, the move to 200 or 300 mm wafers will
be necessary to accommodate the larger die sizes necessary in manufactur-
ing. The backside is then patterned with resist to define the membrane array.
After etching away the nitride on the backside the membranes are formed
by wet etching the silicon in a solution such as hot KOH. It is also possible
to remove the silicon and form the membranes using a "Bosch" etch process
which is capable of anisotropically removing silicon at a rate greater than
3 !lm min - 1 [64] . The silicon struts that remain in between the membranes
serve as a support structure.
The strategy for writing a die is depicted in Fig. 9.33 The strategy is
similar to the idea used by step and scan optical systems. In this case a
404 D .J. Resnick

1 X 1 mm 100 ke V uniform and stationary electron beam is used, rather than


a slit of light. The electron beam impinges on the mask, which is moving at
a constant velocity. At the same time the wafer is scanned at 1/4 the speed
of the mask. The movement is synchronized between mask and wafer via the
use of a beam deflector which is used to correct stage position. By properly
scanning and stitching the array of fields, a single die is exposed and the
wafer stage is then moved in preparation for the exposure of the next field.

WRITI G STRATEGY

ElectrOnic
scan direction

Effective
field

Fig. 9.33. Schematic SCALPEL writing strategy : the electron optical field is
scanned electronically to create the effective field ; the mask and wafer are then
scanned m echanica lly through the effective field to cover a die .

The ability to print smaller features with SCALPEL is a strong func-


tion of space charge blurring. The basic spatial resolution of the system is
approximately 35 nm resulting primarily from energy losses occurring when
the electrons pass through the membrane. In addition, resolution can fur-
ther be impacted by the amount of current used to expose the wafer. Ideally,
this current should be as large as possible to maximize wafer throughput.
However, larger currents result in increased space charge (electron- electron)
interactions which degrades resolution [65]. As an example, a current of 351lA
(which would result in a throughput of approximately 45 wafers h- 1 ) results
in a blurring of approximately 80 nm, limiting resolution to only 90 nm. To
improve resolution and maintain reasonable throughput , extremely sensitive
electron-beam resists «8IlC cm- 2 at 100 keY) must be developed.

9.4.2 SCALPEL Mask Blank Processing


The SCALPEL technology is still relatively new and therefore no standard
method for manufacturing the mask blank has been established. Because the
definition of a membrane material is critical to the SCALPEL technology, the
mask is subject to the same types of pattern distortion encountered in the
9 Photomask Etching 405

preparation of x-ray masks. As a result, the same type of precautions need to


be taken during manufacture of the mask blank. After the deposition of the
nitride onto a silicon wafer, the metal scattering material must be deposited.
In practice, the metal must be approximately three times the length of the
electron mean free path to provide contrast greater than 95% [66]. Early work
has used a thin layer of chrome and tungsten (",50 nm) [66]. Thicknesses
and deposition conditions were chosen to minimize the stress of the film. By
working on a wafer, rather than on a membrane at this point, it is also possible
to use the same annealable materials that have been used as x-ray absorbers.
Ta, TaSi, TaSiN, Ta4B and TaGe are all possible candidates. After annealing
the scatterer, the membranes are formed from the backside using the process
described earlier. Currently no support ring is used to add stability to the
mask, but may be considered in future mask designs. A schematic of one
possible mask blank process is shown in Fig. 9.34.

9.4.3 SCALPEL Mask Pattern Transfer


Once the blank has been defined, it is ready for patterning. Electron beam
patterning is the preferred method for defining the features on the mask.
Because the mask consists of an array of membranes, it is necessary to ensure
that the data preparation software addresses the issue of what needs to be
written in each cell. Relative to an x-ray mask, many of the concerns during
writing are alleviated. Because the SCALPEL tool is a 4x system, resolution
requirements are relaxed. The thicknesses of both the membrane and scatterer
are reduced by a factor of 10 (as compared to x-ray technology), thereby
minimizing backscattering effects during writing. Even though the SCALPEL
exposure tool operates at 100 kV, backscatter of electrons off the wafer is still
expected to be an issue and some proximity correction method will still be
necessary.
Pattern transfer into the scatterer should also have fewer issues than en-
countered in an x-ray mask. The need for a hard mask is no longer necessary.
Selectivity between resist and scatterer need only be on the order of 1:1 to
minimize CD losses and maintain pattern fidelity. While it is has been demon-
strated that plasma etching can be used to pattern transfer the resist image,
it is also possible that wet etching can be employed [67]. Line edge roughness
is more easily addressed with a plasma pattern transfer process, however,
and for this reason plasma etching will likely be the preferred method for
pattern transfer. High density plasma etchers may be the primary choice,
not because of the need for high etch rates, but for the ability to optimize
selectivity between the scatterer and membrane.
Because the mask technology requires the use of a membrane, distortion
issues which impact pattern placement must be addressed. The etch step is
a cause for concern because the membrane is so thin. Both the membrane
and scatterer are scaled down in thickness by about a factor of 10 relative to
x-ray mask technology, therefore the distortion errors can be expected to be
406 D.J. Resnick
(a) Nitride Deposition Windows opened
in backside nitride

back

CrNollCr deposited Top Cr removed


on front side KOH etch forms membranes with wet etch
front back front

Mask coated with resist·


Wafer bonded to support ring Rnished blank

Mask patterning, inspection,


repair and metrology'
Finished mask

(b) Grillage Struts

Pattern on membrane

Fig. 9.34. (a) SCALPEL mask blank process using Cr/W as the scatterer, and (b)
artist's rendition of a finished SCALPEL mask.

about the same for SCALPEL masks. Recent finite element modeling work
by Engelstad et al. [68] predicts a 33 nm distortion for the case in which most
all of the scatterer is removed from an eight inch mask. Although the vector
sum results in displacement errors less than 20 nm it is clear that precautions
need to be taken and both stresses and stress gradients need to b e minimized.
9 Photomask Etching 407

9.5 EUVL

9.5.1 EUVL Basics

Extreme ultraviolet lithography, or EUVL, has been under development for


more than 10 years. Although the name suggests that the technology is sim-
ply an extension of the current optical techniques used in production today,
the actual application will require many changes to be made. It is interesting
to note that the technology was first known as "soft x-ray projection lithog-
raphy". In order to make it more palatable for manufacturing, the name was
changed in the early 1990s. While wavelengths between 2 and 50 nm have
been proposed, the current prototype systems have settled on 13.4 nm. The
technology is attractive because of the short wavelength. Using (9.1) with a
value of kl = 0.6 and a numerical aperture of only 0.10, the resolution for
13 nm radiation is 80 nm. Eventual improvements to optics may make EUVL
a possible choice for critical dimensions less than 50 nm.
The drawbacks are also related back to the particular choice in wave-
length. A wavelength of 13 nm is a long x-ray and as such is easily absorbed
in most materials. As a result, the optical printing system has three primary
differences:
The first difference is that refractive optics are no longer feasible. Instead,
reflective optics are used. Since the radiation is so easily absorbed, a distrib-
uted Bragg reflector system consisting of multiple-layer coatings must be
deposited. The coating:s are comprised of a significant number of alternating
layers of materials with different optical constants. Resonant reflectivity is
obtained when the period of the layers is half of the wavelength. Two mate-
rial systems, Mo/Si and Mo/Be are currently being investigated [70]. While
the theoretical reflectivity of these two systems are 75 and 79%, respectively,
actual reflectivities of nearly 70% have been demon:strated and are consid-
ered sufficient. For a Mo/Si reflector, 40 alternating layers are required, with
thicknesses of 2.8 nm for the molybdenum and 4 nm for the silicon. A simple
schematic of a EUV reduction :system is shown in Fig. 9.35.
The second difference is that wafers can no longer be exposed in a conven-
tional atmosphere. To eliminate absorption of the radiation, exposure must
take place in a vacuum system.
The third difference is the radical change in the light source. As in prox-
imity x-ray lithography, a synchrotron can be used. Early demonstrations of
the technology took advantages of these sources [69]. Although synchrotrons
have been proven to be reliable and can provide an environment that supports
a multiple number of steppers, the large footprint is considered unattractive
for manufacturing. Additionally, the argument can be made that when the
synchrotron is down, all steppers are down as well. As a result, a granular
solution is considered more attractive and a laser-generated plasma shows
significant promise. High repetition rate (>3000 Hz) pulsed Xe plasma lasers
408 D.J. Resnick

Ring field illumination


Scanning reticle and wafer
stages
Reflective
Reticle
Laser Produced
All optics surfaces coated with
multilayer reflectors (40 - 80)
layer pairs, each layer approx
1J4 thick, Control - 0.1 0 A)

Laser
Nd: YAG
l.064j.lm

Reflective Optical Surfaces


are Aspherical with Surface
Figures & Roughness < 3 A

Fig. 9.35. Schematic illustration of an EUV lithography system. (From [70]).

with an average power of 1500 Ware under development and may be com-
mercially viable.

9.5.2 EUVL Masks


The soft x-ray characteristics again require substantial changes to the pho-
tomask. Unlike proximity x-ray and SCALPEL, a membrane-based technol-
ogy is not feasible. The membrane thickness would need to be prohibitively
small to allow enough transmitted radiation through the mask onto the wafer.
Although first demonstrations used a 700 nm silicon membrane, the wafer
throughput with this type of mask would eliminate the technology from be-
ing used in manufacturing. Instead, similar to the EUV optics, a reflecting
mask must be made. The primary advantage of a reflective mask is that a solid
substrate, like silicon or ultra-low-expansion glass can be used. This helps to
eliminate many of the distortion errors that can occur in membrane-based
masks.
A schematic of a typical mask is depicted in Fig. 9.36. The reflective
surface on the mask is formed using the same technology used to build the
reflective optics. The most common reflector is a combination of molybde-
num and silicon, as described earlier. Forty alternating layers are deposited,
followed by a final thin silicon layer which is used to prevent any oxidation
of the final molybdenum layer. To obtain high reflectance, the layer thick-
9 Photomask Etching 409

~!!m!~!!m!~!!'m~!!'m~!!'m~!!'m~~~~~- TaSi
f'" SiO
I. EUV mask blank Cr
Silicon
Si· Mo slack

Silicon or ULE glass

2. Panern resi I

3. Plasma elch
TaSi ISiO ICr

4. Strip Resi I

Fig. 9.36. Schematic illustration of an EUV mask process.

ness variation must be controlled to within 0.01 nm and the interface between
layers must be clean.
Mask defectivity is key to the success of this mask technology. If a defect
occurs during the deposition process, there is no means for going back and
repairing the multilayer. Significant efforts have therefore been focused on
eliminating defects during the deposition process [70]. While it is possible
to deposit the multilayer with conventional systems such as dual source rf
or dc magnetron sputtering systems, motion within the chamber as well as
electrostatic fields cause particulates to become trapped in the coating during
deposition. As a result, an ion beam sputter-deposition process is now used
to deposit the multilayer. Extensive studies on 150 mm silicon samples have
yielded multilayers with defect densities as low as 0.02 cm -2 with reflectance
uniformity across the wafer of better than 0.5%. Reflectance data obtained
with the ion beam deposition system is shown in Fig. 9.37. Recent work has
also started on 200 mm wafers. By the year 2004, it will be necessary to
routinely achieve defectivities of less than 0.001 cm -2 across 300 mm wafers.

9.5.3 EUV Mask Pattern Transfer

The pattern transfer process, similar to the previously discussed technologies,


starts with the definition of a pattern in resist using an electron beam writer.
410 D.J. Resnick

Multilayer Refectivity
b!l!!! ~ Ib!2I:x
MoISi: 68.5 61 -75
MoIBe: 70.1 70 -79
1.00 MalIS_ MarlSI
70.1'" at 11.34 nm "''''at13AOnm
0.80 (fWHMoO.27 Nnl IfWHMooO.I2 nml

§ 0.80

I 0.40

0.20

0.00
11 12 13 14
Fig. 9.37. Reflectance for Mol Be and
Wavelength INn) Mo/Si multilayers. (From [70]).

Because the 13.4 nm radiation is so easily absorbed, a large number of metal


films can be considered as viable candidates for the absorber layer. Among
the list being discussed are AI, Cr, Ti, W, Ta, and TaSi. Attenuation in these
films is large enough, such that film thicknesses of less than 200 nm should be
sufficient. As a result, a hard mask will probably not be necessary to complete
the pattern transfer process.
Several research groups are starting to examine the issues of plasma etch-
ing of the absorber layer. Because the multilayer is available on 150 mm sub-
strates (and some 200 mm substrates) the bulk of the work done to date
has also focused on these wafer sizes. Eventually, however, a 300 mm process
will need to be developed. As a result, a high-density etcher such as an rcp
system will be the most likely choice to do the work.
There are two key aspects to the etch process for EUVL masks. The
first is that the etch process must avoid processing temperatures of greater
than 150°C. It has been demonstrated in Mo/Si multilayers that intermixing
between the Mo and Si can occur at these temperatures, causing a shift in
the peak reflectance [71]. This, in turn, lowers wafer throughput. The second
consideration is etch damage to the Mo/Si multilayer. The final 4 nm silicon
layer must additionally serve as an etch stop during pattern transfer. This is
a concern since silicon is readily etched in both fluorine- and chlorine-based
plasmas.
One method for avoiding significant damage to the silicon layer is to use a
thin etch stop under the absorber material. Chrome is a good choice because,
as discussed previously, it must be dry-etched using both chlorine and oxygen.
The oxygen concentration is sufficient to oxidize the silicon surface, thereby
providing good selectivity between chrome and silicon. Alternatively, if the
chrome is sufficiently thin, it may be possible to simply wet-etch the chrome.
Using the method just described, Mangat et at. have produced 150 mm EUV
masks using a TaSi absorber with a thin SiON/chrome etch stop [72]. After
pattern transfer in an ECR system, the observed shift in wavelength and
9 Photomask Etching 411

1 .0 rrr"""'''''''''""""""",,'''''''''.,.,..,..,.,rrr..,
_ Pre Mask Patteming
......... Final Mask
0.80

·f 0.60 1-... .... ;- ..........;-.....""

~
li
a: 0.40 1-........ ;-......,.

0.20

'.
0.0 .............................................................................
128 130 132 134 136 138 140
Wavelength (A)
Fig. 9.38. Pre- and post-mask reflectivity after pattern transfer of an EUV mask.
Intensity and wavelength shift changes are minimal after etch. (From [72]).

decrease in reflectivity were limited to only 0.1 nm and 2% , respectively. The


results of this experiment are depicted in Fig. 9.38.

9.6 Ion Projection Lithography

9.6.1 Ion Projection Lithography Basics


Just as electrons can be used to expose and pattern resists, so too can helium
and hydrogen ions . One advantage of using ions is the reduction in scatter-
ing encountered when impacting a solid. Electrons tend to scatter their en-
ergy across a large volume , creating proximity effect issues during exposure.
Monte Carlo calculations of protons incident on a PMMA surface confirm
that straggle is far less when compared to electrons [73]. A second advan-
tage is the maturity of the ion source. Ion implants have been used routinely
in production and the adaptation of these systems for lithographic purposes
is very promising. Estimates for wafer throughput have been as high as 60
wafers per hour (on 300 mm wafers), a number considered very attractive for
manufacturing [74]. Although both Ix and 4x systems have been proposed,
the reduction systems are considered more promising because it is easier to
fabricate a 4 x mask. A schematic of an ion projection lithography (IPL) tool
is shown in Fig. 9.39 [75].

9.6.2 IPL Masks


Once again, like the previous NGL candidates, a major complication to the
success of IPL technology is the mask itself. The mask requires areas which
412 D.J. Resnick
ASML
Multi· Eloctrodo Otf-axla Optical Wafer
Electrostatic Ion Optics Alignment Syatem
IIr Interferomoter

Thermal
Radiation
(Light)
Source

Hydrogen or
Helium gas

< 10. 6 NumorlclIl


Cooled Lens Electrodes Laser Cleaning Aperture
System

Clean Room Area

Fig. 9.39. Schematic of an ion projection exposure tool.

allow the ions to readily proceed to the wafer as well as areas which impede
their progress. Unlike electrons, which are easily scattered in thin absorbers,
ions require a thicker material. As a result, the consensus is that the mask
must be a stencil with both opaque and clear areas. A schematic of a 3/-lm
thick silicon stencil mask is shown in Fig. 9.40. Two issues become apparent
for this type of mask design. The first is that not all shapes can be defined
on this mask structure. As an example, a "box-in-box" structure cannot be
supported. One suggestion for eliminating this problem is to write comple-
mentary patterns on a single substrate. This is not a simple solution, since it
complicates both the writing and exposure process, thereby impacting wafer
throughput. The second issue is the effect of ion radiation on the stencil
mask. Just as stress changes can occur in x-ray mask membranes during ex-
posure, silicon membranes have been observed to become more compressive
as a result of ion exposure [76] , resulting in increased pattern distortion.

Fig. 9.40. Schematic illustra-


tion of an ion projection mask.
9 Photomask Etching 413

While annealing can remove some stress, the annealing temperatures become
prohibitive for larger doses. Thus, some type of protective mask coating is
necessary. Wasson et al. [77] have investigated the use of a vitreous carbon
layer to protect the silicon. A mask was bombarded with H 3 + ions to a dose
of 5mCcm- 2 (equivalent to 80000 chip exposures) with no apparent change
in the silicon film stress. At higher doses, wrinkling was observed. Film com-
pression was attributed to the eventual etching of the carbon caused by the
hydrogen. In a similar experiment He+ ions were used. An initial decrease in
stress was observed and was believed to be caused by the desorption of surface
contaminants. Beyond the initial dose, the film stress appeared to stabilize.
A Raman study showed that the graphitic features were transformed into
an amorphous glassy carbon. This protective film demonstrated radiation
stability for many millions of chip exposures.

9.6.3 IPL Mask Pattern Transfer


Silicon is an interesting etch material since volatile products are readily
formed in the presence of a large number of etchant gases. Fluorine-based
gases, such as SF 6 , easily etch fluorine, but the etch is chemical in nature
and therefore rather isotropic. Selectivity to oxide is best at lower power, at
the expense again of anisotropy. One method for improving the etch profile is
to lower the electrode temperature, thereby improving the vertical-to-lateral
etch rate ratio. Care must be taken to avoid condensates which can create
defects. Chlorine is also effective at etching silicon. Selectivity to oxide is gen-
erally acceptable, however, processing conditions must be carefully controlled
to avoid redeposition effects.
An interesting approach was taken by Pendharkar et al. [78], using an
asymmetric diode reactor equipped with a ferrite disk magnet to enhance
the plasma density. An additional electrode surrounded the main electrode
and was used to obtain triode operation, if necessary. The main electrode
configuration also included a helium backside cooling loop in order to control
substrate temperature. The etchant gas in this work was bromine. Pumping
in the system was accomplished using a nitrogen cryotrap backed by both an
oil diffusion pump and a chemical series mechanical pump.
The mask patterns were electron-beam exposed in PMMA. Although
PMMA has extremely high resolution, etch resistance, like PBS, is rather
poor. The strategy, therefore was to transfer the PMMA image into a thin,
120 nm, oxide film, and use the oxide as a hard mask for the etching of the
thick silicon membrane. CHF 3 was used to etch the oxide and a selectiv-
ity of 1:1 was obtained between resist and oxide. Process development of
the silicon etch targeted a SijSi0 2 selectivity of 50:1 in order to avoid side-
wall erosion and minimize stress effects caused by the compressive oxide film.
Bromine pressure plays an essential role in determining SijSi0 2 selectivity, as
shown Fig. 9.41. Both the silicon and oxide etch rates decrease with increas-
ing bromine in pressure. The oxide etch rate is close to zero at the highest
414 D.J. Resnick

100 800

700
80
"2 600
'E
--
E
S
60 I=:=~I021 500 en
I
CD
U)
Q)
Cii
I-+---Selectivity 400 iD
g,
a: 40 300 ~
..c:
m 200
20
100

0 0
2 3 4 5 6 7 8 9
Bromine Pressure (mtorr)
Fig. 9.41. Si and Si02 etch rate as a function of bromine pressure. (From [78]).

pressures studied and selectivities well above 50 are obtained above 6 mTorr.
The increasing pressure contributes to both the reduction of plasma potential
while also increasing the probability of neutral-ion collisions in the sheath.
The combination leads to lower ion bombardment and significant reductions
in oxide etch rate. The rf power must also be carefully controlled. Increases
in power accelerate the silicon etch rate and reduce selectivity. By controlling
both pressure and rf power, a wide parameter space existed in which a 50:1
selectivity could be obtained. The wall profile was studied as a function of
power, setting selectivity to 50: 1. A power of 85 W resulted in a vertical etch.
An interesting loading effect was observed during this study. As the ex-
posed silicon area increased, the oxide etch rate increased as well. There was,
however, no change in the silicon etch rate. It was speculated that the excess
of SiBr4 reacts with the oxygen from the Si0 2 film, forming a volatile silicon
oxybromide. The remaining silicon-rich film is then more easily attacked by
the bromine plasma. For masks with significant open areas, a thicker oxide
film was necessary to successfully pattern transfer completely through the
silicon stencil.

9.7 IPL Mask Distortion Issues


The same distortion issues that plague x-ray mask lithographers are also
present with IPL technology. The silicon mask must have a tensile stress in
order not to be wrinkled. An oxide hard mask with a compressive stress,
can cause pattern distortions and recent work has also considered the use of
SiON hard masks as an alternative material [79]. The carbon layer used to
minimize damage must also be low stress. A more significant problem, how-
9 Photomask Etching 415

ever, is the pattern transfer of the silicon stencil mask. Once the silicon is
removed, there now exist areas on the mask in which stress has been relieved.
Essentially there exist areas with tensile stress and areas with "zero" stress.
Loschner [80] proposed that the distortion for anisotropic pattern features
could best be minimized by introducing a nonsymmetrical array of perfora-
tions at the periphery of the stencil mask to relieve stress and reduce the
effective membrane stress in the patterned area form 5 MPa to 1 MPa [80].
In a study by Sprague et al. [81] a finite element model was used to
optimize the perforation location and orientation and reduce the pattern
error to a simple magnification error. While successful, the introduction of
the slots is an additional complication to the mask process. It must also be
demonstrated that the solution is effective on real masks which may contain
stress gradients similar to those observed in x-ray mask membranes.

9.8 Conclusion

Critical dimensions continue to shrink at a pace many never thought possi-


ble. Now that the most critical layers of the most advanced devices are below
200 nm a greater emphasis is placed on mask technology. Plasma etching will
continue to playa key role in the development of future mask technologies.
While it is not clear which technology will find its way into manufacturing
after 193 nm, also most every candidate requires a mask which becomes more
challenging to build with every passing year. The problems are more intricate
as optical densities change with wavelength and the subtleties of handling
pattern placement issues become prevalent. A simple chrome-on-glass strat-
egy lasted for more than twenty years. As difficult as it was to maintain the
breakneck pace of IC production, future generations of lithographers will not
have it so easy.

Acknowledgments. The writing of this chapter would have been impossi-


ble without the contributions of so many different and talented scientists. I
would like to thank several collaborators in particular. Sandeep Pendharkar
and Bill Dauksher are responsible for much of the work on amorphous re-
fractory absorbers. Kevin Cummings and Pawitter Mangat provided most of
the expertise for the EUV and SCALPEL discussions. Chris Constantine has
been a valued friend and a great source of knowledge on chrome and MoSi
mask etching. Matt Laudon and Roxanne Engelstad taught me more than I
ever wanted to know about finite element modeling and mask distortion. Fi-
nally I would like to thank Laura Siragusa for her tireless efforts and support
of this work.
416 D.J. Resnick

References
1. The National Technology Roadmap for Semiconductors, (Sematech, 1997).
2. H. Nakata, K. Nishioka, and H. Abe, J. Vac. Sci. Techno!. 17(6), 1351-11357
(1980).
3. Y. Suzuki, T. Yamazaki, and H. Nakata, J. Vac. Sci. Techno!. B 21(9), 1328-
1332 (1982).
4. RA. Usmanov and V.A. Khamaev, Russ J. Phys. Chern. 52, 1717 (1978).
5. H.M. Naguib, R.A. Bond, and H.J. Poley, Presented at 1st Canadian Semicon-
ductor Technology Conference, Ottawa (1982).
6. C.J. Mogab, J. Electrochem. Soc. 124, 1262 (1977).
7. M. deGrandpre, K. Graziano, S.D. Thompson, H. Liu, and L. Blum, Proc. SPIE
923, 158 (1988).
8. S. Tedesco, C. Pierrat, J.M. Lamure, C. Sourd, J. Martin, and J.C. Guibert,
Proc. SPIE 1264, 144 (1990).
9. T. Coleman and P. Buck, Proc. SPIE 2621, 62-72 (1995).
10. T. Coleman, P. Buck, and D. Johnson, Proc. SPIE 2884, 92 (1996).
11. C. Constantine, D.J. Johnson, R.J. Westerman, T. Coleman, and T. Faure,
Proc. SPIE 3096, 11 (1997).
12. H. Tarumoto, K. Maetoko, S. Yamashita, S. Aoyama, and H. Morimoto, Proc.
SPIE 2512, 21 (1995).
13. W. Tsai, F. Chen, M. Kamna, S. Chegwidden, S. Labovitz, J. Farnsworth, and
G. Dao, Proc. SPIE 3412, 149 (1998).
14. Y. Watakabe, S. Matsuda, A. Shigetomi, M. Hirosue, T. Kato, and H. Nakata,
J. Vac. Sci. Techno!. B4(4), 841 (1986).
15. T. Toda, J. Electrochem. Soc. 130, 912 (1983).
16. D.J. Resnick, R Tarascon, Dry Etching of Molybdenum Silicide Photomasks,
(AT&T Bell Laboratories Internal Memorandum, 1990).
17. Shigetomi, S. Matsuda, K. Moriizumi, H. Kusunose, T. Imai, and Y. Watakabe,
J. Vac. Sci. Techno!. B 8(2), 117 (1990).
18. C. Constantine, D. Johnson, R.J. Westerman, and A. Hourd, Proc. SPIE 3546,
(1998).
19. B.W. Smith, A. Bourov, L. Zavyalova, and M. Cangemi, Proc. SPIE 3676,
Emerging Lithographic Technologies 111 (1999).
20. M.D. Levenson et al., IEEE Trans. Electron Devices 29(12), (1980).
21. B.J. Lin, Solid State Techno!. 35, 43 (1992).
22. R Jonckheere, K. Ronse, O. Popa, and L. Van den hove, J. Vac. Sci. Techno!.
B 12(6), 3764 (1994).
23. H. Miyashita, H. Fujita, T. Yokoyama, N. Hayashi, and H. Sano, Proc. SPIE
2621, 614 (1995).
24. Quartz Etching for PSM. (Etec Application Report A900-3130).
25. C. Constantine and L. Heckerd, Proc. SPIE 3412, 220 (1998).
26. RA. Lawes, Microelectron. Eng. 23, 23 (1994).
27. H. Mohri, M. Takahashi, K. Mikama, H. Miyashita, N. Hayashi, and H. Sano,
SPIE Proc. 2322, 288 (1994).
28. B.W. Smith, C. Fonseca, L. Zavyalova, Z. Alam, and A. Bourov, J. Vac. Sci.
Techno!. B 15(6), 2259 (1997).
29. J.M. Warlaumount and J.R. MaIdanado, J. Vac. Sci. Techno!. 19(4), 1200
(1991).
9 Photomask Etching 417

30. Handbook of VLSI Microlithography, (eds. W.B. Glendinning and J.N. Helbert),
(Noyes Publications, 1991).
31. K Early, M.L. Schattenburg, and H.1. Smith, Microelectron. Eng. II, 317
(1990).
32. Y. Chen, R.K. Kupka, F. Rousseaux, F. Carcenac, D. Decanini, M.F. Ravet,
and H. Launois, J. Vac. Sci. Technol. B 12(6), 3959 (1994).
33. D.L. Spears and H.1. Smith, Solid State Technol. 15, 21 (1972).
34. R. Viswanathan, D. Seeger, A. Bright, T. Bucelot, A. Pomerene, K. Petrillo,
P. Blauner, P. Agnello, J. Warlaumont, J. Conway, and D. Patel, Microelectron.
Eng. 23, 263 (1994).
35. J.S. Greeneich, IEEE Trans. Electron Devices, 22, 434 (1975).
36. W.A. Johnson, R.A. Levy, D.J. Resnick, T.E. Saunders, and A.W. Yanof,
J. Vac. Sci. Technol B 5, 257 (1987).
37. P.A. Seese, K.D. Cummings, D.J. Resnick, A.W. Yanof, and W.A. Johnson,
Proc. SPIE 1924, 457 (1993).
38. G.E. Georgiou, C.A. Jankoski, and T. Palumbo, Proc. SPIE 471, 96 (1984).
39. W. Chu, M.L. Schattenburg, and H.1. Smith, Microcircuit Eng. 91 (1991).
40. W.J. Dauksher, D.J. Resnick, W.A. Johnson, and A.W. Yanof, Microelectron.
Eng. 23, 235 (1994).
41. C.W. Jurgensen, R.R. Kola, A.E. Novembre, W.W. Tai, J. Frackoviak, L.E.
Trimble, and G.K Celler, J. Vac. Sci. Technol. B 9, 3280 (1991).
42. Y.limura, H. Miyashita, and H. Sano, J. Vac. Sci. Technol. B 7(6),1680 (1989).
43. K Fujii, T. Yoshihara, Y. Tanaka, K Suzuki, T. Nakajima, T. Miyatake,
E. Orita, and K Ito, J. Vac. Sci. Technol. B 12(6), 3949 (1994).
44. Y.-C. Ku, L.-P. Ng, R. Carpenter, K Lu, and H.1. Smith, J. Vac. Sci. Technol.
B 9, 3297 (1991).
45. T. Yoshihara and K Suzuki, J. Vac. Sci. Technol. B 12(6),4001 (1994).
46. R.R. Kola et al., presented at the 38th International Symposium on Electron,
Ion, and Photon Beams (unpublished, 1994).
47. T. Inoue, T. Kanayama, and M. Komuro, J. Vac. Sci. Technol. B II, 2943
(1993).
48. K Marumoto, H. Yabe, S. Aya, K. Kise, and Y. Matsui, Proc. SPIE 2194, 221
(1994).
49. K Marumoto, H. Yabe, S. Aya, M Matsuba, K. Sasaki, Y. Watakabe, and
T. Matsui, Jpn. J. Appl. Phys. 32, 5918 (1993).
50. M. Sugawara, M. Kobayashi, and Y. Yamaguchi, J. Vac. Sci. Technol. B 7(6),
1561 (1989).
51. T. Yoshihara, S. Kotsuji, and K Suzuki, J. Vac. Sci. Technol. B 12, 4001 (1994).
52. T. Yoshihara, S. Kotsuji, K. Fujii, S. Tsuboi, and K Suzuki, J. Vac. Sci. Tech-
nol. B 16(6), 3491 (1998).
53. W.J. Dauksher, D.J. Resnick, K.D. Cummings, J. Baker, R.B. Gregory, N.D.
Theodore, J.A. Chan, W.A. Johnson, C.J. Mogab, M.-A. Nicolet, and J.S. Reid,
J. Vac. Sci. Technol. B 13(6), 3103 (1995).
54. D.J. Resnick, S.V. Pendharkar, W.J. Dauksher, KD. Cummings, W.A. John-
son, and C. Constantine, Microelectron. Eng. 30 (1996).
55. S.V. Pendharkar, D.J. Resnick, W.J. Dauksher, and KD. Cummings, J. Vac.
Sci. Technol. A 15(3), 816 (1997).
56. D.J. Resnick, S.V. Pendharkar, W.J. Dauksher, KD. Cummings, M.F. Laudon,
B. Romanowicz, P. Renaud, and R.L. Engelstad, Micro Nano Eng. 41/42
(1998).
418 D.J. Resnick

57. S.V. Pendharkar, D.J. Resnick, M.F. Laudon, W.J. Dauksher, P.J.S. Mangat,
P.A. Seese, and KD. Cummings, J. Vac. Sci. Techno!. B 16(6), 3500 (1998).
58. M.F. Laudon, K.A. Thole, R.L. Engelstad, D.J. Resnick, K.D. Cummings, and
W.J. Dauksher, J. Vac. Sci. Techno!. B 13(6), 3050 (1995).
59. Concepts and Applications of Finite Element Analysis, (eds. by R.D. Cook,
D.S. Malkus, and M.E. Plesha) (Wiley, New York, 1989).
60. J. Trube, H. Yabe, S. Aya, K Marumoto, and Y. Matsui, J. Vac. Sci. Techno!.
B 11(6), 2990 (1993).
61. W.J. Dauksher, D.J. Resnick, S.M. Smith, S.V. Pendharkar, H.G. Thompkins,
K.D. Cummings, P.A. Seese, P.J.S. Mangat, and J.A. Chan, J. Vac. Sci. Tech-
no!. B 15(6), 2232 (1997).
62. M.B. Heritage, J. Vac. Sci. Techno!. 12, 1135 (1975).
63. L.R. Harriott, S.D. Berger, J.A. Liddle, G.P. Watson, and M.M. Mkrtchyan,
J. Vac. Sci. Techno!. B 13(6), 2404 (1995).
64. G.R. Bogart, A.E. Novembre, A. Komblit, M.L. Peabody, R.C. Farrow, M.1.
Blakey, R.J. Kasica, J.A. Liddle, T.E. Saunders, and C.S. Knurek, Proc. SPIE
3676, Emerging Lithographic Technologies 111 (1999).
65. J.A. Liddle, M.1. Blakey, C.S. Knurek, M.M. Mkrtchyan, A.E. Novembre,
L. Ocala, T. Saunders, and W.K. Waskiewicz, Microelectron. Eng. 41/42, 155
(1998).
66. J. A. Liddle, H. A. Huggins, and G. P. Watson, J. Vac. Sci. Technol B 13(6),
2483 (1995).
67. L.R. Harriott, J. Vac. Sci. Techno!. B 15(6), 2130 (1997).
68. R. Engelstad and E.G. Lovell, Proc. SPIE 3676, Emerging Lithographic Tech-
nologies 111 (1999).
69. T.E. Jewell et al. Proc. SPIE 1263, 80 (1990).
70. C.W. Gwyn, R. Stulen, D. Sweeney, and D. Attwood, J. Vac. Sci. Technol
B 16(6), 3142 (1998).
71. Charles Gwyn et al., Extreme Ultraviolet Lithography, September 1997.
72. P. Mangat, S. Hector, M. Thompson, W. Daulksher, J. Cobbe, K Cummings,
D. Mancini, D. Resnick, G. Cardinale, C. Henderson, P. Kearney, and M. We-
dowski, presented at the 42 nd International Conference on Electron, lon, and
Photon Beam Technology and Nanofabrication, 1999.
73. J.A. Randall, M.A. Reed, R.J. Matyi, T.M. Moore, R.J. Aggawal, and A.E.
Wetsel, Proc. SPIE 945, 137 (1988).
74. G. Gross, J. Vac. Sci. Techno!. B 15(6), 2136 (1997).
75. G. Gross, R. Kaesmaier, H. Loschner, and G. Stengl, J. Vac. Sci. Techno!.
B 16(6), 3150 (1998).
76. U. Behringer and R. Speidel, Optik (Stuttgart) 62, 59 (1982).
77. J. Wasson, J. Torres, H.R. Rampersad, J.C. Wolfe, P. Ruchhoeft, M. Herbordt,
and H. Loschner, J. Vac. Sci. Techno!. B 15(6), 2214 (1997).
78. S.V. Pendharkar, J.C. Wolfe, H.R. Rampersad, Y.-L. Chau, D.L. Licon, M.D.
Morgan, W.E. Horne, R.C. Tiberio, and J.N. Randall, J. Vac. Sci. Techno!.
B 13(6), 2588 (1995).
79. I.W. Rangelow et al., J. Vac. Sci. Techno!. B 16(6), 3592 (1998).
80. H. Loschner, unpublished.
81. M. Sprague, W. Semke, R. Engelstad, E. Lovell, A. Chalupka, H. Loschner,
and G. Stengl, Micro Nano Eng. 41/42, 225 (1998).
10 Bulk Si Micromachining
for Integrated Microsystems
and MEMS Processing

R.J. Shul and J.G. Fleming

10.1 Introduction

The ability to etch deep, high-aspect ratio, anisotropic, Si features has opened
up new areas of application for microelectromechanical systems (MEMS) de-
vices, as well as revolutionized the conception and implementation of "mixed
technology" integration and packaging. For MEMS devices, deep, high-aspect
ratio Si etching enables increases in capacitance, "Z" dimension stiffness,
mass, and actuation force for a wide range of components such as accelerome-
ters, gyros, and electrostatic drives. Thus, device functionality and sensitivity
can be improved. For example, current surface micromachined devices can be
used as sensors for airbag applications; however, with the improved perfor-
mance and sensitivity offered by deep silicon trench etching these designs may
become useful for micro-navigation applications. Perhaps even more impor-
tantly, this new capability may enable the utilization of MEMS-type process-
ing to the development of entirely new markets and applications. In addition
to its use as a process module in MEMS processing, deep Si trench etch-
ing also has notable applications to packaging and systems integration. The
development of integrated microsystems and advanced packaging capabili-
ties in an integrated circuit (Ie) batch manufacturing technology will lower
cost, reduce size and weight, and improve performance and reliability [1]. A
complete integrated microsystem could include sensors, actuators, electron-
ics, fluidics, and optics in a variety of material systems on a single chip or
in a single package. For example, deep anisotropic features could be etched
into a Si wafer to accurately locate discrete components while maintaining
system planarity. In this chapter we will discuss potential applications of this
emerging technology.
The fabrication of MEMS and the integration of mechanical and fluidic
structures with electronic and photonic devices relies heavily on two pattern-
ing techniques, surface micromachining and bulk silicon micromachining. A
comparison of the two techniques is given by French and Sarro [2]. In many
ways the deep silicon trench-etching technology discussed in this chapter en-
ables the integration of the best aspects of each of these technologies.
Surface micromachining refers to the fabrication of mechanical structures
in thin films, often polysilicon, deposited on the surface of a substrate. This

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
420 R.J. Shul and J.G. Fleming

is a direct offshoot from standard silicon IC technologies. In the early evo-


lution of IC processing, thin layers of material were patterned using wet
isotropic etching of silicon, silicon dioxide, aluminum and other materials.
However, the drive to improve device performance led to continual reduc-
tions in minimum dimensions. Eventually, a point was reached where these
features were sufficiently small (2-4j.lm) that wet-etching processes no longer
provided sufficient process control. This led to the development of a wide
range of anisotropic plasma-based processes for the etching of thin films.
Due to the technological importance of these processes, much information is
available in the literature and this topic will not be considered in this work.
Surface micromachining takes advantage of these processes to form devices
typically consisting of combinations of various polysilicon structural layers
and silicon dioxide sacrificial layers. However, by the nature of the processes
themselves all the layers are typically less than 3j.lm thick. This limits the
mass of the parts as well as the force that can be exerted by electrostatic
actuators and the sensitivity of capacitive-based sensors.
The second general class of patterning is bulk micromachining. This refers
to the etching of deep Si features that can be up to and including the thick-
ness of the wafer. Initial work in this area was performed using wet-etches for
either isotropic or anisotropic profiles. Isotropic etching was often achieved
with mixtures of nitric, hydrofluoric and acetic acids while anisotropic pro-
files were obtained using hydroxide-based mixtures. Anisotropic wet-etches
are highly dependent upon crystallographic orientation. While very impres-
sive structures can be fabricated using this type of process, the technique is
limited by the crystallography of the material. It is possible to etch through-
holes using this technique and the creation of relatively massive structures is
possible. However, there are limitations on the geometry's which are feasible
and high fidelity is typically not possible. These techniques are well estab-
lished, are well covered in the literature, and will be discussed only briefly in
this chapter.
Recently, new bulk micromachining processes based on high etch rates
have been developed. This approach addresses many of the limitations of wet
chemical micromachining since the pattern fidelity is not limited by crystal-
lography, selectivity, or directionality. To date, most work reported in the
literature in this area has been done with research tools, or with very large
features [3,4]. The utilization of high-density plasmas (HDP) including elec-
tron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etch
systems and the development of the "Bosch" deep reactive ion etch (DRIE)
process [5] has contributed significantly to the development of high-aspect
ratio, deep Si etching [6-11]. HDP etch systems typically yield higher etch
rates under less energetic ion conditions than more conventional reactive ion
etch (RIE) systems. This has been attributed to plasma densities that are 2
to 4 orders of magnitude higher and the ability to effectively decouple ion
energy and plasma density. Etch profiles also tend to be more anisotropic
10 Bulk Si Micromachining for Integrated Microsystems 421

due to lower process pressures which results in less collisional scattering and
greater directionality of the plasma species.
This chapter examines many of the advances made in patterning high-
aspect ratio, deep silicon features for integrated microsystems and MEMS
applications. Plasma patterning technologies including RIE, ECR, ICP, and
DRIE "Bosch" etching are reviewed.

10.2 Etch Technologies

10.2.1 Wet Chemical Etching

Wet chemical etching with acid or base solutions is often used to transfer
patterns into semiconductor materials. The process involves either the oxi-
dation or reduction of the semiconductor surface followed by removal of the
soluble reaction product. For a diffusion-limited reaction, rates are limited
by either the diffusion of reactive species to the surface or diffusion of the
soluble reaction products from the surface. Diffusion-limited reactions are
often difficult to control due to the flow dynamics involved. Alternatively,
for a reaction-limited etch, chemical reaction at the substrate surface is the
rate-limiting step. These processes are much easier to control and are highly
temperature dependent as shown in the equation:

Etch rate = Ke- Ea / kT (10.1 )

where K is the temperature dependent constant, Ea is the activation energy,


k is the Boltzmann constant, and T is the temperature of the solution.
Wet etching is typically fast, low damage, and low cost. However, the
isotropic etches obtained with wet chemical etchants consisting of mixtures
of nitric, acetic and hydrofluoric acids are difficult to control, and have poor
resolution, thereby limiting the critical dimension of the etched features. On
the other hand, it is possible to etch very high-aspect ratio features with di-
mensions on the order of 20 nm using various wet anisotropic etches. However,
this approach is severely constrained by the crystallography.

10.2.2 Plasma Etching

In many respects, high quality plasma etching was developed to surmount the
shortcomings of wet chemical etching. Plasma etching enables high-resolution,
anisotropic, noncrystallographic pattern transfer. Several excellent reference
sources are available which provide a thorough background of plasma sci-
ence [12-16]. In general, plasma etching involves two general mechanisms: a)
physical sputtering and b) chemical reactions. Plasma etch processes can be
further categorized into 4 basic groups as shown schematically in Fig. 10.1:
a) sputtering, b) chemical etching, c) ion-enhanced etching, and d) sidewall
inhibitor etching. In sputtering, ions are accelerated as they cross the plasma
422 R.J. Shul and J.G. Fleming

a) Spullcring c) lon- nhanced Elching


Ion
NCIltrul
Ion
~ ! Volatile Etch
Product

Re i>l
I';~1; 1:< »» 1
b) Chemical Elching d) Sidewalllnhibilor

Fig. 10.1. Schematic diagram of (a) sputtering, (b) chemical, (c) ion-enhanced,
and (d) sidewall inhibitor etch mechanisms.

sheath, which is formed just above the substrate. The ions transfer large
amounts of energy (>100 eV) and momentum to the surface, thereby remov-
ing material. The etch profile is typically tapered and can result in significant
damage, low etch selectivity, and rough surface morphology, thus impairing
device performance.
In chemical etching (Fig. 10.1 b) the process consists of the following series
of steps: a) production ofreactants in the plasma; b) transport ofreactants to
the substrate surface; c) adsorption of reactants onto the substrate surface;
d) chemical reaction; and e) desorption of volatile etch products from the
surface. In order for this process to be successful, reactive neutrals must
form volatile etch products during the reaction with the surface. Since there
is little or no physical ion bombardment associated with this mechanism, the
removal rate of material laterally tends to be similar to the removal rate of
material in the vertical direction. This can result in significant undercutting of
the mask and loss of critical dimension. However, with no ion bombardment,
plasma-induced damage can be quite low and etch selectivity can be quite
high depending on the volatility of etch products formed.
Anisotropic patterning can often be obtained by either ion-enhanced etch-
ing (Fig. 1O.1c) or sidewall inhibitor etching (Fig. 1O.ld). In ion-enhanced
etching both physical and chemical etching are employed. Due to the per-
pendicular nature of the ions accelerated across the plasma sheath to the
surface of the sample, anisotropic profiles are obtained. The ions also provide
energy /momentum transfer to improve sputter desorption of volatile etch
10 Bulk Si Micromachining for Integrated Microsystems 423

products formed on the surface. For sidewall inhibitor etching, a polymer-


forming gas is added to the plasma chemistry to initiate the formation of a
thin film on the sidewalls of the etched feature. Provided the ion scattering is
low (low chamber pressure), the sidewall polymer film remains intact and acts
as an etch barrier, preventing lateral etching and thus yielding anisotropic
etch profiles.

10.2.3 Reactive Ion Etching

RIE utilizes an ion-enhanced etch process where the plasma is typically gen-
erated at a radio frequency (rf) of 13.56 MHz between two parallel electrodes
in a reactive gas (see Fig. 10.2). The substrate is placed on the powered
electrode and is etched by chemical and physical interactions between the
reactive and ionized species formed in the plasma and the substrate surface.
The etch is enhanced by sputtering of the surface by impinging ions which
typically have energies of a few hundred volts. Ion bombardment energies
are defined as the energy with which ions cross the plasma sheath formed
just above the substrate. This physical component of the etch mechanism
enhances the anisotropy of the etch, independent of crystallographic orien-
tation of the substrate. RIE typically operates at pressures ranging from a
few mTorr up to 200 mTorr further enhancing the anisotropy of the etch by
minimizing collisional scattering of the ions.

Showerhead Gas
Distribution

Powered
Electrode -t~~~~~~~j
I

To Pumping
Fig. 10.2. Schematic diagram of a reac-
System tive ion etch (RIE) system.

Anisotropic etching of Si has been reported in the literature using RIE


[17-22]. However, anisotropy and dimensional control often require either
high ion bombardment energies, the formation of a sidewall polymer etch
inhibitor, or low etch temperatures which may be prohibitive to obtaining
deep, high-aspect ratio features. For example, high ion energies can dam-
age the sample and degrade both electrical and optical device performance.
Attempts to minimize such damage by reducing the ion energy or increas-
ing the chemical activity in the plasma often results in a loss of etch rate
424 R.J. Shul and J .G. Fleming

or anisotropy which significantly limits critical dimensions and reduces the


utility of the process for device applications requiring vertical etch profiles.
Additionally, high ion energies decrease the Si etch selectivity to the masking
material thus requiring a hard mask (a metal or dielectric mask rather than
photoresist) and complicating the process sequence.

10.2.4 High-Density Plasma Etching


Low-damage, high-density plasmas including ECR and ICP, have shown im-
proved Si etch results as compared to RIE. Ion densities often exceeding
5 x 10 11 cm -3 are produced in the high-density systems, which potentially in-
creases the etch rate due to higher ion and neutral reactant flux. A schematic
diagram of a typical low-profile ECR system is shown in Fig. 10.3 [23- 25J.
Due to the magnetic confinement of electrons within the microwave source
(2.45 GHz), high-density plasmas are formed at low pressures with low plasma
potentials and ion energies. The sample is located downstream from the mi-
crowave source to minimize exposure to the intense discharge and to reduce
the physical ion bombardment component of the etch. Therefore, less damage
than that produced by RIE has been observed during ECR etching. Highly
anisotropic etching can be achieved by superimposing an rf-bias (13.56MHz)
on the sample and employing low pressure conditions (:::; 20 mTorr) which
minimizes ion scattering and lateral etching. With rf-biasing, energetic ions
are accelerated from the plasma to the sample with the possibility of kinetic
damage to the surface. This is differentiated from RIE in that the incident
ion energy is decoupled from the ion current density or plasma power. ECR
etch results will be discussed in Sect. 10.3.
ICP offers an alternative high-density plasma technique where plasmas
are formed in a dielectric vessel encircled by an inductive coil into which
rf-power is applied [24,25]' as shown in Fig. 10.4. The electric field produced

2.45 GHz
Microwave~;==,!;;;;;;;;;i;;;;;;;k;r-.,

Upper
Magnets Plasma

Load Lock
Sample
~
Collimating
Magnets Fig. 10.3. Schematic diagram of an electron
=
cyclotron resonance etch (ECR) system.
10 Bulk Si Micromachining for Integrated Microsystems 425

Showerhead Gas
Oi l.ribulion \

0
Alumina
Chamber 0
0
Plasma
:J"~ Supply

Load Lock
Fig. 10.4. Schematic di-
agram of an inductively
Powered coupled plasma (ICP) etch
Eleclrode -=- system.

by the coils in the horizontal plane induces a strong magnetic field in the
vertical plane trapping electrons in the center of the chamber and generating
a high-density plasma. At low pressures (::; 20 mTorr) , the plasma diffuses
from the generation region and drifts to the substrate at relatively low ion
energy. Thus, rcp etching is also expected to produce low damage with high
etch rates. As with ECR etching, anisotropic profiles are obtained by super-
imposing a rf-bias on the sample to independently control ion energy. The
general belief is that rcp sources are easier to scale-up for eight inch wafer
processing than ECR sources and are more economical in terms of cost and
power requirements. rcp does not require the electromagnets or waveguiding
technology necessary in ECR. Additionally, automatic tuning technology is
much more advanced for rf-plasmas than for microwave discharges.
High-rate Si etching has been achieved using rcp fluorine-based plasmas.
Using a SF 6 /Ar rcp plasma, Si etch rates >2.25!lmmin-1 have been ob-
tained with relatively rough surface and sidewall etch morphologies [26]. The
etch profiles were generally undercut ; however, at - 30°C more anisotropic
profiles were generated. Pearton and co-workers [27] studied SF 6 and NF3
rcp plasmas and reported Si etch rates >8.0!lm min - 1 under high rCP-source
power conditions. Using atomic force microscopy (AFM), a root mean square
(r.m.s.) roughness> 23 nm was reported independent of plasma chemistry.

10.2.5 Deep Reactive Ion Etching

As mentioned above, pattern transfer into Si has been very successful by both
wet chemical and plasma etch techniques. However, the fabrication of deep ,
high-aspect ratio Si structures has been limited due to low etch selectivity to
photoresist masks, slow etch rates, or poor lateral dimensional control. The
recent development of a DRrE Si etch process has resulted in anisotropic
profiles at room temperature, etch rates >3.0!lm min -1, aspect ratios >30: 1,
and good dimensional control [5- 11]. Additionally, the DRIE process has
426 R.J. Shul and J.G. Fleming

shown etch selectivities of Si to photoresist > 75: 1 thereby eliminating the


process complexity of hard etch masks for features deeper than 100 11m.
The DRIE process (patented by Robert Bosch GmbH) [5] relies on an
iterative ICP-based deposition/etch cycle in which a polymer etch inhibitor
is conformally deposited over the wafer during the deposition cycle. This is
displayed schematically in Fig. 10.5. The polymer deposits over the resist
mask, the exposed Si field, and along the sidewall. During the ensuing etch
cycle, the polymer film is preferentially sputtered from the Si trenches and
the top of the resist mask due to the acceleration of ions (formed in the ICP
plasma) perpendicular to the surface of the wafer. Provided the ion scattering
is relatively low, the polymer film on the sidewall is removed at a much slower
rate, thus minimizing lateral etching of the Si. Before the sidewall polymer is
completely removed, the deposition step is repeated and the cycle continues
until the desired etch depth is obtained.

Conventional Photolithography

Re,i't - ... -:-:-:-:· 1 1:-:-:·:-:-:-

. . 1~; « l=·J»« 1
Dcpo ilion Step
Si

Initial Depo ilion Step

Polymer

/r
Final Etcb Feature
Volatile Elch

Resist
.. ~~~~l 1\(1on
............
~~~t
.............
'

Si

Fig. 10.5. Schematic diagram of the deep reactive ion etch (DRIE) "Bosch"
process.

10.3 ECR Results


Silicon trench etching can be performed in a continuous process using an
ECR etch system. The work described below was carried out in an ECR
etch system with SF 6 /0 2 -based chemistries. This process has the potential
to significantly impact micromachining, however, due to the many interac-
tions between numerous variables, each etch process must be tailored to the
10 Bulk Si Micromachining for Integrated Microsystems 427

individual application. In this section, four particular applications are con-


sidered, a field emitter design , a gyro, an actuator fabricated using a mold
process, and a novel process using {Ill} silicon substrates.

10.3.1 ECR Experimental

All the work was carried out using a Hitachi M-3180EX etcher. This system
employed a high-density, ECR source. The wafers were introduced through
a load lock and pressure was automatically controlled using a throttle valve.
The wafers were held by an electrostatic chuck and cooled by a backside
helium flow. Except where noted , the SF 6 flow was 100 sccm and the tem-
perature was - 30°C. Most of the results reported here were obtained on
test structures consisting of lines, spaces, vias and pillars ranging from 0.5
to 2.0 micrometers in dimension , among other structures. The exposed area
of the test structure was ~30% . In most cases, thermally deposited silicon
dioxide was used as a hard mask. In some experiments, 1!lm Al patterned on
silicon was used instea d. Etch depths were m easured either from step height
measurements or using scanning electron microscopy (SEM).

10.3.2 ECR Process Parameters

In this etch process, anisotropy is the result of a balance of chemical and


ion-assisted etching at the flat bottom of the features , and the simultaneous
deposition of masking material and its selective removal from etching regions.
The etch rate appears to be determined by the generation of reactants. The
rate increases with increasing SF 6 partial pressure, Fig. 10.6, and increasing
pressure, Fig. 10.7. Reducing the active etching area also increases the etch
rate. Anisotropy is dependent on both wafer temperature and O 2 flow and,
to a lesser extent, upon pressure and the amount of exposed area. R educing
the temperature and increasing the O 2 flow increases the etch anisotropy by
increasing the rate of polymer generation. Fig. 10.8 shows the sidewall poly-

3.5

c 3
·e
8. 2.5
~ 2
eu 0

:§. 1.5
0
u
E Fig. 10.6. Dependence of etch-rate on SF 6 supply. The
-e
w 0.5 almost linear behavior indicates that the process was
o most probably controlled by transport of reactants.
o 20 40 60 80100120 The total gas flow was fixed at 135 seem, the O 2 flow
SF6 Row (seem) being 35 seem, and the pressure was 14 mTorr.
428 RJ. Shul and J.G. Fleming

4.5
£'::> 0
c:
·E 4
8.
'"c 0
§ 3.5
g 0

~'" 3
Fig. 10.7. Average etch rate as a function of pressure .
.c
<J
iii 0 The slight "roll over" in the data was probably the
2.5 result of aspect ratio dependent etching. The process
o 10 20 30 40 50 60 70 used was IOOsccm SF 6 , 20sccm O 2 , and 20W, at -
Pressure (mTorr) 30°C.

Fig. 10.S. Cross section through the side of a trench neighboring an array of pillars.
Sidewall passivation material can be seen as a thin film coating of material pro-
tecting the side of the etched silicon wall. The faceting of the sidewall material was
probably the result of ion bombardment. After removal of the sidewall passivation
in a HF /water solution both the pillars and trench walls were vertical.

mer deposited during the patterning of an array of pillar structures. If the


combination of reduced temperature and increased O 2 flow is too aggressive,
then micro-masking of the areas being etched becomes a problem. In this
case, the rate of masking material deposition becomes locally faster than its
rate of removal at the bottom of features. This localized masking gives rise
to a grass-like surface morphology, Fig. 10.9. For a given pattern, reducing
the temperature reduces the threshold O 2 flow above which micromasking
becomes a problem. For example, using our particular test structure at 20°C
grass formation becomes a problem at ",50sccm O 2 , while at -30°C grass
formation becomes a problem at ",35 sccm O 2 . In both cases the SF6 flow
10 Bulk Si Micromachining for Integrated Microsystems 429

Fig. 10.9. Cross section through a sample in which grass form ation was observed.
In this region , the local deposition rate of the passivating material was greater than
its r ate of removal by ion bombardment.

was 100 sccm a nd the power 30 W . Although anisotropic etching is possible


at 20°C , processes run at -30 D C have a wider process window. Incident ion
energy, or "power" offsets micromasking due to the presence of O 2 . For higher
O 2 flows , higher powers are required to eliminate micromasking. However, it is
not possible to arbitrarily increase the power since power dominates selectiv-
ity through its influence on the etch rate of the oxide mask, Fig. lO.lO. There
is relatively little influence of power on silicon et ch rate. At low O 2 flows the

o 35 seem 02
--e . 15 . eem 02
o 10 seem 02
1000
c VO
.§ [0 '
.....0/) 800 I

~ 0

.; 600
e ~
.,
..c
u

<>
400
rt
:s!
>< 200
0
Fig. 10.10. Dependence of oxide etch rate on power
o and O 2 flow , the rate increased with increasing
0246810121416 power and reduced O 2 flow . Because of this b eh av-
Power (watt ) ior , power was a controlling factor on selectivity.
430 R.J. Shul and J.G. Fleming

90
0

0
88
8
.,
';;," 0

.,e
86
011

~
., 84

~
bo
c
«
82
I 0
Fig. 10.11. Angle versus pressure. Increasing the
80 pressure aided in sidewall polymer formation and
10 20 30 40 50 60
reduced the feature angle. The temperature was
Pre sure (mTorr) -30°C and the O 2 flow 30 sccm.

profile remains vertical, but the etched wall begins to creep under the mask.
Pressure influences the sidewall profile under anisotropic etching conditions.
As the total pressure is increased the walls taper outwards, Fig. 10.11.
One of the most distinctive and sometimes troublesome characteristics of
the SF 6 /0 2 chemistry is aspect ratio dependent etching (ARDE). This refers
to the phenomena that features with differing aspect ratios etch at different
rates. (In this discussion, aspect ratio refers to the ratio of etch depth to the
width of the feature being etched.) An example of ARDE in an ECR system
is given in Fig. 10.12. Figure 10.13 shows graphically the effect that differing

Fig. 10.12. A cross section electron micrograph showing an example of ARDE


obtained in an ECR etch system . High aspect ratio features were etched more
slowly than lower aspect ratio features.
10 Bulk Si Micromachining for Integrated Microsystems 431

- & - TRENCH RATIO


-EI - PILLAR RATIO
- +- - VIA RATIO
~
......
c..
<U
'0
0.9
~
S
0
......
0.8 ~ ~ IU'...!;
.sc.. )" b
<U 0.7 i'.
'0
~ * "-
.a 0.6 ~
(Ij

~
'+-< 0.5
'\~ Fig. 10.13. The ratio of higher aspect ratio fea-
0 ture depth to the depth of a low-aspect ratio
.9
(;j
~ feature for differing types of features. For exam-
0.4 ple, a trench with a final aspect ratio of 6 will be
~
o 2 4 6 8 10 etched only ~70% as deeply as the depth etched
Feature aspect ratio in a neighboring open (low-aspect ratio) field.

aspect ratios have on the etch rate. There are many possible explanations for
ARDE [281. Due to the strong dependence on SF 6 partial pressure observed
it seems reasonable to postulate that, in this case, ARDE is the result of
reduced transport of reactants into high-aspect ratio features. ARDE has
two important practical implications. The most obvious is that features with
differing final aspect ratios will have differing etch depths at any given time.
The second is that the etch rate of high-aspect ratio features is not constant
with time. Because of this, the standard practice of determining the etch
rate by dividing the total etch depth by the total etch time is inappropriate
for high-aspect ratio features, since this really only gives the average etch
rate. The fact that a single process can be used to etch a high-aspect ratio
structure, even though the etch rates at the beginning and the end of the
process are very different, indicates that the maintenance of anisotropy is
relatively insensitive to the generation of etch products. The average etch
rate cannot be used to determine the desired etch time for high-aspect ratio
features. However, it is possible to determine the etch time to obtain a desired
depth of etch on high-aspect ratio features using a graph such as the one given
in Fig. 10.13, provided the etch rate oflow-aspect ratio features in the pattern
is known.
In instances where ARDE is too severe, more conventional Ch or HBr
chemistries or combinations of these chemistries with an initial SF 6 /0 2 etch
can be used. These chemistries are, compared to SF 6 /0 2 , relatively insen-
sitive to feature aspect ratio. However, in our system the maximum etch
rate achieved was only 0.4/lmmin- 1 compared to the 2-10/lmmin- 1 "av-
erage" etch rates attainable using SF 6 /0 2 chemistries. One of the examples
given below describes a device in which a relatively deep chlorine etch was
employed.
432 R.J. Shul and J.G. Fleming

Little work has been done on combating the effects of ARDE. One possi-
ble approach is through intentional micromasking. In this approach, the etch
is intentionally performed under conditions where micromasking is known to
occur. Under these conditions, the aspect ratio is determined by the morphol-
ogy induced by the micro masking and not the pattern on the wafer. Since
the micromasking morphology is relatively constant, the etch depth is also
relatively constant. The observation that micromasking is sometimes worse
in low-aspect ratio features can also be used to advantage. After etching, mi-
cromasking can be removed using a brief isotropic etch. Fig. 10.14 gives an
example of this approach demonstrating a relatively constant etch depth over
a range of aspect ratios. In this particular case the micro masking was removed
using a KOH wet-etch which resulted in the formation of angled facets and a
rough bottom surface morphology. A smoother morphology probably could
be achieved using an isotropic F-based etch. Problems with this approach are
that widespread micromasking greatly reduces the overall etch rate and the
removal of the micro-masking results in added lateral undercut of the mask.
We have typically used silicon dioxide as a hard mask in this work. The
only problem we have encountered with this type of mask is that it has a
finite etch rate, especially under conditions of high power, Fig. 10.10. As a

Fig. 10.14. A cross section scanning electron micrograph showing the results of
one approach to overcoming ARDE. In this approach the etch was intentionally
run under conditions in which grass formation was a problem. The aspect ratio
was thereby determined by the grass spacing and not the patterned geometry. The
etch rate was therefore relatively independent of the actual pattern. At the end of
the etch the grass was removed, in this case using a wet KOH etch. The faceting
and rough bottom texture observed was a result of the wet-etch. One of the major
drawbacks to this approach was that the formation of grass greatly reduces the
overall etch rate since the effective aspect ratio was very high.
10 Bulk Si Micromachining for Integrated Microsystems 433

potential solution to this problem we investigated the use of aluminum as a


hard mask. There are several potential advantages to using this approach.
Aluminum deposition and patterning is well understood. Aluminum oxide
is stable and aluminum fluoride has a low vapor pressure. Also, it is possi-
ble to remove the aluminum without attacking the silicon. However, several
problems were encountered using aluminum masks. The most serious was
micromasking of the etching regions under conditions of pattern, power and
gas flows, which are not a problem, using an oxide hard mask. We speculate
that even though the chemical etch rate of the aluminum was low, there was
also a low level of physical sputtering which deposited Al on the surfaces
being etched. Besides roughening the surface, this micromasking also greatly
reduced the etch rate, probably due to the fact that it effectively created a
high aspect ratio surface everywhere. Maintenance of anisotropy was also a
problem using an aluminum mask possibly due to less passivating sidewall
material originating from erosion of the oxide hard mask.

10.3.3 ECR Process Applications

We have applied deep silicon trench ECR etching to a variety of micromachin-


ing projects and have found that since the etch process is dependent upon so
many interrelated parameters, it must be tailored to the specific application.
No single "magic-bullet" process is suitable for every application. Below, we
try to give an idea of how this process can be utilized in a wide array of
different applications.

10.3.3.1 A Micromachined Silicon Field Emitter Array


with Large Gate-to-Substrate Spacing

When a strong enough electric field is applied between a sharpened tip and
an electrically isolated gate, electrons can tunnel from the tip into the vac-
uum. Two of the major potential applications for this technology are field
emission displays and radio frequency (rf) electronics. In the rf application,
it is important to minimize the capacitance between the gate and bulk sub-
strate, since this parasitic capacitance limits the speed of the device. It is also
desirable to use silicon substrates when possible in order to take advantage
of existing manufacturing technology and infrastructure. This problem has
been addressed by increasing the separation between the gate and the sub-
strate using a mold process in which a series of pillars were etched into the
substrate using the SF 6 /0 2 process. In the design, there were two different
populations of pillar diameters. One set of pillars was relatively thin, 1.5/lm
diameter, while the other was thicker, 3.0/lm diameter. Following the etch-
ing of the pillars a thermal oxidation was performed in which the thin pillars
were completely converted to silicon dioxide while a core of silicon remained
within the thicker pillars. The tips of the silicon cores were then sharpened
434 R.J. Shul and J.G. Fleming

and a continuous top plate of silicon dioxide was formed using a combina-
tion of chemical vapor deposition and spin-on-glass processes. A tungsten
gate was then fabricated using chemical vapor deposition and chemical me-
chanical polishing. The end result is shown in Fig. 10.15. In this particular
application it was necessary to ensure a minimal amount of hard mask un-
dercut since the lateral dimensions involved were relatively small. Since the
pattern density was similar throughout , ARDE was not a problem except at
some of the corners of the square array of pillars. This was important since
during the oxidation process rapid changes in depth from one side of the
pillar to the other could result in tilting of the pillars following the thermal
oxidation process. The etch process employed 100 sccm of SF 6 , 25 sccm of O 2 ,
25 W, 250 rnA current , on the wafer, 14 mTorr pressure at a t emperature of
-30 D C. A 300 s etch resulted in 12 ~m high pillars.

Fig. 10.15. Oblique view scanning electron micrograph showing a cross section
through a field emitter array. The emitter tips can b e observed in the centers of the
larger holes . The smaller holes were pilla rs of therma l oxide. The pillars and tips
were both", 12 f..lm high.

10.3.3.2 A Gyroscope Test Structure Incorporating SOl


Fabrication with Embedded Electrodes

One of the major challenges encountered in MEMS technologies is how to in-


crease the system mass , stiffness in the "Z" dimension and the force generated
by actuators. The next three applications all address this issue.
One possible approach to this problem is the use of a sheet of single
crystalline silicon bonded to a silicon substrate with an intervening layer of
10 Bulk Si Micromachining for Integrated Microsystems 435

silicon dioxide. The top layer of silicon can be quite thick and can be pat-
terned down to a silicon dioxide sacrificial layer using a silicon trench etch.
One particular application is a gimbled gyroscope design. In this approach,
a series of electrodes were first formed on the silicon substrate, the elec-
trodes were then encased in silicon dioxide and the surface of the wafer was
planarized using chemical mechanical polishing. The surface was further pla-
narized using a reflowed doped silicon dioxide, which also aided the bonding
process. A second wafer was then bonded to the substrate. This second wafer
was thinned to 40!lm using a combination of wet KOH etching and chemical
mechanical polishing. The thinned top wafer supplies the material for the
upper mechanical portion of the gyroscope. Due to a number of constraints,
the gyro design consisted of a combination of high-aspect ratio etch release
holes scattered throughout the body of the plates making up the gyro, and
thin springs running through open areas. In a F-based plasma, the open, low-
aspect ratio features etched rapidly compared to the high-aspect ratio holes.
This resulted in the springs being defined well before the etch release holes
were etched through. The "over-etch" of the springs eventually resulted in
spring thinning and undercut. This required the use of a Ch j HBr j 02 etch
process. The final result is shown in Fig. 10.16. In this case the process used
was a mixture of 30sccm C1 2 , 90sccm HBr, 2sccm O 2 , 30W power on the
wafer, 7 mTorr pressure and 250 mAmps current on the wafer. Using a more

Fig. 10.16. Oblique view scanning electron micrograph of a gimbled gyroscope


test structure. The etched silicon top surface which was bonded onto another wafer
containing the underlying electrodes consisted of both large area plates, thin springs
and small high aspect ratio etch release holes. The existence of such a large variety
of different aspect ratios within the same design favors the use of a slower, but
aspect ratio independent Ch/HBr etch process. During SF 6 /0 2 processing, the
springs lying in open low-aspect ratio fields cleared first and during the overetch
required to completely define the release holes the springs were attacked.
436 R.J. Shul and J.G. Fleming

sophisticated design it may be possible to ensure that the aspect ratio re-
mains relatively constant across the wafer, therefore enabling the use of the
faster SF6 /02 chemistry.

10.3.3.3 An Accordion Actuator Capable


of Generating Large Forces
and Displacements at Low Voltages
Fluorine-based chemistries can also be used to release non-silicon-based, or
encased-silicon-based MEMS structures from a silicon sacrificial layer. Sur-
face micromachined MEMS parts are typically built above the surface of the
substrate. However, it is also possible to use mold processing, combined with
thin film deposition, to fabricate structures that are thick in the "Z" di-
mension. In this case SF 6 /0 2 trench etching was used to etch deep trenches
into the silicon substrate. These trenches were then filled with combinations
of polysilicon sacrificial layers, silicon nitride, silicon dioxide and conductive
layers of Wand TiN. By careful control of the width of the various trenches
and the thickness of the deposited films it was possible to fabricate mem-
bers that were either conducting or insulating. Providing the trench has a
sufficiently high-aspect ratio, problems associated with the internal stresses
of the various films could be mitigated. The reason for this was that since
the deposition or growth occurs inwards from the vertical sidewalls, relatively
thin layers of material can fill the deep trench. This approach depends upon
the ability to etch deep, high-aspect ratio trenches with tight-dimensional
control. In this design the micromachine was released from the substrate by
etching away the silicon mold material. This was done either using a wet
KOH process, which progJ.1essed along a polysilicon sacrificial layer, or using
a ECR plasma employing only SF6 with no applied bias on the wafer. A
micrograph showing arcross section through an SF6 released part is given
in Fig. 10.17 and an example of the final part is shown in Fig. 10.18. The
F-based release process is isotropic in the absence of O 2 and ARDE is clearly
evident. There are many, potential advantages to the use of such a dry release
process. The major onebeing that in the absence of a liquid, stiction is no
longer a concern. During,wet release processes capillary forces generated dur-
ing the removal of liquid ,ean pull MEMS components into contact with each
other or with the substrate. The active nature of the surface can then result
in sticking (stiction). Release in a dry environment eliminates this problem.
A F-based release can also be performed using a simpler process relying on
the thermal decomposition of XeF 2 [29].

10.3.3.4 Combining the Best of Bulk and Surface Micromachining


Using Si {Ill} Substrates and Deep 'french Etching
As was discussed in more detail above, most micromachined devices can be
split into two major classes, those formed by bulk micromachining and those
10 Bulk Si Micromachining for Integrated Microsystems 437

Fig. 10.17. Cross section scanning electron micrograph showing a released mold
structure. The hybrid silicon nitride/silicon dioxide/TiN / W micromachine was re-
leased from the silicon mold substrate using a SF 6 plasma. ARDE is still clearly
observed in this more isotropic process.

Fig. 10.18. Scanning electron micrograph of the released accordion drive.

formed by surface micromachining. The attraction of bulk micro machining


is that it can produce parts which have high stiffness in the "Z" dimension
and relatively high masses for inertial sensors. However, there are only a lim-
ited number of possible shapes since the processes used are typically highly
orientation-dependent. On the other hand, using surface micromachining it
is possible to fabricate parts with arbitrary lateral shapes. However, these
438 R.J. Shul and J.G. Fleming

devices are limited in their vertical dimension and this limits both their mass
and "Z" dimension stiffness. The approach described here combines the flex-
ibility in patterning offered by surface micromachining with the potential
for thick layers offered by bulk micromachining without resorting to the use
of SOl or thick polysilicon material. This is enabled by deep silicon trench
etching and the use of KOH etching on a {Ill} substrate. The outline of the
process is given in Fig. 10.19. In this approach the thicknesses of both the
structural and sacrificial layers are determined by the depth of a deep sili-
con trench etch. Electrically insulating plugs can also be employed to isolate

Cross sec/ion views Top views

Photo define the desi red


pattem and etch through the
top oxide hard mask and
into the ilicon to a dep'th equilivant
to the desired final thicknes:; of the
pan

Create a fi lIet of oxide which


proteCts the sides of the pan.

nisotropic elch of ilicon.

Expo e the pans 10 KOH or other


anisotropic wet etch. The outside
comers of the pattern are undercut.
However. the expo cd
III I I planes are no\.

As the etch conti nues the parts


are funhcr undercut

After the desired amount of undercut the


oxide/nitride masks may be stripped.

Fig. 10.19. Schematic outline of the {11I} Si process. Both the thickness of the
structural and sacrificial layers were defined by the depth of a SF6/02 etch. The
parts were released using a wet KOH process.
10 Bulk Si Micromachining for Integrated Microsystems 439

Fig. 10.20. Oblique scanning electron micrograph of a part fabricated using this
approach. The thickness of both the sacrificial and structural layers are considerably
thicker than those which can b e obtained using traditional surface micromachining.

the parts from the substrate. Again a deep silicon trench etch was critical
in forming the plugs. Fig. 10.20 shows a portion of a micromachine formed
using this approach. The etch process used was 100 sccm of SF 6, 20 sccm of
O 2 , -30°C, 14mTorr, 15 W of power and 250 mAmps on the wafer. An etch
of 200 s results in a depth of 15/lm. A similar process was employed in the
sacrificial layer etch. Again , care had to be taken to understand the influences
that ARDE had on the design. In this particular instance, ARDE can be used
to advantage in that it can enable the simultaneous fabrication of thick proof
masses and thinner suspensions.

10.4 DRIE Results


10.4.1 DRIE versus ICP Etch Comparison

As mentioned earlier, Si can be etched in an ICP fluorine-based plasma at rel-


atively high rates, however good dimensional control, smooth etch morphol-
ogy, and high-aspect ratio etching can be difficult to achieve. In Fig. 10.21,
SEM micrographs show Si vias etched by (a) the DRIE process and (b)
an ICP-generated SF6 /0 2 plasma. The via etched using the DRIE "Bosch"
process was approximately 40/lm wide and etched to a depth of approxi-
mately 70llm while the vias etched in the ICP were 50 11m wide and etched
to a depth of approximately 150 /lm. The DRIE etch conditions were 23 mTorr
pressure, 100 sccm SF 6 , 40sccm Ar, 875 W ICP source power, 6 W cathode rf-
power with a corresponding dc-bias of - 25 to -50 V, and 20°C substrate tem-
perature. The DRIE deposition conditions were 22 mTorr pressure, 70 sccm
440 R.J. Shul and J .G. Fleming

'llllil - - -

a) b)
Fig. 10.21. SEM micrographs which show Si vias etched by (a) the DRIE process,
and (b) an ICP-generated SF 6 /0 2 plasma. The via etched using the DRIE process
was approximately 40 11m wide and etched to a depth of approximately 70 11m while
the vias etched in the ICP were 50 11m wide and etched to a depth of approximately
150 11m.

C 4 F 8 , 40 sccm Ar, 875 W ICP source power, 1 W cathode rf-power with a


corresponding dc-bias of approximately -5 V, and 20°C substrate tempera-
ture. The ICP etch conditions were 5 mTorr pressure, 50 sccm SF 6, 10 sccm
O 2 , 10sccm Ar, 500W ICP source power, 250W cathode rf-power with a
corresponding dc-bias of -350 V, and -40°C substrate temperature. Due to
the high dc-bias used in the ICP, the etch selectivity of Si to photoresist was
typically <2:1; therefore, a Ni mask was used to achieve etch depths >25 11m.
The Si etch rate obtained in the I CP was approximately 111m min -1. The
DRIE process used a photoresist mask due to etch selectivities of Si to pho-
toresist >75:1. The high etch selectivity was attributed to the deposition of
the sidewall polymer etch inhibitor, which also deposited on the resist. De-
spite ion bombardment of the surface, the deposited polymer significantly
reduced the erosion rate of the resist thereby improving the etch selectivity.
Additionally, the DRIE process required much lower dc-biases than the ICP
« -50 V as compared to -350 V) that significantly reduced the resist erosion
rate. The D RIE process yielded an etch rate of approximately 2.0 11m min- 1
with highly anisotropic etch profiles, good dimensional control, and smooth
etch morphologies. The sidewall polymer etch inhibitor deposited in the DRIE
process eliminated lateral etching of the Si resulting in via widths which were
essentially identical at the top and bottom of the feature and maintained the
dimensions of the resist pattern. However, in the ICP, lateral etching of the Si
was observed due to the absence of a sidewall polymer; this resulted in a con-
cave sidewall profile with a much wider opening at the top of the via than that
obtained at the bottom. Additionally, the sidewall was much rougher than
that achieved using the DRIE process. The lateral Si etching observed in
10 Bulk Si Micromachining for Integrated Microsystems 441

the ICP was somewhat surprising due to the low process pressure (2mTorr),
which reduces ion scattering and sidewall sputtering, and the low substrate
temperature (-40°C) which lowers the volatility of the etch products.

10.4.2 Etch Rates and Selectivity to Masking Materials

Si etch rates and selectivity to resist were evaluated for the DRIE process
as a function of chamber pressure, cathode rf-power, ICP source power, and
SF 6 flow rate. In Fig. 10.22, Si etch rates and etch selectivity of Si to pho-
toresist are shown as a function of pressure while the cathode rf-power, ICP
source power, gas flows, substrate temperature, and deposition parameters
remained constant. Plasma conditions change quite dramatically as a func-
tion of pressure. In particular, with increasing pressure the mean free path
decreases, the collisional frequency increases, and the residence time of the
reactive species increases. This typically results in changes in both ion en-
ergy and plasma density which strongly influence the etch properties. In the
DRIE process, Si etch rates increased as the pressure was increased from 15
to 20 mTorr, suggesting a reactant-limited regime at low pressures. Above
20 mTorr, the Si etch rate was relatively independent of pressure. Selectivity
of Si to photoresist was typically >50:1 with a maximum of approximately
95:1 at 25 mTorr. Etch profile and morphology were typically anisotropic and
smooth and relatively independent of pressure.
In Fig. 10.23, Si etch rates and etch selectivity of Si to photoresist are
plotted as a function of cathode rf-power for the DRIE process. All other

3.5 100
1\
3 / \ Selectivity

'2
·s i. \
75

8=. 2.5 / ~ .0
.;;
A--
'"
'-" 'p
50 u
.....
Q)
Q)
~
2 Q)
~ r/J
..c::
B
~
25
1.5

L -__ ~ ______- L_ _ _ _ _ _- L_ _ _ _ _ _- L______ ~ 0


15 20 25 30 35
Pressure (mTorr)
Fig. 10.22. Si etch rates and etch selectivity of Si to photoresist as a function of
pressure in the DRIE process.
442 R.J. Shul and J.G. Fleming

3.5 100

......\
3
..-... 75
r:::
·s
8::1. 2.5 .....
;>..

'-" \ 50 ...
:~
Co)

~ ~
Il)
Q)
~ 2 tZl
...........
---...
..r:::
B ........... ~
-
~ 25
1.5 Selectivity

I 0
0 5 10 15 20 25 30
RF Power (Watts)
Fig. 10.23. Si etch rates and etch selectivity of Si to photoresist as a function of
cathode rf-power for the DRIE process.

etch and deposition parameters remained constant. Si etch rates increased


by almost a factor of 3 as the cathode rf-power increased. Cathode rf-power
is closely related to dc-bias and ion bombardment energy. Faster etch rates
at higher dc-bias implies more efficient bond breaking of the Si surface bonds
and improved sputter desorption of the etch products (Le., SiF x) from the
surface. Additionally, as the ion bombardment energy increased so did the
sputtering efficiency of the polymer in the Si field, which was deposited during
the deposition cycle of the DRIE process. Under low rf-power conditions, the
polymer may not sputter as efficiently thereby reducing the Si etch rates.
Despite faster Si etch rates, the etch selectivity decreased quite dramatically
as the cathode rf-power increased due to faster sputter rates of the polymer
and faster erosion rates of the resist.
Dimensional control and etch profile can also be strongly dependent on
cathode rf-power. Under low cathode rf-power conditions, the etch profile
was positively tapered. At moderate cathode rf-powers, the profile was highly
anisotropic. Finally, under high cathode rf-power conditions, the profile be-
came reentrant. This trend can be observed in Figs. 10.24 and 10.25. In
Fig. 10.24, SEM micrographs show Si posts etched at (a) 8 and (b) 25 W
cathode rf-power. At 8 W, the etch profile was highly anisotropic at an etch
depth of approximately 23/lm. At 25 W, the etch depth was approximately
30/lm and a prominent reentrant profile was observed. The reentrant profile
observed under high rf-power conditions was attributed to more ion scatter-
ing at the base of the feature and higher sputter removal rates of the polymer
from the Si sidewall.
10 Bulk Si Micromachining for Integrated Microsystems 443

(a) (b)
Fig. 10.24. SEM micrograph of Si posts DRIE etched at (a) 8 Wand (b) 25 W
cathode rf-power. The re-entrant profile observed at 25 W cathode rf-power was
attributed to increased ion scattering and sputter removal of the sidewall polymer.

Top or trench
Single lCp !Ch

(a)

- 20 ~m wide, 75 J1Ill deep trench Bottom or trench Top or trench


3,slep Etch

(b)

Fig. 10.25. SEM micrograph of Si etched with (a) single step DRIE process (6 W
cathode rf-power) and (b) a three-step DRIE process with an aggressive step (8W
cathode rf-power) to increase the sputter desorption at the base of the trench.

In Fig. 10.25, Si trenches 15 to 20l1m wide were etched approximately


70 11m deep. In Fig. 10.25a, the DRIE process was operated at 6 W cathode
rf-power for 30 min. The etch rate was approximately 211m min - 1 at a dc-
bias of approximately -50 V. The trench profile was positively tapered with
444 R.J. Shul and J.G. Fleming

significant roughness at the bottom; however, the sidewall at the top of the
trench remained smooth while maintaining the critical dimensions. The rough
etch morphology at the bottom of the trench was attributed to inefficient
sputter removal of the deposited polymer due to ineffective ion transport. In
Fig. 1O.25b the DRIE process was separated into 3 steps, 1) the standard
process (6 W cathode rf-power) for 20 min followed by 2) a 5 min etch step
at 8 W cathode rf-power and 22% longer etch time and then 3) the standard
process for 5 min. The etch was approximately 15 11m deeper than the single
step etch process with an etch rate of approximately 2.5 11m min-I. The etch
was highly anisotropic with a slight foot at the base of the sidewall and a
smooth sidewall morphology throughout the feature. At higher rf-power, the
increased ion bombardment energy improved the sputter removal of the de-
posited polymer from the bottom of the trench and allowed chemical etching
at the base of the Si trench. Therefore feature size and density plays a critical
role in the DRIE etch results. As observed in Fig. 10.25, the etch/deposition
cycles must be carefully balanced in the DRIE process.
Etch results can also have a strong dependence on Iep source power due to
1) the change in concentration of reactive species which influences the chem-
ical component of the etch mechanism and 2) changes in ion flux which influ-
ences the physical sputter component of the etch mechanism. In Fig. 10.26,
the Si etch rates increased by approximately 30% as the Iep source power
increased. The Si etch selectivity to photoresist was less consistent ranging
from approximately 55:1 to 90:1. The low selectivity observed at 800W Iep
source power is not understood. Etch profiles were slightly reentrant and

3.5 100
.A
3 '\ /'
/'
Selectivity 75
""'"'
·s
s= \ ~

\./
/
'[ 2.5 .s:.0
'-'
Q)
50 .-=u
Q)
~ ~
~ 2 tI:l
..c:
u
rZ 25
1.5

1 0
700 750 800 850 900 950 1000
rep Power (ytf)
Fig. 10.26. Si etch rates and etch selectivity of Si to photoresist as a function of
Iep source power for the DRIE process.
10 Bulk Si Micromachining for Integrated Microsystems 445

rough under low ICP source power conditions, but highly anisotropic and
smooth under moderate to high ICP source power.
Due to the strong chemical component of the Si etch process in fluorine-
based plasmas (the high volatility of the SiF x etch products), etch rates are
expected to increase with higher concentrations of SF 6 . In Fig. 10.27, Si etch
rates and selectivity to photoresist are plotted as a function of SF 6 flow rate.
Si etch rates increased slightly as the SF 6 flow rate increased from 60 to
120 sccm implying a reactant-limited etch regime at low flow rates. However,
at 150sccm SF 6 the etch rate decreased implying a diffusion-limited regime.
The etch selectivity was quite low ( <50: 1) except at 80 sccm where the selec-
tivity was > 120: 1. Etch profile and morphology were essentially independent
of SF 6 flow rates.

Fig. 10.27. Si etch rates and etch selectivity of Si to photoresist as a function of


SF 6 flow rate for the DRIE process.

10.4.3 Aspect Ratio Dependent Etching (ARDE) in DRIE


As discussed in Sect. 10.3.2, the observation that smaller diameter vias and
narrower trenches etch more slowly than larger diameter vias and wider
trenches is often referred to as aspect ratio dependent etching (ARDE) or
RIE lag [28]. An example of ARDE obtained in the DRIE process is shown
in Fig. 10.28 for 1 and 3.5 ~m wide trenches. The etch depth for the 1 ~m
trenches was approximately 7.5 ~m while the 3.5 ~m trenches were etched to
a depth of approximately 9.5 ~m. The difference in etch depth is attributed to
transport of reactants and etch products into and out of the trenches [28]. As
446 R.J. Shul and J.G. Fleming

Fig. 10.28. SEM micrograph of


Si DRIE etch which demonstrates
ARDE. The l/lm wide trenches were
etched to an approximate depth of
7.5/lm while the 3.5/lm trenches were
etched to a depth of approximately
9.5/lm.

lateral dimensions decrease or the etch depths increase it becomes more dif-
ficult for the reactive species to diffuse to the bottom of the trench and more
difficult for etch products to be extracted. Ayon and co-workers observed
improved ARDE effects for the DRIE process under high SF 6 flow conditions
[6,7]. They attributed this observation to a reduction in redeposition of etch
products.
In Fig. 10.29, Si etch depths are plotted as a function of via diameter
for the DRIE process (23mTorr pressure, 100sccm SF 6 , 40sccm Ar, 850W
ICP source power, 8 W cathode rf-power, and 20°C substrate temperature)
at etch times of 30, 60, and 90 min. As expected, the etch depths increased as
a function of time. Also, as the via diameters increased from 10 to 300 11m, the
etch depth typically increased independent of etch time. This was attributed
to improved transport of reactants into the via and etch products out of the
via as the diameter increased. Above 300l1m via diameter, the etch depth
remained relatively constant independent of diameter for the 30 and 60 min
etches with only a slight increase for the 90 min etch indicating that ARDE
has less of an effect for wide feature dimensions.

10.4.4 Etch Selectivities


Selective etching of one material over another is critical in the fabrication
of many high-aspect ratio silicon structures. This is especially important for
membrane-based devices (including flexural plate wave (FPW) sensor de-
vices, microvalves, microheaters, bulk resonators , and accelerometers) where
the etch process must stop on a thin film layer typically <111m thick. Etch
selectivities of Si to several films exposed to the DRIE process are shown
in Table 10.l. DRIE etch conditions were 23 mTorr pressure, 100 sccm SF 6 ,
40sccm Ar, 850W ICP source power, 8W cathode rf-power with a corre-
sponding dc-bias of -25 to -50 V, and 20°C substrate temperature. As
10 Bulk Si Micromachining for Integrated Microsystems 447

250

200
, +--- -+ - - - - - -
~.0·······0 .................. ···.······

-B-30min
50 ··0 '60min
-+ -90min
o 500 1000 1500 2000 2500 3000

Via Diameter (~)


Fig. 10.29. Si etch depths plotted as a function of via diameter for 30, 60, and
90 min DRIE-etch times.

mentioned earlier, the high etch selectivity of Si to photoresist simplifies the


process sequence for deep high-aspect ratio features by eliminating the need
for hard masks. The high etch selectivities of Si to either Si0 2 or Si 3 N 4 ,
makes them excellent candidates for the membrane-based structures as will
be discussed below.

Table 10.1. DRJE etch rates and selectivity to Si.

Material Etch rate (Amin-I) Selectivity of Si

Si 25000
Polysilicon 5334 4.7:1
LPCVD silicon nitride 295 85:1
PECVD silicon nitride >1300 <20:1
LPCVD Si0 2 90 275:1
Thermal Si0 2 90 275:1
LPCVD SiON 165 150:1
PECVD SiON 310 80:1
SiC (bulk) 140 180:1
Photoresist (AZ-4903) 250 100:1
448 R.J . Shul and J .G. Fleming

10.5 DRIE Applications


10.5.1 Chemical Sensing Devices
The DRIE "Bosch" process has revolutionized the concept and implementa-
tion of mixed technology integration. Using the DRIE process, a Si substrate
may be etched to specific depths with highly controlled lateral dimensions
thus allowing accurate alignment of dissimilar components and materials to
one another. One such group of integrated microsystems is chemical sensors.
A schematic diagram of a (ChemLab integrated sensor is shown in Fig. 10;,30
[30]. This sensor consists of four major components; a) sample collection and
concentration, b) chemical separation, c) detection, and d) an exit region.
DRIE has been used in the fabrication of sample collection and concentra-
tion components as well as chemical separation components.

Sample Chemically
Collection! Selective Gas Flow
Se.,.r.tlon
Concentration Detection Control

Fig. 10.30. Schematic diagram of J.lChemLab. The system consists of (a) a sample
collection and concentration stage, (b) a separation stage, (c) a chemical detection
stage, and (d) an exit stage.

Manginell and co-workers fabricated a pre-concentrator using the DRIE


process to be used in the sample collection and concentration stage of the
chemical sensor mentioned above [31]. The pre-concentrator is based on a
membrane structure that is shown schematically in Fig. 10.31. A thermal
Si0 2 or low stress, low pressure chemical vapor deposition (LPCVD) silicon
nitride film was deposited on the frontside of the wafer. All frontside process-
ing (metallization, etch, etc.) was completed and protected with a 3 to 5 ~m
layer of photoresist. The Si via etch mask was then applied on the backside of
the Si wafer using a thick photoresist ('"'" 13 ~m of AZ 4903) and aligned to the
frontside membrane features using backside alignment techniques. The wafer
was then exposed to the DRIE etch process (where 400 to 685 ~m of Si is re-
moved) and etched to the Si0 2 or Si3N4 membrane which is typically <1 ~m
10 Bulk Si Micromachining for Integrated Microsystems 449

Protective
Photoresist layer Melallines

Membrane---t~Ii"Ii~"II~IiIlIl"IiIlIi__ ""IiIi.
Si Wafer----+...
Etched Via

Fig. 10.31. Schematic of a membrane-based device with a DRIE through-wafer


via, a thin film membrane, frontside meta l lines, and a protective photoresist layer.

thick. The results of this process sequence are demonstrated in Fig. 10.32 and
10.33. The SEM micrograph in Fig. 10.32 shows a 400!lm wide Si via etched
to a d epth of approximately 685!lm at an etch rate of 3.5!lm min -1. Due
to the high etch selectivity of Si to Si0 2 (sec Table 10.1), the DRIE process
essentially stopped on the thermally deposited Si0 2 layer which was 0.6!lm
thick. The via was highly anisotropic and maintained the dimensions of the
mask, however the sidewall morphology was somewhat rough due to vertical
striations and a slight Si foot was observed at the base of the sidewall. Ayon
and co-workers have also observed a foot at the base of many of their fea-
tures [6,7]. They have been able to significantly reduce the foot dimensions
by changing the deposition cycle of the DRIE process. The features observed
at the bottom of the via in Fig. 10.32a were frontside metal features which
could b e seen through the transpa rent thermal Si02 film. The SEM micro-

Fig. 10.32. SEM micrographs of a Si via DRIE etched to a depth of approximately


685 11m to a 0.6 11m thick thermal Si0 2 layer which acts as an etch stop. The via
diameter was approximately 400 11m.
450 R.J. Shul and J.G. Fleming

Fig. 10.33. SEM micrograph cross


section of a DRIE fabricated micro-
hotplate. The frontside Pt heater
lines are shown on top of the SiN
membrane. (From [31]).

graph in Fig. 10.33 shows a cross section of a DRIE through-wafer via hole,
which stopped on a silicon nitride membrane. The structure was used as a
microhotplate using the frontside Pt-metallines as the heater.
In Fig. 10.34, a packaged pre-concentrator containing 3 microheater mem-
brane structures formed using DRIE is shown [30]. The center micro-heater
was approximately 2.75 mm 2 , while the two smaller devices on either side
were 0.5 mm 2 . Due to the highly anisotropic profiles obtained in the DRIE, as
well as the ability to control the critical dimensions, the 3 microheaters were
placed on independent membranes thus minimizing thermal crosstalk and
increasing thermal sensitivity. Fabrication of this device, using wet chemical
etching, resulted in less efficient performance since the structures could not
be closely spaced due to the crystallographic nature of the wet-etch process.
In Fig. 10.35, the time response of a DRIB-etched micro heater to a square
voltage pulse is shown. The device reached approximately 200°C in <8 ms
and 44 m W of applied power was necessary to obtain a stable output.
DRIE was also used to fabricate spiral micro channels for open capillary
tubing gas chromatographic (GC) separation [32]. Micro-channel devices have
several advantages over more conventional GC structures including reduced
size and cost, lower dead volume, and design flexibility. The performance

Fig. 10.34. Photograph of a 24-PIN


DIP packaged microhotplate die fab-
ricated with the DRIE process. Tem-
perature sensors are located on either
side of the membrane device. (From
[31]) .
10 Bulk Si Micromachining fo r Integrated M icrosystems 451

56
200 \• o 0°0000 54

..
0

• 0
0

52
G
.
0
150 00
0
""0
2....-

.
Q) • 0
00
• Power 50 0
~
..... ,,0
Temperature
::s o.
0 ~

~ 100 0 48 ;:
.....
Q)
c9 •• :3
0.. c9 •••
S c9
0
•• 46 ~
50
i • •
Q)
Eo-<
• •••••• 44

0 42
0 2 4 6 8 10
Time [ms]
Fig. 10.35. Time response of a 1mm 2 microheater to a square voltage pulse . (From
[31]) .

of such devices is highly dependent upon optimization of channel l ength,


width, and depth. As shown schematically in F ig. 10.36, spiral m ic rochan-
nels are etched into a Si substrate using DRIE. Following fabrication of the
micro channels a thin fi lm was deposited over the m icrochannels to form the
stationary phase required for gas-phase separation. A Pyrex lid was t hen an-
odically bonded to t he Si substrate to seal the microchannels. Either stainless
steel or glass capillary tubes were epoxied to the Pyrex lid to introduce and
remove the gas from the microch annel. A DRIE-etched microcha nnel i sshown
in Fig. 10.37. The etch depth was approximately 240 l1m and t he channels
were 45 11m w id e. The spiral design was used to optimize channel length, while
minimizing consumption of wafer real estate. Micro-channels have been fabri-
cated with widths of 10 to 80 11m, depths of 150 to 330 11m, and lengths from
10 em to 1 m. F ig. 10.38 shows GC separation results for dimethyl methyl
phosphonate (DMMP) from benzene, toluene, and xylene. The plot shows

Fig . 10.36. Schematic d iagram of a GC mi-


crochannel. A Pyrex lid is anodically bonded
to t he Si wafer to seal the ch annels . Either
stainless steel or glass capillary t u bes are
epoxied to the Pyrex lid to introduce and
Flow Channels
remove t he gas from the microchannel.
452 RJ. Shul and J.G. Fleming

(a) (b) (c)


Fig. 10.37a- c. SEM micrograph of a GC micro channel DRIE-etched into a Si
substrate. The channels were etched to a depth of 240 J.1m and were 45 J.1m wide.

26.5
25.5 Xylene

S 24.5
.!!.
Q)
1/1 23.5 Benzene--_ _~
c
8.
1/1
22.5
Q)
D: 21.5
20.5
19.5
18.5
0 0.2 0.4 0.6 0.8 1
Time (min)

Fig. 10.38. Separation of dimethyl methyl phosphonate from benzene, toluene, and
xylene in aIm long, 40 J.1m wide, 250 J.1m deep DRIE etched GC micro channel. The
GC was operated at 40°C isothermal and a N2 carrier gas at 4 psi.

that these compounds can be effectively separated in a DRIE-fabricated GC


microchannel in less than 1 min.
Separation efficiency for either gas-phase or liquid-phase chromatography
may be improved by optimization of the stationary phase coating or increased
surface area within the microchannel. Increased surface area can be obtained
by etching high-aspect ratio Si features within the microchannel. This is
observed in Figs. 10.39 and 10.40. In Fig. 10.39, 3 f.lm posts were etched
in 100 f.lm wide microchannels approximately 30 f.lm deep. The spacing was
",3 f.lm with an aspect ratio of '" 10: 1. In Fig. 10.40, Si features were DRIE
etched to depths of ",135 f.lm in 300 to 1100 f.lm wide microchannels. The
structures were 25 f.lm wide with minimum separations of 50 f.lm. The features
were highly anisotropic and smooth with relatively high packing densities.
10 Bulk Si Micromachining for Integrated Microsystems 453

(a) (b) (c)


Fig. lO.39a-c. DRIE high-density, high-aspect ratio pins etched in a separation
column to increase surface area. The features are 311m diameter on a 311m pitch
and etched to a depth of approximately 30 11m.

(a) (b) (c)


Fig. l0.40a-c. DRIE high-density, high-aspect ratio features etched in a separation
column to increase surface area. The features were e t ched to depths of rv135 11m
and were 2511m wide with minimum separations of 50 11m.

10.5.2 Advanced Packaging

The DRIE process has also been used to accurately position discrete com-
ponents onto Si substrates. 8i substrates can be etched to multiple, specific
depths while retaining accurately controlled dimensions in the mask plane.
This permits accurate alignment of discrete components to one another. Thus,
DRIE can be used to integrate a variety of microsystem components, with
alignment tolerances < 10 !lm. Fig. 10.41 shows a schematic diagram of a Si
substrate, which could be used for mixed technology integration and advanced
packaging. For example, a well could be etched into the Si which could be
used as a "device locator" to accurately position a hybrid device such as an
edge-emitting laser or a vertical cavity surface emitting laser (VeSEL) onto
the 8i carrier. Using a second photolithography step, a trench could be etched
into the Si to a precise depth and location relative to the laser in order to
passively align an optical fiber. Also shown in the schematic are through-
454 R.J. Shul and J.G. Fleming

Alignment Pin
and Holes

Through Wafer Via Hole


Integrated Optical or Electricallnlerconnect
Microelectronic

Fig. 10.41. Schematic diagram of Si substrate used for advanced packaging of


integrated microsystems. The DRIE process could be used to etch "device locators" ,
alignment pins and holes, trenches, and through-wafer vias for electrical or optical
interconnects.

wafer via holes that could be used as either optical or electrical interconnects
to frontside controlling and/or drive circuitry and a series of alignment pins
and holes that could be used to accurately align multiple wafers for possible
multi-chip-module (MCM) assemblies.
Several of these concepts are demonstrated in Fig. 10.42 where a SEM
micrograph shows Si features etched simultaneously to a depth of ",250 11m. In
Fig. 10.42a the central square or "device locator" could be used to accurately
position a hybrid structure while the trench features could be used for either
electrical interconnects or extended to the edge of the wafer for an optical
fiber. In Figs. 1O.42b and c, high magnification SEM micrographs show the
high anisotropy, and smooth etch morphology of the sidewalls and the field.
Vertical striations were observed in the sidewalls possibly due to striations
in the photoresist mask which were transferred into the Si during the etch.

(a) (b) (c)


Fig. 10.42a- c. SEM micrograph of a device locator feature and 2 trenches for
electrical or optical leads.
10 Bulk Si Micromachining for Integrated Microsystems 455

Fig.l0.43a- c. SEM of (a) pins and (b) wells for (c) accurate wafer-to-wafer
alignment. The alignment tolerance was approximately 411m.

It is also important to notice that there is no sign of a foot or a fillet at the


interface of the etched sidewall and field.
Wafer-to-wafer alignment has also been demonstrated using the DRIE
process. In Fig. 10.43, SEM micrographs show a) 135 ~m wide Si pins etched
to a depth of ",360 ~m and b) 137 ~m wide Si wells etched to a depth of
400 ~m. In Fig. 1O.43c, the pins are inserted into the well with a tolerance of
",4 ~m. The Si samples were typically less than 2.5 cm 2 .

10.5.3 SOl DRIE Etching


As stated earlier in this chapter, the attraction of bulk micromachining is
that it can produce parts which have high stiffness in the "Z" dimension and
relatively high masses. The DRIE process can be used to etch anisotropic,
well controlled Si features with aspect ratios approaching 30: 1. As discussed in
Sect. 10.3.2, using a buried oxide layer or an SOl wafer, released Si features
can be fabricated as shown schematically in Fig. 10.44. In the first step,
a thermal oxide film is grown on one Si wafer. A second Si wafer is then
fused/bonded to the thermal oxide on the first Si wafer. In step 2 the top
Si wafer is lapped and polished to the desired thickness, typically <100 ~m.
In step 3, the wafer is patterned and DRIE-etched down to the "buried"
thermal oxide layer. Since the Si to thermal oxide etch selectivity is high
(Table 10.1), the etch is essentially stopped on the thermal oxide which allows
a reasonable overetch period to assure good etch depth uniformity across the
wafer. Finally, the wafer is exposed to a well controlled wet-etch (typically
HF) which selectively attacks the thermal oxide and does not attack Si. The
Si0 2 is also etched laterally, thus undercutting the top Si wafer. The wet
chemical etch is timed very accurately to release the desired Si features while
sections of the top Si wafer remain attached to the "buried" oxide layer
and act as anchors for the device. Thus released, movable single crystal Si
structures ten to one hundred micrometers thick can be fabricated with lateral
dimensions on the micrometer scale.
456 R.J . Shul and J.G. Fleming

~i Substrate ~
Step I:
Deposit thermal oxide r-----.;~~~~-----_f.- ThermalOltide
Fuse wafers ~i Substrate II - 5000 A thick

Si Sub trate 2

Step 2: F====~~=~======'I'- Thermal Oxide


- sooo Athick
Lap Si (2) to desired thickness L _____ S::u::b::tra=te::I:.I_ _ _ _ _-.J.
Is::,::
·

Si Substrate 2 : . : .:PhOti?r~ ::.: ~


.
Step 3: ____ Thermal Oxide
Pattern and Bosch etch ~i Sub !rate ij - 5000 Athick

Step 4: 1____
r _____~S~iS~u~b~st~rn~te~2~1~~~~~~~ Thermal Oxide
Release. wet etch thermal OXidel ~i Sub trate II . - 5000 A thick

Fig. 10.44. Schematic diagram of a released single crystal Si feature formed using
DRIE of SOl wafers.

An example of this process is shown in Fig. 10.45. Si actuators were etched


40 Ilm deep (the thickness of the top Si wafer) down to the "buried" thermal
oxide film. The actuator fingers were rv3.0 Ilm wide with an etch rate of
rv1.51lm min-t. The field area cleared out much sooner than either the fingers
or the etch release holes which were rv51lm2 ; this was attributed to ARDE.
Even though a thermal oxide layer is used as an etch stop, overetching to clear
both the fingers and the etch release holes resulted in a significant undercut
and loss of lateral dimensional control of 21lm wide springs (see Fig. 1O.45c).
Improvements in etch parameters, primarily pressure, or a mask redesign

(a) (b) (c)


Fig. 10.45a-c. SEM micrograph of single crysta l a ctua tors formed using DRIE of
SOl wafers. The actuators are 40 f.!m deep with 3 f.!m fingers and spaces . The springs
are significantly undercut in (c) .
10 Bulk Si Micromachining for Integrated Microsystems 457

where the diameter or density of etch release holes or the spring thickness is
increased is necessary.

10.6 Conclusions

The ability to etch deep, high-aspect ratio, anisotropic Si features has been
demonstrated using a variety of HDP etch techniques including ECR, ICP,
and "Bosch" DRIE. ECR and DRIE etch processes have been optimized
and applied to the fabrication of several integrated microsystems and MEMS
devices. The ECR etch process can be used very effectively for etching of
structures which require anisotropic profiles and high aspect ratios. However,
the ECR process does not lend itself to deep (>50 f.lm) Si etching. The DRIE
"Bosch" process enables high-aspect ratio etching of deep (often >600 f.lm)
Si features with highly anisotropic profiles and smooth etch morphologies.

Acknowledgements. The authors would like to acknowledge C. G. Willi-


son, L. Zhang, S. H. Kravitz, R. P. Manginell, G. C. Frye-Mason, W. K.
Schubert, S . .T. Pearton, and D. C. Hayes for their collaboration on this
work. The authors would like to also thank Pat Shea for SEM support. This
work was supported by the United States Department of Energy under con-
tract DE-AC04-94AL85000. Sandia is a multiprogram laboratory operated
by Sandia Corporation, a Lockheed Martin Company, for the United States
Department of Energy.

References
1. S.T. Picraux, and P.J. McWhorter, IEEE Spectr. 24 (December, 1998).
2. P.J. French, and P.M. Sarro, J. Micromech. Microeng. 8, 45 (1998).
3. C.P. D'Emic, K.K. Chan, and J. Blum, J. Vac. Sci. Tecnhnol. B 10,1105 (1992).
4. M. Francou, J.S. Danel, and L. Peccoud, Sensors and Actuators A 46-47, 17
(1995).
5. Patent No. 5501893: Method of Anisotropically Etching Silicon. Inventors:
Franz Laermer, and Andrea Schilp of Robert Bosch GmbH. Issued March 26,
(1996).
6. A.A. Ayon, C.C. Lin, R.A. Braff, M.A. Schmidt, R. Bayt, and H.H. Sawin,
Solid-State Sensor and Actuator Workshop 41 (June, 1998).
7. A.A. Ayon, R.A. Braff, C.C. Lin, H.H. Sawin, and M.A. Schmidt, .T. Elec-
trochem. Soc. 146, 339 (1999).
8. C. Seung-Bok Lee, S. Han, N.C. MacDonald, Solid-State Sensor and Actuator
Workshop, 45 (June, 1998).
9. P.A. Clerc, L. Dellmann, F. Gretillat, M.A. Gretillat, P.F. Indermuhle, S. Jean-
neret, Ph. Luginbuhl, C. Marxer, T.L. Pfeffer, G.A. Racine, S. Roth, U. Staufer,
C. Stebler, P. Thiegaud, and N.F. de Rooij, J. Micromech. Microeng. 8, 272
(1998).
10. R..T. Shul, C.G. Willison, and L. Zhang, Proc. SPIE 3511,252 (1998).
458 R.J. Shul and J.G. Fleming

11. R.J. Shul, C.G. Willison, C.T. Sullivan, S.H. Kravitz, and T.E. Zipperian, Elec-
trochem. Soc. Proc. 98-2, 564 (1998).
12. G.S. Oehrlein, in Reactive Ion Etching; Handbook of Plasma Processing Tech-
nology, (ed. S.M. Rossnagel), (Noyes, Park Ridge, NJ, 1988), p. 196.
13. Plasma Etching, (eds. D.M. Manos, and D.L. Flamm), (Academic Press, San
Diego, 1989).
14. B. Chapman, Glow Discharge Processes, (Academic Press, New York, 1980).
15. D.L. Flamm, and V.M. Donnelly, Plasma Chern. Plasma Process. 1, 317 (1981).
16. H.F. Winters, and J.W. Coburn, Surf. Sci. Rep. 14, 161 (1992).
17. H. Jansen, H. Gardeniers, M. de Boer, M. Elwenspoek, and J. Fluitman,
J. Micromech. Microeng. 6, 14 (1996).
18. I.W. Rangelow, and H. Loschner, J. Vac. Sci. Tecnhnol. B 13, 2394 (1995).
19. R. Legtenberg, H. Jansen, M. de Boer, and M. Elwenspoek, J. Electrochem.
Soc. 142, 2020 (1995).
20. Y.H. Lee, and Z.H. Zhou, J. Electrochem. Soc. 138, 2439 (1991).
21. R. Legtenberg, H. Jansen, M. de Boer, and M. Elwenspoek, J. Electrochem.
Soc. 142, 2020 (1995).
22. Y.H. Lee, and Z.H. Zhou, J. Electrochem. Soc. 138, 2439 (1991).
23. J. Asmussen, J. Vac. Sci. Technol. B 5, 328 (1987).
24. see for example, High-density Plasma Sources, (ed. O.A. Popov), (Noyes Pub-
lications, Park Ridge, NJ, 1996).
25. M.A. Lieberonan, and R.A. Gottscho, in Plasma Sources for Thin Film Depo-
sition and Etching, (eds. M.H. Francombe, and J.L. Vossen), Physics of Thin
Films Vol. 18 (Academic Press, San Diego, 1994).
26. R.J. Shul, C.G. Willison, and L. Zhang, unpublished results.
27. D.C. Hayes, and S.J. Pearton, private communication.
28. R.A. Gottscho, C.W. Jurgensen, and D.J. Vitkavage, J. Vac. Sci. Technol. B 10,
2133 (1994).
29. F.1. Chang, R. Yeh, G. Lin, P.B. Chu, E. Hoffman, E.J.J. Kruglick, KS.J.
Pister, and M.H. Hecht, in Proc. SPIE Microelectronic Structures and Micro-
electromechanical Devices for Optical Processing and Multimedia Applications,
Austin TX, Oct. 1995, pp. 117-128.
30. G.C. Frye-Mason, R.J. Kottenstette, E.J. Heller, C.M. Matzke, S.A. Casaln-
uovo, P.R. Lewis, R.P. Manginell, W.K Schubert, V.M. Hietala, and R.J. Shul,
/1- TAS '98, Third International Symposium, Banff, Alberta, Canada, October
12-16, 1998.
31. R.P. Manginell, G.c. Frye-Mason, W.K Schubert, R.J. Shul, and C.G. Willi-
son, Proc. SPIE 3511, 269 (1998).
32. C.M. Matzke, R.J. Kottenstette, S.A. Casalnuovo, G.C. Frye-Mason, M.L. Hud-
son, D.Y. Sasaki, R.P. Manginell, and C.C. Wong, Proc. SPIE 3511,262 (1998).
11 Plasma Processing of 111-V Materials

C. Youtsey and 1. Adesida

11.1 Introduction
The past two decades have witnessed the development of many new plasma
processes to meet the stringent requirements of II 1-V semiconductor device
fabrication. A significant limitation of wet etching methods is that they lead
to etch profiles with either isotropic or crystallographic characteristics. Many
optoelectronic components such as ridge waveguides, semiconductor laser
facets, distributed Bragg reflector gratings, and deeply etched via holes re-
quire a high degree of etching verticality. The importance of plasma-etching
methods lies in their ability to provide precise control over the energy, flux,
directionality, and chemistry of ions impinging upon the semiconductor sur-
face. Dry-etching processes utilize a combination of physical and chemical-
etch components to achieve high etch anisotropy and excellent dimensional
control.
The aim of this chapter is to provide an overview of the dry-etching
processes available for etching III- V materials, with a principle focus on the
CaAs, InP, and CaN material systems. Each of these materials places unique
requirements on the etching chemistry and etch process conditions. Typi-
cal gas mixtures for etching these materials are described. Other important
considerations during dry-etching are the etch rate, the extent of electrical
damage introduced into the semiconductor surface, etch uniformity and re-
producibility, and the smoothness and stoichiometry of the etched surfaces.
Since the fidelity of the pattern transfer during dry-etching is ultimately lim-
ited by the quality of the etch mask, a variety of masking methods are also
discussed in detail. Finally, a separate section describes several approaches
for carrying out selective dry-etching of III- V semiconductor materials.

11.2 Dry Etching Techniques


This section provides a brief overview of the most widely utilized methods
for dry-etching of III- V semiconductors.

11.2.1 Ion Beam Etching


Several plasma-etching techniques can be broadly categorized as ion beam
etching, including ion milling, chemically assisted ion beam etching (CAIBE),

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
460 C. Youtsey and I. Adesida

reactive ion beam etching (RIBE), and radical beam ion beam etching
(RBIBE). Common to each of these methods is a gridded ion source, which
generates a collimated beam of energetic ions. A plasma is generated in the ion
source discharge chamber by either dc (direct current) or rf (radio frequency)
excitation. In a dc (or Kaufman) ion source, ions are formed through collisions
with energetic electrons emitted by a cathode and accelerated through a low-
voltage dc discharge bias (typically 40 V or less, to minimize the formation of
doubly charged ions) [1]. The cathode may consist of a simple hot tungsten
filament, although the more expensive "hollow cathode" configuration can
provide much longer operation of several hundred hours or more. Alterna-
tively, the plasma may be generated by electron cyclotron resonance (ECR)
or inductively coupled rf excitation of the discharge chamber. One advantage
of rf-based sources is that ion beams of reactive species such as chlorine or
oxygen may be formed, which can rapidly degrade hot cathodes in Kaufman
ion sources. An ion beam is extracted from the plasma discharge by the ap-
plication of dc potentials to a pair of graphite or molybdenum grids, which
rapidly accelerate the ions to high energies typically ranging from 200-800 eV.
Beam current densities usually vary between 0.01-1 mA cm -2, depending on
the source operating conditions and the chamber configuration.
In the process of ion milling or sputtering, an inert ion beam (usually of Ar
ions) impinges upon the sample to be etched. Material is removed by momen-
tum exchange between the energetic Ar ions and the target substrate atoms,
which are ejected out of the surface of the substrate. The etching therefore
occurs through purely physical, instead of chemical, means. Ion milling gen-
erally provides relatively low etch selectivites between etch mask materials
and the underlying semiconductors. The etch profiles produced by ion milling
are usually anisotropic, but are generally not perfectly vertical. A significant
consequence of energetic ion bombardment (during any dry-etch process) is
the formation of electrical damage in the near-surface regions of the semi-
conductor. The threshold energy for physical sputtering is in the 20-40eV
range, and the extent and depth of electrical damage in the semiconductor
surface increases rapidly for ion energies above this level. Since most dry-etch
processes utilize a physical etch component to some extent, the production of
surface defects is always an important consideration. Electrical damage dur-
ing dry-etching of III-V semiconductors has been widely characterized and
the reader is referred to several recent reviews [2,3].
During chemically assisted ion beam etching (also referred to as ion beam
assisted etching), a chemical-etching component is introduced by directing a
separate flux of a reactive gas (usually Cb) at the sample. This process is il-
lustrated in Fig. 11.1. The formation of volatile reaction products at the semi-
conductor surface can lead to a significant increase in etch rates, as compared
to the purely physical sputter etch rate. In addition, the use of a chemical-etch
component usually greatly reduces the rate of damage formation. Reactive
ion beam etching utilizes an energetic beam of a reactive species such as chlo-
11 Plasma Processing of 111- V Materials 461

Argon Gas

1 1 1
Argon Ions
Reactive Gas ~~=~ 1 1 1 1
" ,', 'I, I, 1 1 Sample
, ) ,I I 1 , k'" ~ Evacuation

Reaclive Gas Flux

Fig. 11.1. Chemically assisted ion beam etching process configuration.

rine (compared to an inert ion beam as in CAIBE) to obtain similar benefits


of high etch rates, improved etch anisotropy, and diminished damage forma-
tion , as compared to ion milling. CAIBE offers the advantage over RIBE ,
however, that the physical etch components (ion energy and current density)
and chemical-etch components (reactive gas flow rate, sample temperature)
can be varied almost independently, providing an enhanced degree of flexi-
bility in process development . Although much less widely utilized, RBIBE is
similar to CAIBE, with the addition of a microwave cavity to "crack" the
reactive gas (usually C1 2 ) into radicals before delivery to the sample. This
flux of radicals is more reactive than Cl 2 alone and provides increased etch
rates and improved control over the chemical-etch component.
An important feature of ion beam methods is the ability to carry out an-
gled etching. Since the ion beam is remotely generated, the orientation of the
etch sample may be independently manipulated during etching. By tilting the
sample relative to the beam and rotating during the etching, an etch profile
that would otherwise be positively sloped or overcut can be made perfectly
vertical. The possibility also exists for other interesting applications, such as
the etching of angled or curved facets. Donnelly et al. [4] have demonstrated
the "micromachining" of parabolic curved reflectors by continuously adjust-
ing the substrate angle under computer control during a CAIBE process.
The ease with which the chemical and physical etch components can be
controlled during CAIBE has enabled fundamental investigations into the
mechanisms of dry-etching. Nearly all dry-etch processes utilize a combi-
nation of chemically reactive species and energetic ion bombardment. The
complex interplay between gas-surface chemical reactions and energetic radi-
ation was first investigated in detail by Coburn and Winters [5]. In a classic
experiment, the etch rate of Si was investigated using a CAIBE experimen-
tal setup with Ar+ ions and XeF 2 gas. The Si etch rate using simultaneous
fluxes of 450eV Ar+ ions and XeF 2 gas was more than an order of magnitude
higher than that obtained using independent fluxes of Ar+ ions or XeF 2 gas
alone.
462 C. Youtsey and I. Adesida

This result highlights the importance of ion-enhanced surface chemistry


in dry-etching. There are several ways through which ion bombardment can
enhance the gas-surface reaction rate: (1) by increasing the efficiency of ad-
sorption and dissociation of reactive species on the semiconductor surface, (2)
by providing energy to the surface which enables or enhances the formation
of reaction products, or (3) by increasing the rate at which reaction products
are desorbed. Which of these mechanisms is predominant depends upon the
specific material being etched and the chemistry utilized. In the case of etch-
ing of Si using XeF 2 , the rate-limiting step was found to be the supply of F
atoms to the surface reaction (i.e., case (1)); the principal role of the Ar+
ion bombardment is to enhance the rate that the active gas is dissociatively
chemisorbed at the silicon surface [5].
Ion-enhanced surface reactions enable the production of highly anisotropic
etch characteristics that would not be possible through purely chemical or
physical etch processes alone. High etch rates occur at surfaces that are
exposed to the directional flux of energetic ions, whereas surfaces that are
not bombarded by ions (i.e., vertical sidewalls) can be made to etch much
more slowly. This differential in lateral and vertical etch rates determines the
anisotropy of the etching. As discussed later in this chapter, the passivation
of vertical sidewalls by involatile species plays an important role in mini-
mizing sidewall etching and improving etch anisotropy for many dry-etching
applications.

11.2.2 Reactive Ion Etching


Reactive ion etching (RIE) is perhaps the most widely utilized dry-etch tech-
nique and requires relatively simpler apparatus compared to ion beam etching
methods. The typical RIE configuration is shown in Fig. 11.2. A glow dis-
charge is generated by rf excitation of two parallel electrodes. The sample sits
immersed in the plasma on the lower, powered electrode; the grounded cham-
ber walls frequently serve as the upper electrode (as illustrated in Fig. 11 .2).

Reactive gas ~l
~ t Grounded metal
chamber
Plasma

Sample
itt" ~ EvacuatIon
./,..i"~"Iil(iiIi(~"IilI""Iil(iiIi(~((a!;. ~
Powered electrode ~COUPling capac~or

~ 13.56 MHz RF Source

Fig. 11.2. Reactive ion etching (RIE) chamber configuration.


11 Plasma Processing of 111- V Materials 463

Due to the much higher mobility of the electrons compared to the heavy
ions within the plasma, the electrons respond more rapidly to the applied ac
field between the electrodes, which causes the metal plates to charge up to a
negative potential relative to the body of the discharge. Space-charge regions
(sheaths) are formed near each electrode and dc potential drops occur across
these regions. The lower electrode is designed to be significantly smaller in
area than the upper electrode, so that a larger dc voltage develops across
the smaller sheath capacitance occurring at the lower electrode. Ions which
stray into this high-field region are accelerated across it and strike the lower
electrode (on which the sample is placed) at near-vertical incidence. The ions
have energies corresponding approximately to the rf-induced dc bias (or "self
bias" ). It should be noted that the sample sitting on the lower electrode is
also subjected to a constant flux of neutral gas atoms and molecules [6].
In addition to the plasma chemistry (discussed in more detail for each
material system later), the key parameters in RIE include the process pres-
sure (typically between one and several hundred mTorr) and the rf power
density. The rf-induced dc bias is a function of both the process pressure and
the rf power. High process pressures and low sheath voltages result in pre-
dominantly chemical-etching of the substrate. Conversely, for low pressures
and high sheath voltages, physical ion sputtering is prevalent. As discussed
previously, optimized etch processes with high etch rates and high anisotropy
result from a balance of chemical and physical etching. Other important vari-
ables include the ion flux and the relative densities of ionized as well as neutral
gas atoms and molecules, which, in turn, are complex functions of the pres-
sure, power density, gas flow rates and compositions. The chamber geometry,
electrode and chamber wall materials, and pumping speed also influence the
etching characteristics. Etch processes frequently result in deposition of poly-
mers or other involatile materials on exposed surfaces within the chamber,
which can affect plasma operating conditions. To obtain reproducible results,
it is often necessary to "condition" the chamber by running a process for a
duration before etching an actual sample.
The large parameter space and closely coupled process variables of RIE
represent a considerable challenge for process optimization. For this reason,
a systematic modeling of the parameter space using techniques such as the
surface response methodology (SRM) is very useful for process optimization
[7,8]. These methods are frequently used in other fields such as chemical engi-
neering, in which complex processes must be optimized with respect to a large
set of process parameters. They involve a carefully considered sampling of the
multiparameter space and empirical modeling of the response surfaces, such
as etch rate or sidewall angle, as functions of the experimental variables. The
maximum amount of information can be extracted from a minimum amount
of experiments and relationships between process variables are revealed that
are not apparent from conventional, one-variable-at-a-time approaches.
464 C. Youtsey and I. Adesida

11.2.3 High-Density Plasma Reactive Ion Etching

During the past decade, a variety of enhancements have been made to the
conventional RIE system design to increase ion densities within the plasma
discharge. Motivating these changes is the need to reduce ion energies (and
thereby minimize ion-induced damage) while maintaining high etch rates and
good etch anisotropy. The use of high-density plasmas allows very high ion
fluxes to be achieved, which enable higher etch rates at lower ion energies
and lower process pressures compared to conventional RIE. In addition, high-
density pIa-sma RIE methods provide a means of varying the ion flux during
etching, which is an important new degree of freedom for process optimiza-
tion.
High-density plasma RIE techniques have evolved through three principle
variations, including magnetron RIE, electron cyclotron resonance (ECR-)
RIE, and inductively coupled plasma (ICP-) RIE. Magnetron RIE was the
first departure from the conventional RIE setup and utilizes applied magnetic
fields within the plasma discharge. The magnetic fields cause electrons within
the plasma to travel in cycloidal orbits instead of straight trajectories. The
magnetically-enhanced discharges enable enhanced dissociation of the plasma
by confining electrons within the discharge and away from the chamber walls
where they recombine. High-density, low-energy plasmas may be produced
by this technique using pressures as low as 1 mTorr.
Fig. 11.3a shows the chamber configuration for ECR-RIE, which, like
magnetron RIE, makes use of a static magnetic field. In ECR-RIE, microwave
energy is coupled to the natural resonant frequency of the electron gas. For
a magnetic field strength of 875 G, the electrons within the plasma move in
circular orbits at a resonance frequency equal to that of the applied microwave
field at the industrial frequency of 2.45 GHz. This effectively heats up the
electron distribution and leads to high ionization efficiencies. The ions within
the ECR discharge have low energies « 15 e V); an additional rf biasing of
the lower electrode can then be used to control the energy of ions striking
the sample (as in conventional RIE). Lower collimating magnets (seen in
Fig. 1l.3a) are also sometimes used to maintain a high plasma density at the
lower electrode and to improve etching uniformity.
Inductively coupled plasma RIE is the most recently introduced next-
generation high-density plasma-etching technique. ICP sources are simpler
and more economical to construct than ECR-RIE and may be more readily
scaled for production applications. Figure 11.3b illustrates the chamber con-
figuration for ICP-RIE. The ICP plasma is generated in a dielectric chamber
that is encircled by an inductive coil, to which microwave (2 MHz) rf power
is applied. A strong magnetic field is produced in the center of the cham-
ber together with a circular electric field region that exists concentric to
the coil. Similar to ECR-RIE, plasma densities in the range of 1 x 10 11 -
1 X 10 12 ions cm -3 range may be generated within the ICP discharge. This
plasma density is as much as two to three orders of magnitude higher than in
11 Plasma Processing of 111- V Materials 465

@ 2.45 GHz Microwave

Reactive Gas ~

Plasma

Powered Electrode Evacuation


~~~~~

Collimating Magnets

I
(a)

-::I
"'1
~ , MH",~,
React,ve Gas
""""" _ Sopp"

Chamber .,. -;~~~~~~;.--=:sr--~ Evacuation


I:: -----Ba

(b)

Fig. 11.3. (a) Electron cyclotron resonance reactive ion etching (ECR-RIE) and (b)
inductively coupled plasma reactive ion etching (ICP-RIE) chamber configurations.

the capacitative discharges of conventional parallel-plate RIE systems. The


low-energy, high-density plasma diffuses from the generation region to the
substrate, where the ion energy may be independently controlled by apply-
ing an rf bias to the substrate electrode.
The advantages of high-density plasma systems over conventional RIE
may be summarized simply. They allow the production of high ion fluxes
with low energies, which enables low-damage etching while still achieving high
etch rates. ECR- and ICP-RIE provide independent control over the ion flux
through variation of the ECR and ICP rf powers. The ion energy may also be
controlled by independently superimposing an rf bias on the sample electrode.
As noted previously, high etch anisotropy is obtained by accelerating ions at
normal incidence to the sample through the sheath potential developed at
the lower, powered electrode.
466 C. Youtsey and 1. Adesida

11.3 Masking Materials and Methods

For many III-V semiconductor optoelectronic devices, optimum device per-


formance depends upon the formation of exceptionally smooth and vertical
dry-etched facets. This is particularly true for etched mirrors in semicon-
ductor lasers and the etched sidewalls of ridge waveguides, for which opti-
cal scattering losses can significantly degrade overall device efficiency. The
required processing goals may be quite stringent, including etched sidewalls
that deviate from verticality by no more than several degrees and edge rough-
ness of less than 30 nm. The attainment of excellent results requires not only
an optimized dry-etch process, but also careful consideration of the mask-
ing material and the process by which it is patterned. The recent advent of
high-density plasma-etching as well as the significant new interest in III-V
nitrides places particularly rigorous demands on etch masks. High-density
plasma methods can achieve extremely high ion fluxes, which often leads to
significant etching of the masking material and a consequent low etching se-
lectivity. As discussed later in this chapter, the group-III nitrides generally
require a strong physical etch component due to their low chemical reactivity,
which also leads to increased attack of the etch mask.
The most common dry-etching mask materials include metals (such as
Ni, Cr, NiCr, Ti, AI, W, Pt), dielectrics (SiO x and SiN x ), and polymers
(photoresist and electron-beam resist). There does not exist a perfect mask
material for dry-etching and each of these each presents a variety of trade-
offs. Metals generally have low sputter rates and make durable etch masks.
In addition, metals offer the possiblity of self-aligned device processing, in
which a metal pad first serves as an etch mask and then subsequently pro-
vides an electrical contact to the top of the etched structure. However, the
finite grain size of metal films may limit the ultimate edge smoothness at-
tainable by dry-etching. Vettiger et al. [9] have surveyed a wide range of
single-layer metal mask materials for use in etching GaAs-based laser facets
by CAIBE. In all cases, the smoothness of the etched facets was limited to
about 100 nm. Dielectric layers such as SiO or Si0 2 were reported to pro-
duce better results (although it was noted that reproducibility could be a
problem with these materials.) The smoothest etching was obtained using a
hard-baked AZ-photoresist patterned using a multilayer, hard-baked resist
processing technique.
For the processing of the multilayer resist mask, a bottom layer of AZ-
photoresist was first spun on and hard-baked. Baking of photoresist layers at
temperatures above approximately 250°C pyrolyzes the polymer film, render-
ing it insoluble in most solvents and improving the dry-etch resistance [10].
A thin SiO intermediate layer was then applied (metal layers such as Ti can
also be used), followed by a top photoresist imaging layer that was patterned
by contact printing. The top resist pattern was transferred into the SiO by
CF 4-RIE and into the bottom hard-baked resist layer by 02-RIE. The result-
ing hard-baked etch mask exhibited extremely smooth edges with roughness
11 Plasma Processing of III-V Materials 467

on the order of 10-20 nm. Etching selectivities of between 15-50 were ob-
tained when etching GaAs using typical CAlEE conditions. The hard-baked
photoresist mask removed by oxygen ashing and rinsing in solvents [9].
Multilayer masking techniques can lead to etch masks with excellent side-
wall smoothness and verticality, but require a large number of processing
steps. In many cases, it is simpler to use photoresists or electron-beam resists
directly as a dry-etch mask. Most photoresists provide reasonable dry-etch
resistance. The dry-etch resistance of photoresists can be improved by using
post-development bakes and ultraviolet exposure. However, photoresists will
reflow if exposed to temperatures greater than their glass transition tem-
perature (usually around 120°C) and are, therefore, not suitable for high-
temperature etching conditions. High-density plasma-etching can also lead
to significant heating of the substrate due to the ion bombardment, which
may necessitate heat-sinking of the substrate.
Electron-beam resists have also been used as dry-etch masks. Polymethyl
methacrylate (PMMA) is widely used as an electron-beam resist, but has
relatively poor dry-etch resistance compared to novalac-based photoresists.
To improve the etch selectivity, one common procedure is to transfer the
PMMA resist pattern into an intermediate layer of silicon oxide or silicon
nitride using a fluorine-based RIE process (typically using CF 4 /H 2 , CHF 3 ,
or SF 6 gases). The recently introduced ZEP series of positive electron-beam
resists fom Nippon Zeon Co. is reported to provide significantly improved dry-
etch resistance compared to PMMA. Negative electron-beam resists such as
SAL-60l from Shipley, Inc. also have better dry-etch durability than PMMA
and have been effectively used as etch masks for CAIBE of GaAs [11].
Mask erosion is a problem frequently encountered during dry-etching.
This phenomenon can lead to the rapid deterioration of etch masks and is a
direct consequence of the angular-dependent etch rate of etch mask materials.
The etch rates of many materials increase substantially for ions impacting
at off-normal incidence [12]. Figure 11.4 illustrates the time evolution of an
etch mask during the course of an etch process. The initial mask profile in
Fig. 11.4a is shown to be vertical. Frequently, however, the top edges of the
etch mask will exhibit some degree of rounding. These rounded edges which
are exposed to oblique incidence of the ion beam will etch more rapidly
than the top, planar surface of the etch mask. As seen in Figs. 11.4b and c,
angled facets form in the etch mask edges at the optimum angle for etching,
which then rapidly erode inward. Degradation of the intended etch pattern
occurs when these facets enlarge to reach the underlying substate, shown in
Fig. l1.4d. This can have a number of undesirable consequences, including
enlargement of the etch pattern and the introduction of significant roughness
into the etched sidewalls.
Figure 11.5 shows a pattern etched 5-J.lm-deep into GaAs by ICP-RIE
using a chlorine plasma and a 400-nm-thick Si0 2 etch mask. The bottom
3 J.lm of the etched sidewalls are seen to be smooth and vertical, while the
468 C. Youtsey and I. Adesida

Etch mask
~ ~

(a) (b)

(c) (d)

Fig. 11.4. Evolution of mask erosion during dry-etching and its effect on the side-
wall profile. Figures (b) and (c) illustrate the faceting of the etch mask during the
dry-etch process, while in (d) the semiconductor sidewall profile begins to deterio-
rate due to the eroding etch mask.

Fig. 11.5. GaAs features etched 5-llm-deep using ICP-RIE with Cb gas and a
silicon oxide etch mask. The ragged sidewall features resulted from over-etching
and the subsequent lateral erosion of the oxide etch mask.

top 211m are quite rough. The sidewall roughness resulted from erosion of the
Si0 2 etch mask, which, as it receded, led to an attack of the etched sidewalls.
Although a significant portion of the oxide etch mask still remains on the
sample in Fig. 11.5, the erosion of the mask edges limited the maximum etch
depth that could be achieved before degradation of the sidewalls started to
occur.
The onset of mask erosion can be delayed by increasing the thickness of the
etch mask. In addition, it is usually desirable to begin with an etch mask that
has perfectly vertical sidewalls. An etch mask with positively sloped sidewalls
11 Plasma Processing of 111-V Materials 469

will erode much more rapidly. In the case of a photoresist or electron-beam


resist mask, optimization of the resist processing conditions can usually im-
prove the verticality of the resist profile, which will then result in the most
accurate dry-etch pattern transfer. In some cases, a slow rate of mask ero-
sion may actually improve the etch characteristics. Hagberg et al. [13] have
demonstrated that a slow and controlled mask erosion can compensate for
moderate undercutting of the etch mask obtained during CAIBE of GaAs. Us-
ing a perfectly vertical etch mask minimized the mask erosion, but produced
etched GaAs sidewalls that were bowed slightly inward due to undercutting
during the CAIBE process. By using an etch mask that had sidewalls with
a moderate positive slope, the slow rate of mask erosion resulted in more
vertically etched GaAs sidewalls.
Dry-etch processes are capable of exceptionally high-resolution pattern
transfer and can replicate mask edge variations as small as 30 nm or less.
Etched facet roughness originating in the etch mask usually appears as closely
spaced, vertical striations that propagate along the entire height of the etched
sidewalls (sometimes described as a "shower curtain" effect). This roughness
may arise due to degradation of the etch mask during the etch process, or
may be present in the original etch mask. For this reason, the patterning
process itself and intermediate pattern transfer steps must be closely exam-
ined. The finite grain size of metal mask layers has previously been addressed
as one origin of edge roughness. During optical lithography, much edge rough-
ness can originate from the quartz mask plate pattern. Direct-write electron-
beam lithography is capable of exceptionally smooth pattern definition. This
smoothness may, however, be degraded during intermediate pattern transfer
steps.
As an example, Fig. 11.6a shows a 400-nm-thick layer of silicon oxide that
was etched by RIE (with CHF 3 and Ar gases) using a 300-nm-thick masking
layer of PMMA patterned by electron-beam lithography [14]. The significant
edge roughness observed arose during the RIE oxide etching. Etching using
carbon-containing gases frequently leads to the production of polymers which
deposit on chamber and sample surfaces. This polymerization was probably
a contributing factor to the edge roughness seen in Fig. 11.6a. Using an
optimized RIE process, considerably improved results were obtained as shown
in the etched oxide pattern of Fig. 11.6b, which more accurately represents
the original PMMA resist pattern. An oxide etch mask similar to that in
Fig. 11.6b has been used to produce very smooth etched GaAs profiles using
ICP-RIE (shown in Fig. 11.7a later.)

11.4 Dry Etching Chemistries

The most common gas mixtures for dry-etching of III-V semiconductors


are based on either chlorine or CH 4 /H 2 , although a variety of iodine- and
bromine-based chemistries have also been utilized. Table 11.1 summarizes
470 C. Youtsey and I. Adesida

(a)

(b)
Fig. 11.6. Etched oxide patterns illustrating the evolution of significant edge rough-
ness during intermediate pattern transfer steps. The oxide mask patterns seen in
(a) and (b) were formed using different CHF 3/ Ar RIE etch conditions using the
identical PMMA electron beam resist mask pattern. The roughness in (a) proba-
bly occurred due to excessive polymer deposition during the RIE process. (Images
provided by Nanovation Technolgies, Inc.).

Fig. 11.7. GaAs microring resonator etched by ICP RIE using Cb gas at chamber
pressure of 3 mTorr using a Si02 etch mask. The waveguides were definined by
direct-write electron beam lithography and have a width of 0.4 11m. The etch depth
is approximately 2.5Ilm. (Image provided by Nanovation Technologies, Inc.).
11 Plasma Processing of 111-V Materials 471

Table 11.1. Common gases used for dry-etching of 111- V semiconductors.

Cl-based
Cb, HCI, SiCI 4 , CCI4, BCh, PCb, CHCbF, CHCIF 2, CCbF 2 , ICI
CH4-based
CH 4 /H2, CH 4 /He, C 2H 6 /H 2
Br-based
HBr, CF3Br, Br2, IBr
I-based
HI, CH 3 I, b, ICI

the important gases that have been explored for dry-etching of III-V ma-
terials. A key consideration that motivates the use of a particular gas mix-
ture is the volatility of the chemical reaction products that are formed. For
purely chemical dry-etch processes (without ion bombardment), the reaction
products must be sufficiently volatile to leave the semiconductor surface by
spontaneous desorption alone. Most dry-etch processes make use of a physical
as well as a chemical-etch component; the former can aid in the desorption
of even involatile reaction products by sputtering. It should be noted that
the presence of involatile products on the semiconductor surface can lead
to nonuniform etching and the formation of surface roughness. In addition,
involatile species removed by sputtering can redeposit on the sample, degrad-
ing etch anisotropy and producing positively sloped sidewalls [15,16]. High
etch rates and smoothly etched surfaces are most easily obtained when the
reaction products exhibit high volatility. Other important considerations in-
clude the ease with which the gases can be delivered, the toxicity of the
gases, and the compatibility of the gases with the construction materials of
the dry-etching system.

Table 11.2. Boiling points of group III and Si halides [17].

Chlorides Iodides Bromides Fluorides

Al AICb 262 A1I3 360 AlBr3 263.3 AIF3 sub!. 1291


Ga GaCb 535 GaI 3 sub!. 345 GaBr3 278.8 GaF 3 ca. 1000
GaCb 201.3
In InCI 608 InI 711-715 InBr 662 sub!. InF 3 >1200
InCb 530-570 InBr2 632 sub!.
InCh volat. 600 InBr3 sub!.
Si SiCI 4 57.57 SiI 4 287.5 SiBr4 154 SiF 4 -86
SiCI6 145 Sb Br6 240 Si2F 6 -18.5
472 C. Youtsey and I. Adesida

Table 11.2 summarizes the boiling point temperatures of different group


III and silicon compounds formed by reaction with the halogenated gases
[17]. These boiling points provide a useful indicator of the effectiveness of
the different reaction chemistries. The group V halides are generally quite
volatile at room temperature and were not included in the table. Chlorine
forms volatile reaction products with most group III and group V elements
and is widely utilized for this reason. However, indium chlorides are relatively
involatile at room temperature, which leads to lower etch rates and rough
surfaces when etching In-based semiconductors. The desorption of indium
chlorides can be enhanced by elevating the substrate temperatures above
"'140°C, although etching at high temperatures is incompatible with some
etch masks such as photoresist.
Unlike the majority of group III halides, group III fluorides are particu-
larly involatile at room temperature. As a consequence, very minimal etching
of III-V semiconductors is observed in fluorine plasmas. In contrast, silicon is
effectively etched in fluorine chemistries. This characteristic is very useful for
etching of silicon oxide and nitride on top of I II-V semiconductors in fluorine
discharges, which will stop etching when the underlying II 1-V semiconductor
is reached. Aluminum fluorides are also particularly involatile and difficult
to remove, a fact which can be exploited to obtain selective etching of II 1-V
heterostructures with AI-containing layers. This is accomplished using plas-
mas containing both CI and F species, such as with SiCI4/SiF 4 or CCbF2
gas mixtures, which have been used to obtain an etch stop reaction when
etching GaAs on top of AIGaAs [18].
As demonstrated in Table 11.1, a wide variety of gases are available to de-
liver chlorine species in a plasma. CCbF2 (or Freon 12) is popular for etching
GaAs because it is nontoxic and noncorrosive. Carbon-containing gases such
as CCbF2 or CCl4 have the tendency to form solid polymer by-products
on chamber and sample surfaces, however, which may necessitate frequent
chamber cleaning. Cl2 is also widely used in RIE and is almost exclusively
used with ion beam methods such as CAIBE because of its high reactivity
even in the molecular state. A pure-Cb plasma can have difficulty removing
native GaAs oxides, which may lead to an "incubation time" before etching
commences as well as the formation of surface roughness [19]. Thin surface
oxide layers can be removed by physical sputtering by ions with sufficiently
high energies. Alternatively, gases such as BCb or SiCl4 are frequently used
instead of or in combination with Cb, since they are strong reducing agents
and attack the oxide layers more readily. Aluminum-containing materials
such as AIGaAs represent an even more serious problem due to the ease
with which they are oxidized, particularly for high aluminum concentrations.
This problem is exacerbated by even small amounts of water vapor or oxygen
in the etching chamber and can lead to significantly different etch rates for
GaAs and AIGaAs. Equirate etching of GaAs and AIGaAs generally requires
a high-quality vacuum environment obtained using a load-locked etch cham-
11 Plasma Processing of 111- V Materials 473

ber. BCl3 or PCl3 are often used in combination with Ch due to their ability
to getter water vapor in the process chamber as well as attack the aluminum
oxides as they form.
Halogenated process gases are frequently mixed with inert gases such as
Ar, N2 or He. The addition of such gases can provide a more stable dis-
charge and easier plasma ignition. The introduction of inert gaseous species
also provides an additional degree of process control, since the balance be-
tween chemical and physical etching can be adjusted by varying the relative
flow rates of inert and reactive gases. Etch rates can often be maximized by
introducing a certain amount of inert gas into the mixture to enhance the
sputter-induced desorption of etch products. Shul et al. [20] have found that
Ar is a more effective addition than N2 for enhancing etch rates of GaAs
in both ECR and ICP Ch plasmas, a consequence of the greater sputtering
efficiency of Ar compared to N 2 . Similarly, Chaplart et al. [21] have reported
that the addition of Ar to a CCl 2 F 2 plasma increases GaAs etch rates by help-
ing to remove involatile fluorides that inhibit the etching. For gas mixtures
with greater than 60% Ar, however, etch rates drop off due to the decrease
in available reactive CI species.
The addition of oxygen to halocarbon discharges such as CChF 2 has been
observed to enhance etch rates by increasing the amount of reactive species
in the plasma [22]. Oxygen is also frequently added to halocarbon and alkane
(CH 4 , C 2 H 6 ) plasmas to minimize the deposition of polymers on sample
and chamber surfaces. However, the presence of oxygen in a plasma also
significantly degrades organic resists during etching, which may necessitate
the use of metal or dielectric etch masks.
The CH 4 /H 2 etching chemistry was developed as an alternative to chlorine
for etching of In-based materials. This chemistry etches all III-V compounds
at room temperature with smooth etch morphologies, although etch rates
are considerably slower compared to halogenated gases. CH 4 /H 2 discharges
are relatively tolerant of water vapor contamination of the process chamber,
which enables etching without the complexity of a load-lock system. A key
disadvantage to etching with CH 4 /H 2 plasmas is the deposition of polymer
within the process chamber. As discussed later in this chapter, the deposition
of polymer on the etch mask can degrade etch anisotropy unless the process
is carefully optimized. The polymer can usually be removed from chamber
walls by an O 2 plasma cleaning after each etch process. Another consideration
when using H 2 -containing plasmas is the potential passivation of dopants in
the semiconductor [23].
Although less widely utilized, iodine- and bromine-based disharges are
also alternatives to chlorine and CH 4 /H 2 for etching III-V semiconductors.
The iodine chemistry is especially attractive for etching of InP-based mate-
rials, since indium iodides exhibit much higher vapor pressures than indium
chlorides at comparable temperatures.
474 C. Youtsey and I. Adesida

A problem that is frequently encountered when etching III-V materials


is the preferential loss of group V elements such as P or As over the group
III elements (i.e., In, Ga), a consequence of the higher vapor pressures of the
group V chlorides. The resulting In- and Ga-rich surfaces can degrade the
quality of Schottky electrical contacts and increase sidewall leakage currents
during device operation. The volatile group III and group V iodides and
bromides exhibit less disimilarity than the corresponding chlorides. In studies
of the etching of a wide range of III-V semiconductors using ECR-RIE, ICll Ar
and IBr I Ar plasmas have been shown to provide minimal modification of
the near-surface stoichiometry, especially in IBrI Ar plasmas [24,25]. ICI and
IBr gases may be ideal "universal" dry-etch chemistries as they can provide
smooth and fast etching of most III-V semiconductors at room temperature.
Traditionally, the greatest challenge in utilizing iodine- and bromine-based
chemistries is the difficulty in delivering the gases and incompability with
vacuum system materials. Both gases can be highly corrosive, particularly
when any water vapor is present. 12 gas can be supplied from a heated vial
of solid iodine, but this method has not been found to be a well controlled
or convenient source. HI and HBr may be supplied using conventional gas
cylinders, but the six-month shelf-life of these gases has been found to lead
to problems in reproducibility [24]. ICI and IBr are available as solid, red
crystallites which, when placed in heated stainless steel vacuum vessels, can
be delivered through Ch-compatible mass flow controllers [24,25]. These gases
may be promising alternatives to the more traditional Ch and CH4/H2 dry-
etch chemistries if they can be shown to perform reliably in conventional
dry-etching systems.

11.5 Dry Etching of GaAs and Related Materials

Dry-etching of GaAs-based materials (including GaAs, AlGaAs and GaSb)


is most frequently carried out using chlorine-based plasmas. Common chlo-
rinated gas mixtures for RIE of GaAs include Ch, CChF 2 , BCb or SiC14 ,
with additions of Ar, N2 or O 2 [26~42]. Although less widely utilized, iodine-
and bromine-based [24,25] as well as alkane-based [43,44] plasmas has also
been applied to the reactive ion etching of GaAs. CAIBE and RIBE of GaAs
have been carried out primarily using chlorinated reactants, including C1 2 ,
BCb and HCl [45~57].
As discussed in Sect. 11.4, chlorine forms volatile reaction products with
Ga as well as most group V elements and can readily achieve rapid, smooth
and anisotropic etching of these materials. Hu and Howard [19] first proposed
that sidewall passivation by involatile chloride species may be an important
mechanism in achieving high etch anisotropy when dry-etching GaAs. As
shown previously in Table 11.2, GaC1 2 species exhibit a significantly lower
volatility than GaC13 . The formation of a rate-limiting involatile chloride
layer on the sidewalls may reduce the lateral attack of the sidewalls, while
11 Plasma Processing of 111- V Materials 475

etching of the GaAs substrate floor proceeds much more rapidly than the
sidewalls due to the direct ion bombardment. The addition of Ar to a Cl 2
plasma was found to produce severely undercut profiles (due to increased
lateral etching) compared to etching with Ch alone. The presence of Ar in
the mixture may promote sputtered desorption of the protective layer on
the sidewalls. When etching with high Ar:Ch flow rate ratios greater than
4:1, however, the etch anisotropy can be significantly improved. Under these
conditions, the etching becomes reagent-limited (and therefore increasingly
physical) as the number of reactive species in the plasma is diluted.
Sidewall passivation effects are commonly observed in other dry-etch pro-
cesses as well. The addition of a small quantity of O 2 to a SiCl4 plasma has
been shown to improve etching verticality by forming a thin oxide layer on the
sidewalls [38]. Etching GaAs at low substrate temperatures (below -lOOC) in
chlorinated plasmas can also improve the etch anisotropy by suppressing the
sidewall etching [39]. However, the significant condensation of the etch gases
and products on the sample at these low temperatures may limit the useful-
ness of this process for device fabrication. Sidewall passivation by deposited
polymers can occur when using polymer-forming gases such as CH 4/H 2 . The
addition of small amounts of CH 4 to a Ch discharge has been reported to
improve sidewall verticality through such a mechanism when etching InP [58].
An important requirement for etching GaAs/ AIGaAs device heterostruc-
tures is to achieve equirate and step-free etching for epilayers with varying
degrees of Al concentration. As discussed in Sect. 11.4, the etching of AI-
containing layers can be problematic due to their propensity for surface ox-
ide formation. The use of a load-locked chamber that minimizes oxygen and
water contamination helps significantly to reduce such effects. In addition,
BCl3 is frequently added to Ch-based processes for its ability to etch surface
oxides and scavenge chamber water vapor. BCl3 plasmas have been shown to
minimize differential etch rates between GaAs and AIGaAs materials [29,56].
It should be noted that pure BCl3 plasmas generally exhibit lower etch rates
than provided by C1 2 , which motivates the use of gas mixtures of both Ch
and BCh.
SiCl4 is also frequently used as an alternative to Ch and may offer a
number of advantages. Pearton et al. [33] conducted a direct comparison of
Cld Ar and SiCI4/ Ar for RIE etching of GaAs, AIGaAs, and GaSb. The
SiCl4 plasmas provided smoother, moreanisotropic and residue-free surfaces
than Ch. Under most conditions, Ch produced faster etching than SiCI4,
presumably because it provides a higher concentration of CI radicals than
SiCI4.
In general, anisotropic etching generally requires plasmas at low cham-
ber pressures of 10 mTorr or less and high biases, while crystallographic or
isotropic etching results at higher pressures and low ion energies. Fig. 11.7
shows a scanning electron micrograph of a micro-ring resonator and waveguide
structures etched 2.5-f..lm-deep into a GaAs/ Alo.6GaoAAs heterostructure by
476 C . Youtsey and I. Adesida

ICP-RIE with a pure-Cl 2 plasma [59]. The highly anisotropic etch profile was
achieved with a low process pressure of 3 mTorr and relatively high ion ener-
gies ('"'-'500 eV). The gap between the input and output waveguides and the
resonator is approximately 0.2-llm-wide. The Si0 2 etch mask (still in place)
was patterned using direct-write electron beam lithography with PMMA and
etched by CF 4/H2 RIE. The extremely smooth and vertical etched sidewalls
reflect careful optimization of the lithography and masking as well as the
dry-etching processes.
At higher chamber pressures the energetic ions undergo an increased fre-
quency of collisions before they strike the sample, which begins to randomize
the ion trajectories and degrade the etch anisotropy. The previously vertical
sidewalls may then exhibit an undercut or "bowed" shape due to ions striking
the sidewalls with lateral velocity components. The GaAs sample in Fig. 11 .8
was etched using ICP-RIE with similar conditions to the sample in Fig. 11.7,
but at a pressure of 20 mTorr. At this higher pressure, the increased curva-
ture of the etched sidewalls is evident. Due to the enhanced chemical-etching
component when using increased pressures, crystallographic etch characteris-
tics can also result. Figure 11.9 shows a GaAs sample etched by conventional

Fig. 11.8. Sidewall "bowing"


resulting from increased ion
scattering at higher process
pressures. The GaAs sample
was etched by ICP RIE un-
der similar conditions as in
Fig. 11 .7, but with an in-
creased pressure of 20mTorr.

Fig. 11.9. Crystallograpic


etch characteristics in GaAs
formed by RIE using SiCl 4
(20 mTorr, 150 W) .
11 Plasma Processing of 111- V Materials 477

RIE with SiCl 4 at a process pressure of 20 mTorr and a power density of


approximately 0.2 W cm - 2 . The crystal planes exposed by the etching are
indicated in the figure.
Deep etching of submicrometer features presents additional challenges
not experienced when etching larger geometries. Figure 11.10 shows a cross-
sectional SEM image of trenches etched in GaAs with varying widths between
0.08- 1 11m using ICP-RIE with Cl 2 gas. A process pressure of 2 mTorr and
rf power of 150 W (dc bias ",-,500 V) were utilized. The etch depth exhibits
a strong dependence on the trench width. This effect is commonly observed
during dry (and wet) etching and is a consequence of the reduced efficiency
in supplying reactants and removing reaction products through the narrow
trench openings. Thomas et at. [60] have reported that operating at very
low process pressures (0.7 mTorr) reduces such microloading effects during
ECR-RIE etching of GaAs with C1 2 / Ar.

Fig. 11.10. Dependence of


etch depth on trench width
for ICP-RIE etching of GaAs
using Ch gas and a cham-
ber pressure of 1.5 mTorr. The
trench widths vary between
0.08 and 111m.

11.6 Dry Etching of InP and Related Materials


Chlorine-based chemistries have been widely explored for etching InP and
related materials (including InGaAs and InSb) using reactive ion etching [61-
74] and ion beam etching methods [75- 84], despite the difficulties resulting
from the low volatility of InCl x reaction products at room temperature. As
discussed in Sect. 11.4, iodine- and bromine-based gas mixtures have also
been investigated in detail for dry-etching of InP [85- 94]. N 2 , N 2 /0 2 and
Ar /0 2 gas mixtures have been utilized for RIBE of InP, with significant
improvements in surface morphology compared to Ar ion milling alone [95-
97]. Finally, as presented in detail later in this section, CH 4 /H 2 plasmas
represent an important alternative to the halogenated mixtures for InP dry-
etching [98- 111].
Room t emperature etching of InP using chlorinated gases generally re-
sults in low etch rates and rough surfaces that contain significant residues of
478 C. Youtsey and I. Adesida

TeC)
300 200 150 100 50
10,-~--~--~--~--~---,

~ InGaAs

O.I-t---..,.----,.....;::o,tr--,--....:.....; Fig. 11.11. Arrhenius plot of CAIBE


1.5 2 2.5 3 3.5 eteh rates of InP, InGaAs and In-
lOooff GaAsP using Cb gas.

InCl x reaction products. Fig. 11.11 shows an Arrhenius plot of the CAIBE
etch rates of InP, InGaAs and InGaAsP using Ch gas [80]. At elevated tem-
peratures, above approximately l40ec, the etch rates increase rapidly due to
the enhanced volatilization of the In compounds and, above 200°C , exceed
2 )lm/min. A parallel improvement in etch anisotropy is observed as the tem-
perature is increased, with very vertical etch profiles occurring for substrate
temperatures in the range of 200- 250°C. Fig. 11.12 shows a highly anisotropic
grating etched in InP using CAIBE/CI 2 at a temperature of 250°C [80]. The
grating period is approximately 300 nm and was etched to a depth of 3.5 )lm
using a Ti metal mask patterned by electron beam lithography. At higher
substrate temperatures above 300°C, the spontaneous chemical-etching of
the InP obtained leads to considerable lateral etching of the vertical side-
walls.

Fig. 11.12. Gratings with a


300-nm-period etched 3.5-J..lm-
deep in InP byCAIBE (beam
voltage = 500 V, Cb flow rate
= 1 seem , T = 250°C).
11 Plasma Processing of 111- V Materials 479

Etched surface morphologies for InP-based materials tend to be consid-


erably rougher than for GaAs when using chlorine chemistries. At low tem-
peratures, etch roughness is induced by the nonuniform coverage of the InP
surface by involatile reaction products. Surface morphologies are generally
improved at temperatures above 250°C. When etching at elevated tempera-
tures, InP is very sensitive to the evolution of surface roughness in the form
of "grasslike" spikes. In many cases, this roughness forms through micro-
masking of the semiconductor surface by sputtered species which redeposit
on the sample [65,80]. Sources of micromasking contaminants include the
sample carrier as well as etch mask materials. Figures 1l.13a and b show two
InP samples etched by CAIBEjClz at 250°C under otherwise identical con-
ditions, but using hard-baked photoresist and Ni metal masks, respectively
[80]. The sample in Fig. 1l.13b using the Ni metal mask exhibits substantial

(a)

(b)
Fig. 11.13. Columns etched in InP byCAIBE/Ch under identical conditions (beam
voltage = 500V, Ch flow rate = 1 seem, T = 250°C) using (a) hard baked photore-
sist and (b) Ni metal etch masks. The hard-baked photoresist etch mask produced
a smooth etch morphology, while the Ni etch mask led to signficant micromasking
and "grasslike" surface roughness.
480 C. Youtsey and I. Adesida

micromasking surface roughness from the sputtered Ni. In general, materials


which do not form volatile reaction products with chlorine, such as quartz or
stainless steel, should be avoided for use as an etch mask, electrode material
or sample carrier when carrying high-temperature Ch processes for etching
InP. Silicon oxide and hard-baked photoresist have been found to be suitable
etch mask materials, while graphite and Si have been utilized as wafer stage
materials for the CAIBE/Ch of InP [80].
High-density plasmas techniques such as ECR- and ICP-RIE have been
used to produce high etch rates of In-based semiconductors with chlorine
plasmas at room temperature [69,70]. The high ion flux that can be achieved
by these methods may enable more efficient sputter desorption of involatile
InCl x species before a thick passivation layer can build up on the semicon-
ductor surface. Local surface heating due to the energetic ion bombardment
may also playa role. Lee et al. [70] have reported that smooth etched sur-
faces can be obtained for In-based semiconductors using room temperature
ECR-RIE with Cld Ar by optimizing the CI 2:Ar flow ratio. For mixtures with
very high CI 2 concentrations, etched surfaces were quite rough due to InCl x
residues. High Ar concentrations, however, also produced rough surfaces due
to preferential sputtering of the group V elements. For a flow ratio of 10:5
of CI 2:Ar, a process window was found that produced very smooth etched
surfaces. Auger electron spectroscopy (AES) analysis of the etched surfaces
also indicated an absence of CI residues under these conditions.
The addition of hydrogen to high-temperature chlorine-based dry-etch
processes has been shown to improve etched surface morphologies for Ch/H2
ECR-RIE [58] and RIBE [84] of InP. Furthermore, the use of HCI as a reactive
gas during CAIBE of InP was found to lead to smoother etched surfaces when
compared to using CI 2 [81]. The likely effect of hydrogen addition during InP
etching is to promote the removal of P as PH 3 [58]. The smooth surfaces may
result from improved etched surface stoichiometry; the high-temperature Ch-
based etching of InP has been reported to lead to substantial P enrichment of
the etched surface [58]. The electron micrographs in Fig. 11.14 illustrate very
smooth and vertical etched InP features obtained through CldH 2/N 2/ Ar
ICP-RIE using a substrate temperature of 250°C and chamber pressure of
2mTorr [112].
CH 4 /H 2-based etching of InP was first demonstrated by Niggebriigge et
al. [98] and represents an important alternative to chlorine-based processes.
Most significantly, the CH 4 /H 2 chemistry enables room-temperature etch-
ing of InP and GaAs with smooth surface morphologies. In addition, it
does not present the handling difficulties and high corrosivity of halogenated
gases. The principal disadvantages include very low etch rates (typically 30~
60 nm min ~ 1) and the deposition of polymers on nonreactive surfaces such
as the etch mask. A variety of hydrocarbon gas mixtures have been ex-
plored for the etching of InP, including CH 4 /H 2, Ch/CH4 /H 2, CH 3 CI/H 2,
and C 2H 6 /H 2, with additions of O 2 , He and Ar [98~111].
11 Plasma Processing of 111- V Materials 481

(a)

(b)
Fig. 11.14. (a) O.25-llm-diameter dots and (b) O.4-llm-wide slabs deeply etched in
InP using Cb/H 2 /N 2 / Ar ICP-RIE. A substrate temperature of 250° C and chamber
pressure of 2 mTorr was used during the etching. (Images provided by Nanovation
Technologies, Inc.).

During CH 4 /H 2 etching of InP, phosphorus is removed primarily as PH 3


while In(CH3h is believed to be the major reaction product of the group III
element. Etching of InP and GaAs in hydrogen-rich plasmas leads to strongly
In- or Ga-rich surfaces. Fig. 11.15 shows the extreme case of an InP surface
that was etched using RIE in a H2 plasma for 10 min at a pressure of 30 mTorr
[113]. The metallic In has coalesced into spherical features across the etched
surface. Due to the different surface tensions of In and Ga, etching of GaAs
under similar conditions produces a uniform sheet of Ga on surfaces exposed
to the plasma. Optimum etch conditions for CH 4 /H 2 RIE of InP utilize flow
ratios of CH 4 to H2 in the range of 0.1 to 0.4. Lower values result in In-rich
surfaces and rough surface morphologies, while higher CH 4 flow rates lead to
significant levels of polymer deposition.
A key detriment of polymer deposition during CH 4 /H 2 plasma-etching is
the resulting degradation of etch anisotropy [100]. Polymers deposit primarily
on the inert etch mask materials and minimally on the active InP surfaces.
482 C. Youtsey and I. Adesida

Fig. 11.15. Indium droplets


formed by exposure of an InP
surface to a pure H2 plasma.
RIE of 111- V semiconductors
using hydrogen-rich gas mix-
tures results in preferential re-
moval of the group V surface
elements.

As the etch rates of InP are quite low, the long etch durations required to
produce appreciable etch depths of 1 IJ-m or more can lead to considerable
buildup of polymers on the etch mask. Fig. 11.16 illustrates this effect and
the consequence it has on the etching profile. The accumulation of polymer on
the etch mask produces an overhang that eventually induces a positive slope
in etched InP etch sidewalls. Fig. 11.17 shows an SEM micrograph of an InP
sample that was etched by CH 4 /H 2 [114]. The process conditions consisted
of a pressure of 15 mTorr, CH 4 /H 2 flow ratio of 1:3 and a total gas flow rate
of 40sccm, and an rf power of 100W (rv500V self-bias voltage). The overcut
sidewall profiles in Fig. 11.17 are distinctly apparent.

_ _L - - - I_ __ _____ _ Mask

a) InP

- P olymer

b) Fig. 11.16. Schematic of polymer de-


position on etch mask materials dur-
ing CH 4 /H 2 plasma-etching. Exten-

--u:t-n
sive polymer buildup on the etch
mask can produce an overhang which
c) degrades the verticality of the etched
sidewalls.

One remedy to alleviate the buildup of polymer film during CH 4 /H 2 etch-


ing is to introduce periodic 02-plasma "clean" cycles to remove the deposited
polymer film [100]. Through the use of multiple etch and clean cycles, excep-
tionally vertical etch profiles can be attained. Fig. 11.18 shows a 75-nm-period
grating that was patterned in NiCr by direct-write electron beam lithography
and etched l-IJ-m-deep in InP. Similar conditions were used as in Fig. 11.17,
11 Plasma Processing of II I-V Materials 483

Fig. 11.17. 250-nm-period


InP gratings etched 700-nm-
deep using CH 4 /H 2 RIE . As
illustrated in Fig. 11.16, the
buildup of polymers on the
etch mask during the etching
produced the positively sloped
etch profile seen in this figure.

Fig. 11.18. 70-nm-period


gratings etched i-11m-deep in
[nP using CH 4 /H 2 RIE with
cyclical 02 cleaning cycles to
remove polymer buildup. The
cyclical etch/ clean process en-
abled the very high anistropy
to be obtained.

but the etching consisted of nine sequences of 3-min CH 4 /H 2 etch and 3-min
O 2 clean cycles. An alternative approach is to introduce a small amount of
oxygen (",0.5 sccm or less) continuously during the CH 4 /H 2 etching to re-
move the polymer as it forms [102,104,106]. An additional advantage of the
O 2 addition is that it reduces lateral etching by forming a protective oxide
on the vertical sidewalls. Schramm et ai. [106] have conducted a systematic
study of the benefi ts to each of these two approaches. A "hybrid" process that
makes use of both cyclic and continuous O 2 etching was found to produce
excellent results for deep and vertical etching of lnP structures with depths
of 10 Ilm or more. It should be noted that the cyclic etch technique is best
implemented using an etching system with some degree of automated process
control.

11.7 Dry Etching of GaN and Related Materials

The growth of high-quality CaN and related alloys for device purposes is
a recent phenomenon; therefore, investigations on the processing of these
materials have not been as extensive in comparison to conventional IIl-V
484 C. Youtsey and I. Adesida

materials. The wide bandgaps and high bond strengths of group III nitrides
make them chemically inert, resisting attack by common bases and acids.
An external energy is therefore required to initiate and sustain the etching
of nitrides. The external energy required can be obtained from a variety of
sources, including heating of the substrate, energetic ions, energetic electrons,
and photons. By far the most investigated forms of etching for the nitrides
are dry-etching techniques, where the external energy is derived mainly from
energetic ions. Techniques investigated to date include CAIBE, RIBE, RIE,
ECR-RIE, and ICP-RIE. Etching derived from the combination of photon
and ion energies have also been demonstrated [115]. In all cases, plasma
chemistries used for etching group III nitrides are essentially the same as
those used for 111-V materials. The volatile products for nitrides etched in
Ch-based gases are the chlorides of group-III elements, while nitrogen could
be expelled as NCl x or perhaps as free N 2 . CH4-based gas mixtures have also
been investigated, especially for the etching of indium-containing nitrides.
Conventional RIE has been investigated extensively for the etching of GaN
[116-120]. Investigations have been conducted in various gas chemistries in-
cluding SiCl4-based mixtures [116,117]' HBr [118], BCla [119], and C 2 CIF 5
[120]. Figure 11.19 shows the etch rate of GaN in SiCl4 as a function of self-
biased plasma voltage for different chamber pressures [116]. It is observed
that the etch rate is strongly dependent on the self-bias voltage while rel-
atively independent of chamber pressure within the range investigated. It
was also found that mixing Ar or SiF4 with SiCl4 did not alter etch rates
[116]. However, the addition of SF 6 to SiCI4/ Ar by Karouta et ai. [117] and
to BCla by Feng et ai. [121] increased etch rates of GaN significantly. It
was postulated that SF 6 participated effectively in the chemical component
of the etching due to its lower dissociation enthalpy of 93.5 kcal mole- 1 in

60

-·e o 20mT p
50
0
c:: o 40mT

-
C
40 ... 80mT
E
-
c::
CD
1G
a:
30
0
J;J

-
0
..c:: 20
Co) ~
UJ 0
10
~r#
0
100
oi
200
.
300 400
Fig. 11.19. Etch rate of GaN
in SiC14 RIE plasma as a func-
tion of plasma self-bias voltage
Plasma Bias Voltage (-V) at various chamber pressures.
11 Plasma Processing of II 1-V Materials 485

comparison to 145.4 kcal mole -1 for SiF 4 [ll 7] . The increased etch rates of
CaN in SiCI 4 / Ar/ SiF 6 and BCh / SF6 may be due to the removal of nitrogen
as NF3 rather than as NCI 3 . NF3 and NCl 3 have boiling points of -129°C
and ",71 ac, respectively, demonstrating the more volatile nature of NF 3 .
In these investigations, higher etch rates obtained at higher bias voltages
with etch rates as high as 210nmmin- 1 have been reported by Feng et al.
[121 ]. Anisotropic etch profiles have been demonstrated in CaN using RIE
but these profiles usually have positive slopes (i.e. , overcut profiles) , which
indicate a strong participation by energetic ions in the etching. Fig. ll.20
shows an example of such a profile obtained using RIE in HBr at a self-bias
voltage of - 350 V [ll8]. The physical and chemical components of etching
mechanisms cannot be independently controlled in RIE , therefore , achieving
optimum vertical etch profiles is very difficult.

Fig. 11.20. Etch profile of


GaN etched using RIE in an
HEr plasma at -350 V bias.

In CAIBE, these components can be independently controlled through the


Ar ion energy and current for the physical component and the flow rate of the
ambient reactive gas and substrate temperature for the chemical component.
Fig. 11.21 shows the dependence of CAIBE etch rates of GaN on Ar ion beam
current density at room t emperature (curve (b)) and 200°C (curve (c)) , as
well as the room-temperature Ar ion milling rate (curve (a)). For each case,
the etch rates increase linearly as a function of current density. The Cl 2
chemical enhancement in the CAIBE etch rates compared to the ion milling
rate can also be observed. Raising the temperature of the substrate to 200°C
increased etch rates further , with rates as high as 210 nm min - 1 obtained
(curve (c)). The etch rate of CaN under Ar ion beam bombardment (i.e., ion
milling) is invariant to temperature, whereas the addition of Ch at elevated
temperatures significantly enhances etch rates as shown in the figure. It is seen
that thermal energy contributes to the chemical component of the etching
[122]. Lee et al. [123] have studied the effect of the tilt angle of the substrate
on CAIBE etch rates at room temperature. They obtained the highest rate
of 180 nm min- 1 at a tilt angle of 30° ,similar to the conventional effect of tilt
486 C. Youtsey and I. Adesida

250~----------------------,
Beam energy = 500 e V
CI 2 flow rate = IO seem (e)
200
c (b)

~E- 150

~... (a)
100
.c
~
50 • Ar + Cl 2 (200 T)
• Ar + CI 2 (20°C)
.. Ar (20 T)
O~~~~--~--~--~--~~ Fig.11.21. Etch rate of GaN ver-
0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 sus Ar ion current density using the
Ar ion beam current density (rnA/crn 2 ) CAlBE technique.

angle on sputter yield. Etch rates decreased rapidly to 120 nm min -1 at a 60°
tilt angle. In general, the trends for CAIBE of GaN are that etch rates are
strongly dependent on ion energy, ion density, and tilt angle and moderately
dependent on temperature and gas flow rate.
Investigations of the CAIBE of AlxGa1-xN have shown that etch rates
diminished linearly from x = 0 to 1 at room temperature [124]. Normally
for GaAs and AIGaAs materials, equi-etch rates are obtained if the vacuum
system is free of oxygen and water vapor. Even with an excellent vacuum in
the CAIBE system, etch rates of AlxGa1-:cN were still observed to diminish
as the AIN mole fraction increased. This is attributed to the higher bond
energy of AIN at 11.5eVatom- 1 in comparison to 8.geVatom- 1 for GaN.
This difference in etch rates could have consequences in the etching of het-
erostructures, especially when alloys with high Al contents are involved. For
blue lasers, the concentrations of AlxGa1_xN used are usually <0.1, which
means that the etch rates for the heterostructure materials are similar. There-
fore, no step effects are observed in the etch profiles.
Anisotropic profiles in AlxGa1-xN have been obtained for Ar/Cl z CAIBE
at all substrate temperatures; however, profiles exhibited better verticality at
higher temperatures due to increased chemical activities. Highly vertical pro-
files are required for laser facets or mirrors. In order to achieve these highly
vertical profiles at room or lower substrate temperatures using CAIBE, Binet
et ai. [125], Kneissl et ai. [126], and Lee et ai. [123] tilted and rotated their
samples while etching. They obtained vertical profiles at 50° tilt angle using
resist masks. An important advantage of etching at room or lower tempera-
tures is that resists can be used directly as etch masks. Using this approach
with Ar/Cb CAIEE, etched facets for InGaN/AIGaN/GaN laser diodes have
been demonstrated by Kneissl et ai. [126]. Taking another approach, Ping et
ai. [127] achieved highly vertical etch profiles in GaN using Ar /HCI CAIEE
at a substrate temperature of 300°C without tilting the samples. An example
11 Plasma Processing of I II- V Materials 487

Fig. 11.22. Vertical and


smooth etch profile in CaN /
AICaN heterostructure using
Ar/HCI CAIBE at 300°C.

of vertical etch profiles obtained using this method in an AIGaN/GaN het-


erostructure is shown in Fig. 11.22. The sidewall roughness was estimated at
less than 5 nm as measured in a scanning electron microscope.
Extensive investigations [128- 131] have been conducted on the etching
of group III nitrides using ECR-RIE. Using Ch-based plasmas, etch rates
ranging from 20 to 500 nm min- 1 have been reported for operating pressures
between 1 and 10 mTon and ion energies < ~400 V. Corresponding etch rates
for InN and AIN are comparable or lower than for GaN. For illustration, etch
rates of 110, 150, and 200 nm min - 1 were demonstrated for AIN, InN, and
GaN , respectively, using CI/H 2/Ar plasma at ~180V [129]. The presence of
H2 in the plasma was found to increase etch rates slightly and also improve
surface morphologies. A possible explanation for this observation is that the
chemical activity of H2 promotes the formation of volatile NHx products.
Very low etch rates were reported for these materials using CH 4 /H 2/ Ar [129].
Vartuli et al. [130,131] have utilized interhalogen gas mixtures such as IBr/ Ar
and ICI/ Ar to etch GaN and related materials. High etch rates of 200, 1150,
and 1300 nm min - 1 for AIN, InN, and GaN, respectively, were reported using
ECR-RIE in ICI/ Ar at a bias voltage of ~275 V. Similarly, etch rates of 160,
325, and 300nmmin- 1 for AIN, InN , and GaN, respectively, were obtained
using IEr / Ar at a bias voltage of ~ 170 V. In general, the relative etch rates
obtained for all these materials and conditions follow the trend of the bond
energies. Highly anisotropic etch profiles have been shown to be routinely
possible using ECR-RIE.
The ICP-RIE method is finding a special place in the processing of semi-
conductors because of its high plasma density properties as well as relative
ease and economy of operation. The etching of group III nitrides using ICP-
RIE has been investigated using various plasma chemistries. Figure 11.23
shows the ICP-RIE etch rates of AlxGal-xN in C1 2 / Ar plasma at a chamber
pressure of 5 mTorr and ICP coil power of 500 W. In Fig. l1.23a, the etch
rates for all AlxGal - xN concentrations increase monotonically with substrate
bias. The increasing ion energy increases the physical sputtering and/or rate
488 C. Youtsey and 1. Adesida

600
!~GaN
___ Alo 10 GaO.90 N
500 ......... AlosoGaO.50N
'2
:g 400
~ Alo.72Ga028 N
--.- AIN
E
-S
300
JM
0::
.c 200
!:l
w
100

0
0 50 100 150 200 250 300
(a) Bias Voltage (-V)
600

500
'2
'E 400
E
-S
300
ro
Q)

0:: Fig. 11.23. (a) ICP-RIE etch


.c 200
rate versus bias voltage for
!:l
w different AIGaN compositions,
100
and (b) Etch rate versus AI-
0 GaN composition for different
0.0 0.2 0.4 0.6 0.8 1.0 ICP coil powers. ICP-RIE was
(b) Fraction of x in A~Ga1_xN performed in a Cbj Ar plasma.

of surface chemical reactions to produce volatile species. Etching was ob-


tained for GaN for a substrate bias as low as -7 V; this initiation voltage
increases as the AIN mole fraction increased, reflecting the increasing bond
energies. This is also observed in overall etch rates, which decreased as AIN
mole fraction increased as demonstrated in Fig. 1l.23b. The reduction in etch
rates is real since etch rates for AlxGa1-xAs samples in the same chamber
remained invariant. The etch rates in Fig. 11.23b are shown for three ICP
coil powers at 300W, 500W, and 800W. Increasing coil power results in high
plasma densities which, in turn, translate to higher etch rates. Etch rates of
up to 566 nm min- 1 and 300nmmin- 1 were achieved at -150V bias voltage
and 800 W ICP coil power for GaN and AIN, respectively. Higher etch rates of
688 nm min -1 at - 280 V bias voltage [132] and 980 nm min -1 at -450 V bias
voltage [133] have been reported for GaN in Ch/HzI Ar and ClzlH 2 plasmas,
respectively. Shul et al. [134] compared various plasma techniques (RIE, ECR-
RIE, and ICP-RIE) for the etching of group III nitrides in Ch/H 2 / Ar/CH 4
plasmas as well as RIBE using Chi Ar. Etch rates were found to be highest
for ICP-RIE and ECR-RIE and this was attributed largely to their higher
plasma densities.
11 Plasma Processing of 111- V Materials 489

Anisotropic profiles have been generated in single and heterostructure lay-


ers of group-III nitrides using ICP-RIE. Shul et al. [134] demonstrated highly
anisotropic profiles in GaN with smooth sidewalls. However, the profiles ob-
tained in AlN were smooth but slightly sloped outwards. The slight overcut
profile was attributed to slower etch rates as compared to GaN, which resulted
in longer etch times and mask erosion. Khan et al. [135] have demonstrated
highly vertical etch profiles with ultra-smooth sidewalls in InGaNI AlGaN
laser structures using an oxide mask and ICP-RIE in a Ch i Ar plasma. An
example of such a laser facet obtained at -160 V and 2 mTorr is shown in
Fig. 11.24.

2pm

Fig. 11.24. Vertical and


smooth etch profile in
AIGaNjInGaNjGaN het-
erostructure suitable for
etched laser facets obtained
using ICP-RIE in Chj Ar
plasma.

Other novel dry-etching methods that have been applied to GaN-based


materials include the low-energy electron-enhanced etching (LE4) and the
photoenhanced etching methods. The LE4 method involves the irradiation
of etched samples with low-energy (1 to 15 eV) electrons while in an ambient
of reactive plasmas [136]. Etch rates that have b een obtained to date range
from 50 nm to 70 nm min -1 for GaN in Ch-based plasmas. Anisotropic etch
profiles have also been demonstrated with smooth surface morphologies using
LE4. Photoassisted etching of GaN has been explored using an ArF excimer
laser in a HCl gas ambient [137]. Preliminary etch rates for this method were
very low. The primary interest in these two techniques is as alternatives for
achieving low lattice damage. Encouraging results have recently been ob-
tained for photo enhanced reactive ion etching of GaN in BCb ICld Ar IN 2
plasmas [115]. Etching was performed in an RIE system with optical ra-
diation from a xenon lamp incident on the sample. Enhanced etching was
observed at all substrate biases ranging from -140 V to -400 V. Without
the xenon lamp, the etch rate at -400 V bias was 110 nm min -1 but it in-
creased to 200 nm min - 1 when photoenhanced. The highest unassisted etch
rate obtained at -400 V was achieved at -270 V with photoenhancement.
The ratios of enhancement ranged from 1.3 to 1.8.
490 C. Youtsey and I. Adesida

11.8 Selective Dry Etching of 111- V Materials


The realization of equietch rates for different layers in heterostructure materi-
als is desirable in the fabrication of many optoelectronic devices. An example
is the etching of laser facets where highly vertical and smooth sidewalls are
required. However, in some other applications, it is quite often necessary to
etch one layer selectively over another in a heterostructure. A vivid example
is the gate-recessing step in the fabrication of a high electron mobility tran-
sistor (HEMT) or modulation-doped field effect transistor (MODFET) where
a thin highly doped cap layer must be etched in order for the metal Schot-
tky gate to be placed on the underlying higher bandgap material [138]. The
use of dry-etching, in particular the various forms of RIE, has been shown
to yield devices with better uniformity in dc and microwave characteristics
than what is obtainable using wet selective etching [139]. Dry selective etch-
ing provides excellent etch depth control because etching essentially stops at
the surface of the underlying layer. Selective dry-etching for gate recessing
has been applied to the fabrication of HEMTs in various I II-V heterostruc-
tures including AIGaAs/GaAs, pseudomorphic AIGaAs/InGaAs/GaAs, In-
AIAs/InGaAs/InP, and GaAs/InGaP /InGaAs. Investigations have just be-
gun on developing dry selective etching for GaN on AIGaN for the fabrication
of AIGaN/GaN HEMTs.

11.8.1 GaAs on AIGaAs


The first investigation on selective reactive ion etching (SRIE) for GaAs on
AIGaAs was reported by Hikosaka et al. [138] using CCI 2 F 2 /He plasmas.
They obtained an etch rate of 520nmmin- 1 for GaAs and 2nmmin- 1 for
Alo.3Gao.7As, translating to a selectivity of 260 using plasma conditions of
0.18W cm- 2 power density, -90V self-bias voltage, 1:1 ratio of CCI 2 F 2 :He,
and 5 Pa chamber pressure. The authors speculated that the high selectivities
obtained in their work were due to the formation of nonvolatile AIF3 on the
exposure of the AIGaAs to the F -component of the etch gas. Seaward et al.
[140] conducted an analytical study of the etch-stop reactions for GaAs on
AIGaAs in CCI 2 F 2 /He plasmas. Using x-ray photoelectron spectroscopy, they
initially concluded that the etch-stop reaction that occurs upon reaching the
GaAs/ AIGaAs interface is the formation of <3 nm layer of AIF3 and GaClxFy
as a single compound. However, in a subsequent study, the same authors
[141] concluded that nonvolatile AIF3 is the only compound responsible for
stopping the etching of AIGaAs. Others have also investigated selective RIE
of GaAs on AIGaAs using CC}zF 2 plasmas obtaining high selectivities. The
role of AIF3 as the etch-stop layer on AIGaAs has been widely verified for
various gas compositions where CI and F species are present.
A by-product of etching with CC}zF 2 -based plasmas, however, is the for-
mation of a thin layer of polymer that must be removed if useful metal/semi-
conductor interfaces are to be obtained [140]. Furthermore, concerns on the
11 Plasma Processing of 111-V Materials 491

dangers of chlorofluorocarbon gases and effluents as environmental hazards


constitute another disadvantage for CChF 2 • Various plasmas based on mix-
tures of fluorine-based and chlorine-based gases have been utilized to avoid
the aforementioned disadvantages of CChF 2 . Examples of gas mixtures that
have been investigated are SiCI 4 /SiF 4 , SiCI4 /SF 6 , and BCh/SF 6 . An added
advantage of using these mixtures is the ability to control selectivity by ad-
justing the gas ratios. SiCI4 /SiF 4 RIE has been used to etch various AI-
GaAs compositions [142]. Fig. 11.25a shows the etch rate of AlxGal-xAs
as a function of Al concentration, x, for a 1:4 mixture of SiCkSiF 4, and a
chamber pressure of 60 mTorr at three different self-bias voltages. The AIF3
etch-inhibiting layer is easily formed when x increases with the consequent
reduction in etch rate with increasing x. At higher self-bias voltages, AIF3
is sputtered at a faster rate, thereby decreasing the effectiveness of the etch-
stop film and leading to increased etch rates for AIGaAs. From Fig. 11.25a,
we derive Fig. 11.25b showing selectivity as a function of x. As observed,
selectivity improved with higher aluminum mole fraction and low dc bias in
the range of experimental conditions shown. Guggina et al. [143] and Murad
et al. [144] have studied the various aspects of GaAs on AIGaAs etching using

10000
pressure _ 60 mTorr
20% SiCI 4

"2 C ·60V

Is
de bias: '" -100 V
o -l40V

as
II:
.r::
.2 10
W

1
0.0 0.1 0.2 0.3 0.4
(a) Aluminum Mole Fraction
600
pressure. 60 mTorr
20%SiC14
500
C -eoV
de bias: b. ·100 V
400 o ·l40V
.~
~ 300 Fig. 11.25. (a) The etch rates of
~
CD GaAs (x=O) and AlxGal-xAs during
en 200
SiCl4/SiF4 RIE for three different dc
100 bias levels. (b) The corresponding se-
lectivity of GaAs over AlxGal-xAs.
0 All samples were etched at a pressure
0.0 0.1 0.2 0.3 0.4 of 60 mTorr, and a SiCl4:SiF4 ratio of
(b) Aluminum Mole Fraction 1:4.
492 C. Youtsey and I. Adesida

SiC14/SiF 4 gas mixtures. In general, selectivity increased at lower self-bias


voltages, higher pressures, and higher AlAs mole fraction. Murad et al. [145]
further investigated the effects of adding O 2 to SiC14/SiF4 in the RIE of
GaAs on AIGaAs. The addition increased GaAs etch rates while maintaining
high selectivity over AIGaAs. This process was used to etch GaAs laterally
on AIGaAs to create an undercut in the cap layer during the fabrication of
pseudomorphic GaAs/ AIGaAs/lnGaAs HEMTs.
Salimian and Cooper [146] investigated the SRIE of GaAs on AIGaAs and
GaAs on InGaAs using SiC14/SF 6 . The trends of etch rate and selectivity are
similar to those obtained in SiC14/SiF 4. Etching stops on InGaAs because
InF3 and InC13 are involatile and act as etch-stop mechanisms. Wu et al. [147]
and Pearton [148] have applied high density ECR-RIE to the selective etching
of GaAs on AIGaAs using CC1 2 F 2 and BCla/SF 6 plasmas. High selectivities
>600 have been reported for AlxGal-xAs samples with AlAs mole fractions
as low as x = 0.10.
It is imperative to have a clean surface after reactive ion etching; there-
fore, simple post-etch cleaning procedures have been developed. For exam-
ple, in the etching of GaAs on AIGaAs, Wu et al. [147] utilized a post-etch
clean procedure consisting of a brief O 2 plasma clean in a barrel etcher fol-
lowed by a dip in NH40H:H20 solution, and a subsequent rinse in H 20. The
plasma-etching removes thin polymer films when CC1 2 F 2 is utilized. When
Si-containing gases are utilized, it has been shown that SiO x (1 < x < 2)
is embedded within the AIF3 etch-stop layer matrix when the sample is ex-
posed to air [149]. A post-etch clean of AIGaAs surfaces consisting of a dip
in buffered HF, followed by a dip in dilute HCl with subsequent H 2 0 rinse
has been delineated by Ballegeer et al. [149].

11.8.2 InGaAs on InA lAs


The SRIE of InGaAs on InAIAs is complicated by the fact that InCl x etch
products are not volatile at room temperatures. Therefore, initial inves-
tigations on the selective etching of InGaAs on InAIAs concentrated on
hydrocarbon-based chemistries such as CH4/H 2. Lauterbach et al. [150] and
Andideh [151] obtained low selectivities <6 for lattice-matched Ino.53Gao.47As
on Ino.52Alo.4sAs using CH 4/H 2 RIE. The process that they developed exhib-
ited low selectivity, high self-generated bias, and polymer formation during
etching. More recent attempts at utilizing CH4/H 2 SRIE for these materi-
als have resulted in a more optimized process with selectivities as high as
130, reported by Duran et al. [152]. The etching conditions used were 8.3gas
composition, a chamber pressure of 35mTorr, and a bias voltage of -190V.
Although this is still a relatively high bias voltage that may cause damage,
work by Achouche et al. [153] suggested that useful device operation can be
obtained using self-bias voltages <-200V. Low noise InAIAs/lnGaAs/lnP
HEMTs have been fabricated using CH 4/H 2 RIE by Duran et al. [154].
To avoid the problems of high self-bias voltages and polymer formation of
11 Plasma Processing of 111-V Materials 493

CH 4/H 2 RIE, Agarwala et al. [155] developed HBr RIE for SRIE of InGaAs
on InAIAs. They achieved a selectivity of over 160 using a plasma self-bias
voltage of -100 V. It was deduced using x-ray photoelectron spectroscopy
that the etch-stop layer on the InAIAs consisted of involatile Al 2 0 3 since the
bromides of Al and In are relatively volatile. InAIAs/InGaAs/InP HEMTs
have also been fabricated using HBr RIE [156]. An optimized chemistry con-
sisting of SiCI4/SiF 4/HBr gases was developed by Murad et al. [157] for
the SRIE of InGaAs on InAIAs. They achieved selectivities >600 at bias
voltages < -70 V at 150 mTorr chamber pressure. In this g<h'i mixture, the
In-containing compounds were etched through the formation of indium bro-
mosilanes and chlorosilanes, while Ga was volatilized through chloride com-
pounds. The presence of SiF 4 retarded the etching of AI-containing com-
pounds through the formation of AIF 3.

11.8.3 GaN on AIGaN


The rate-limiting step in the etching of III-nitrides is the initial bond-breaking
because of the high bonding energies of these materials. So, to a large extent,
a physical etching component along with high ion density are required to
achieve high etch rates for III-nitrides. For high ion density, the ECR-RIE
and ICP-RIE techniques have been applied to the etching of nitrides as men-
tioned earlier. However, a high physical etching component is not conducive
to achieving high selectivity. Therefore, there have not been many reports on
selectivity studies of GaN over AlxGal-xN. This, however, is needed for the
fabrication of AIGaN/GaN HEMT devices.
A selective RIE study was conducted by Basak et al. [158] for GaN over
Alo.lSGaO.ssN using Ch/CH 4/ Ar mixtures. By optimizing the composition
of the gas, they obtained etch rates of 257nmmin- 1 and 61.4 nm min-l for
GaN and the AIGaN, respectively, which translates to a selectivity of 4.2.
Much of the investigations conducted on selectivity using ECR-RIE and
ICP-RIE have concentrated on the etching of GaN, AIN, and InN. Etch se-
lectivities between these materials are usually less than 10 for both C1 2 - and
BCh-based plasma chemistries. Ch-based ICP plasmas produced higher GaN
on AIN selectivity than BCl 3-based ICP plasmas due to the higher concen-
trations of reactive CI produced in the Ch-based ICP plasmas, resulting in
higher etch rates for GaN. An illustrative experiment utilizing ICP-RIE in
Ch/SF 6 / Ar showed that with no SF 6 , a selectivity of 6 was obtained for GaN
over AIN. However, as SF 6 was added the etch selectivity decreased rather
than increased, as would be expected due to the formation of AIF 3. The high
ion flux of ICP may have resulted in the sputtering of the AIF3 which pre-
vented it from acting effectively as an etch-stop layer [159]. To date, Smith et
al. [160] have reported the best selectivity for GaN on AIN. The selectivity
of 38 was obtained using ICP-RIE at a low bias voltage of -20V.
For GaN over AlxGal-xN, Ping et al. [161] have investigated the etching
of GaN on Alo.3Gao.7N in CldAr, CldSiF4, and Ch/SiF 4/Ar using ICP-
494 C. Youtsey and I. Adesida

RIE. Figure 11.26a shows the etch rates of GaN and AIGaN using CldAr
ICP-RIE where the fraction of the Ch was varied from 0 to 100%. Selectivities
of only 1.5 to 1.7 were achieved for mixtures containing 25 to 100% C1 2 . In
an attempt to improve selectivity, SiF 4 was added to the Ch/ Ar mixture
so that AIFx products would form on the AIGaN surface. The etch rates in
Ch/SiF4 /Ar are shown in Figs. 11.26b and c. Both the etch rates of GaN
and AIGaN decreased as more SiF4 was added to the plasma. It is speculated
that AIF x was formed but the formation of involatile GaF x also lowered the
etch rates of GaN leading to a low selectivity of 2.7. Figure 11.26c shows the
GaN and AlxGal-xN etch rates versus plasma self-bias voltage. The highest
selectivity obtained was 2.7. However, Smith et al. [160] have reported a
selectivity of 10 for x = 0.28 in C1 2 / Ar ICP-RIE at a self-bias voltage of
-20V. This is the one of the highest selectivities obtained for GaN on AIGaN.
Improvements in gas chemistries and overall plasma conditions will need to be
made in order to realize optimum processes for the fabrication of AIGaN/GaN
HEMTs.

11.9 Conclusion

A wide range of dry-etching methods and chemistries presently exist to meet


the challenges of optoelectronic device fabrication. Chlorine-based etches
yield excellent results in GaAs materials at room temperature, but are more
problematic when applied to InP etching. CH 4 /H 2 gas mixtures produce
smooth morphologies in InP at room temperature, but result in low etch rates
with the additional complication of polymer formation. The investigation of
new etches based on iodine or bromine chemistries is ongoing. High-density
plasma methods such as ECR- and ICP-RIE are finding widespread utiliza-
tion due to their ability to provide excellent control over etch rate, surface
morphology and sidewall profile, while allowing minimization of ion-induced
damages. The development of dry-etch processes for III-V nitrides has pro-
gressed rapidly, although these etch processes in general require a strongly
physical etch component in comparison to other III-V materials. Selective
dry-etch processes have proven effective for GaAs- and InP-based materials,
while obtaining similarly high selectivities in the GaN material system has
proven more challenging due to the requirement of physical etching.

AcknowledgeIllents. The collaboration in this work of Tom Pierson, An-


drew Ping, Farid Khan, John Hughes, Gabriel Cueva, Eb Andideh, Bill Gug-
gina, Wenbin Zhao, Zeying Ren, and Julian Soole is greatly appreciated.
11 Plasma Processing of I Il-V Materials 495

250 r-------------------------~4

200

1
.....,
~
150

e 100
..c::
~
--+
50 "n, ............. 6········· ......6 ... ·

L -____ ____-L____ __ 1
0
~ ~~ ~

0.00 0.25 0.50 0.75 1.00


(a) Fraction ofC12 in C12/Ar

300 r-------------------------..., 3.0

250
2.5
]' 200
]
....., 150
!
..c::
~ 100
1.5
50
Fig. 11.26. (a) Etch rate
0 u...__---JL..-__....L.__---JL..-__....L.__---Ju 1.0 and selectivity of GaN and
0 1 234 5 Alo.3Gao.7N versus the frac-
(b) Flow rate ofSiF 4 (seem)
tion of Cb in the Cb / Ar
mixture. Etching conditions
500 ------------------------ 3.0 were -IOOV, 400W ICP,
3mTorr, and 20sccm to-
400 tal gas flow. (b) Etch rate
2.5 and selectivity of GaN and
Alo.3Gao.7N versus fraction of
1300 SiF4 in Cb/SiF4. Plasma con-
....., ditions were -lOOV, 400W
~
e 200 ICP, 3mTorr, 25sccm total
~ Ii 1.5
CI 2 /SiF4 flow, and 5sccm Ar.
(c) Etch rate and selectivity
100 of GaN and Alo.3Gao.7N
versus plasma bias. Plasma
o 1.0 conditions were 400 W ICP,
50 75 100125 150 175 200 3 mTorr, and 22/3/5 sccm
(c) DC plasma self-bias voltage (-V) Cb/SiF4/Ar flow.
496 C. Youtsey and I. Adesida

References
1. P.R Puckett, S.L. Michel, and W.E. Hughes, Chapter V-2, Ion beam etching,
in Thin Film Processes II (eds. J.L. Vossen and W. Kern), (Academic Press,
1991), p. 752.
2. E.L. Hu, C.-H. Chen, and D.L. Green, "Low-energy ion damage in semicon-
ductors: A progress report", J. Vac. Sci. Techno!. B 14, 3632 (1996).
3. S.J. Pearton, Chapter 8, Wet and dry-etching of compound semiconductors, in
Handbook of Compound Semiconductors: Growth, Processing, Characterization
and Devices (eds. P.H. Holloway and G.E. McGuire), (Noyes Publications, Park
Ridge, New Jersey, 1996), p. 402.
4. J.P. Donnelly, W.D. Goodhue, RJ. Baley, G.A. Lincoln, C.A. Wang, and
G.D. Johnson, "High quantum efficiency monolithic arrays of surface-emitting
AlGaAs diode lasers with dry-etched vertical facets and parabolic deflecting
mirrors", App!. Phys. Lett. 61, 1487 (1992).
5. J.W. Coburn, and H.F. Winters, "Ion- and electron-assisted gas-surface chem-
istry - An important effect in plasma-etching", J. App!. Phys. 50, 3189 (1979).
6. S.J. Pearton, Chapter 8, Wet and dry-etching of compound semiconductors, in
Handbook of Compound Semiconductors: Growth, Processing, Characterization
and Devices (eds. P.H. Holloway and G.E. McGuire), (Noyes Publications, Park
Ridge, New Jersey, 1996), p. 385.
7. H.W. Lehmann, "Plasma-assisted etching", in Thin Film Processes II (eds. J.L.
Vossen and W. Kern), (Academic Press, 1991), p. 705.
8. S. Agarwala, S.C. Horst, O. King, R. Wilson, D. Stone, M. Dagenais, and Y.J.
Chen, "High-density inductively coupled plasma-etching of GaAs/ AIGaAs in
BCb/Cb/ Ar: A study using a mixture design experiment", J. Vac. Sci. Techno!.
B 16, 511 (1998).
9. P. Vettiger, P. Buchmann, O. Voegeli, and D.J. Webb, Chapter 7, Full-wafer
technology for large-scale laser fabrication and integration, in Integrated Op-
toelectronics (eds. M. Dagenais, R.F. Leheny, and J. Crow), (Academic Press,
1994), p. 269.
10. G.A. Porkolab, S.-H. Hsu, J.V. Hryniewicz, W. Lin, Y.J. Chen, S. Agarwala,
F.G. Johnson, O. King, M. Dagenais, and D.R. Stone, "Etch-mask ofpyrolytic-
photoresist thin-film for self-aligned fabrication of smooth and deep faceted
three-dimensional microstructures", J. Vac. Sci. Techno!. B 14,3650 (1996).
11. M. Hagberg, B. Jonsson, and A. Larsson, "Fabrication of ultrahigh quality
vertical facets in GaAs using pattern corrected electron beam lithography",
J. Vac. Sci. Techno!. B 10, 2243 (1992).
12. P.R Puckett, S.L. Michel, and W.E. Hughes, Chapter V-2, Ion beam etching,
in Thin Film Processes II (eds. J.L. Vossen and W. Kern), (Academic Press,
1991), p. 764.
13. M. Hagberg, B. Jonsson, and A.G. Larsson, "Investigation of chemically as-
sisted ion beam etching for the fabrication of vertical, ultrahigh quality facets
in GaAs", J. Vac. Sci. Techno!. B 12,555 (1994).
14. Z.Y. Ren, and C. Youtsey, unpublished.
15. P.R Puckett, S.L. Michel, and W.E. Hughes, Chapter V-2, Ion beam etching,
in Thin Film Processes II (eds. J.L. Vossen and W. Kern), (Academic Press,
1991), p. 766.
11 Plasma Processing of III-V Materials 497

16. M.B. Stern, and P.F. Liao, "Reactive ion etching of GaAs and InP using SiCI4 ",
J. Vac. Sci. Tech. B 1, 1053 (1983).
17. D.R. Lide, (ed.), GRG Handbook of Ghemistry and Physics, (CRC Press, 1994).
18. W.H. Guggina, A.A. Ketterson, E. Andideh, J. Hughes, I. Adesida, S. Caracci,
and J. Kolodzey, "Characterization of GaAs/ AlxGal~xAs selective reactive ion
etching in SiCI 4 /SiF 4 plasmas", J. Vac. Sci. Techno!. B 8, 1956 (1990).
19. E.L. Hu, and R.E. Howard, "Reactive ion etching of GaAs in a chlorine plasma",
J. Vac. Sci. Techno!. B 2, 85 (1984).
20. R.J. Shul, G.B. McClellan, R.D. Briggs, D.J. Rieger, S.J. Pearton, C.R. Aber-
nathy, J.W. Lee, C. Constantine, and C. Barratt, "High-density plasma-etching
of compound semiconductors", J. Vac. Sci. Techno!. A 15, 633 (1997).
21. J. Chaplart, B. Fay, and N.T. Linh, "Reactive ion etching of GaAs using CChF 2
and the effect of Ar addition", J. Vac. Sci. Techno!. B 1, 1050 (1983).
22. E.L. Hu, and R.E. Howard, "Reactive-ion etching of GaAs and InP using
CCbFd Ar/02", App!. Phys. Lett. 37, 1022 (1980).
23. R. Cheung, S. Thoms, S.P. Beaumont, G. Doughty, V. Law, and C.D.W.
Wilkinson, "Reactive ion etching of GaAs using a mixture of methane and
hydrogen", Electron. Lett. 23, 857 (1987).
24. J.W. Lee, J. Hong, E.S. Lambers, C.R. Abernathy, S.J. Pearton, W.S. Hobson,
and F. Ren, "Comparison of dry-etching of 111-V semiconductors in ICI! Ar
and IBr / Ar electron cyclotron resonance plasmas", J. Electron. Mater. 26,
1314 (1997).
25. J.W. Lee, J. Hong, E.S. Lambers, C.R. Abernathy, S.J. Pearton, W.S. Hobson,
and F. Ren, "Dry-etching of 111-V semiconductors in IBr / Ar electron cyclotron
resonance plasmas", J. Electron. Mater. 26,429 (1997).
26. S. Semura, and H. Saitoh, "Hydrogen mixing effects on reactive ion etching of
GaAs in chlorine containing gases", J. Vac. Sci. Techno!. A 2, 475 (1984).
27. L.G. Hipwood, and P.N. Wood, "Dry-etching of through substrate via holes for
GaAs MMIC's", J. Vac. Sci. Techno!. B 3,395 (1985).
28. A.E. Geissberger, and P.R. Claytor, "Application of plasma-etching to via hole
fabrication in thick GaAs substrates", J. Vac. Sci. Techno!. A 3, 863 (1985).
29. S.S. Cooperman, H.K. Choi, H.H. Sawin, and D.F. Kolesar, "Reactive ion etch-
ing of GaAs and AIGaAs in a BCb-Ar discharge", J. Vac. Sci. Techno!. B 7,41
(1989).
30. S.J. Pearton, M.J. Vasile, K.S. Jones, K.T. Short, E. Lane, T.R. Fullowan, A.E.
Von Neida, and N.M. Haegel, "Reactive ion etching of GaAs with CChF 2 :0 2 :
Etch rates, surface chemistry, and residual damage", J. App!. Phys. 65, 1281
(1989).
31. S.J. Pearton, A.B. Emerson, U.K. Chakrabarti, E. Lane, K.S. Jones, K.T.
Short, A.E. White, and T.R. Fullowan, "Temperature dependence of reactive
ion etching of GaAs with CChF 2 :0 2 ", J. App!. Phys. 66, 3839 (1989).
32. B.S. Lee, and H. Baratte, "Reactive ion etching of GaAs in chlorine and result-
ing surface damage", J. Electrochem. Soc. 137, 980 (1990).
33. S.J. Pearton, U.K. Chakrabarti, W.S. Hobson, and A.P. Kinsella, "Reactive ion
etching of GaAs, AIGaAs, and GaSb in Cb and SiCI 4 ", J. Vac. Sci. Techno!.
B 8, 607 (1990).
34. S.J. Pearton, W.S. Hobson, U.K. Chakrabarti, G.E. Derkits, Jr., and A.P. Kin-
sella, "Dry-etching of GaAs, AIGaAs, and GaSb in hydrochloroftuorocarbon
mixtures", J. Electrochem. Soc. 137, 3892 (1990).
498 C. Youtsey and I. Adesida

35. S.J. Pearton, F. Ren, A. Katz, J.R. Lothian, T.R. Fullowan, and B. Tseng,
"Dry processed, through-wafer via holes for GaAs power devices", J. Vac. Sci.
Technol. B 11, 152 (1993).
36. M.S. Chung, H.R. Kim, J.E. Lee, B.K. Kang, and B.M. Kim, "Via hole process
for GaAs monolithic microwave integrated circuit using two-step dry-etching" ,
J. Vac. Sci. Technol. B 11, 159 (1993).
37. J. J.-P. Etrillard, "Reactive ion etching of gallium arsenide in CCbF2 and SiCl4
plasmas: influence of chamber material and etching mask", Jpn. J. Appl. Phys.
33, 4126 (1994).
38. T.F. Krauss, C.J.M. Smith, B. Vogele, S.K. Murad, C.D.W. Wilkinson, R.S.
Grant, M.G. Burt, and R.M. De La Rue, "Two-dimensional waveguide based
photonic microstructures in GaAs and InP", Microelectron. Eng. 35, 29 (1997).
39. S.J. Pearton, C.R. Abernathy, R.F. Kopf, and F. Ren, "Low temperature
chlorine-based dry-etching of III-V semiconductors", J. Electrochem. Soc. 141,
2250 (1994).
40. S.J. Pearton, C.R. Abernathy, and F. Ren, "High density, low temperature
dry-etching in GaAs and InP device technology", J. Vac. Sci. Technol. A 13,
849 (1995).
41. C. Constantine, R.J. Shul, C.T. Sullivan, M.B. Snipes, G.B. McClellan, M.
Hafich, C.T. Fuller, J.R. Mileham, and S.J. Pearton, "Etching of GaAs/ AIGaAs
rib waveguide structures using BCh/Cb/N2/ Ar electron cyclotron resonance",
J. Vac. Sci. Technol. B 13, 2025 (1995).
42. S. Agarwala, S.C. Horst, O. King, R. Wilson, D. Stone, M. Dagenais, and Y.J.
Chen, "High-density inductively coupled plasma-etching of GaAs/ AIGaAs in
BCh/Cb/ Ar: A study using a mixture design experiment", J. Vac. Sci. Technol.
B 16, 511 (1998).
43. V.J. Law, M. Tewordt, S.G. Ingram, and G.A.C. Jones, "Alkane based plasma-
etching of GaAs", J. Vac. Sci. Technol. B 9, 1449 (1991).
44. S.J. Pearton, U.K. Chakrabarti, A.P. Perley, and W.S. Hobson, "Dry-etching
of GaAs, AIGaAs, and GaSb using electron cyclotron resonance and radio fre-
quency CH 4 /H2/ Ar or C2H 6 /H2/ Ar discharges", J. Electrochem. Soc. 138,
1432 (1991).
45. M.W. Geis, G.A. Lincoln, N. Efremow, and W.J. Piacentini, "A novel
anisotropic dry-etching technique", J. Vac. Sci. Technol. 19, 1390 (1981).
46. G.A. Lincoln, M.W. Geis, L.J. Mahoney, A. Chu, B.A. Vojak, K.B. Nichols,
W. J. Piacentini, N. Efremow, and W.T. Lindley, "Ion beam assisted etching
for GaAs device applications", J. Vac. Sci. Technol. 20, 786 (1982).
47. J.D. Chinn, A. Fernandez, I. Adesida, and E.D. Wolf, "Chemically assisted ion
beam etching of GaAs, Ti, and Mo", J. Vac. Sci. Technol. AI, 701 (1983).
48. G.A. Lincoln, M.W. Geis, S. Pang, and N.N. Efremow, "Large area ion beam
assisted etching of GaAs with high etch rates and controlled anisotropy",
J. Vac. Sci. Technol. B 1, 1043 (1983).
49. K. Asakawa and S. Sugata, "GaAs and AIGaAs anisotropic fine pattern etching
using a new reactive ion beam etching system", J. Vac. Sci. Technol. B 3, 402
(1985).
50. J.A. Skidmore, L.A. Coldren, E.L. Hu, and J.L. Merz, "Radical beam/ion beam
etching of GaAs", J. Vac. Sci. Technol. B 6, 1885 (1988).
51. D.G. Lishan and E.L. Hu, "C12 and HCI radical beam etching of GaAs and
InP" , Appl. Phys. Lett. 56, 1667 (1990).
11 Plasma Processing of III-V Materials 499

52. W.J. Grande, J.E. Johnson, and C.L. Tang, "Characterization of etch rate and
anisotropy in the temperature-controlled chemically assisted ion beam etching
of GaAs", J. Vac. Sci. Techno!. B 8, 1075 (1990).
53 ..LA. Skidmore, D.G. Lishan, D.B. Young, E.L. Hu, and L.A. Coldren, "Effects
of hydrogen on chlorine radical-beam ion-beam etching of AlxGal-xAs with
varying mole fraction", J. Electrochem. Soc. 140, 1802 (1993).
54. J.J. Liang and J.M. Ballantyne, "Self-aligned dry-etching process for waveguide
diode ring lasers", J. Vac. Sci. Techno!. B 12, 2929 (1994).
55. R.E. 8ah, J.D. Ralston, S. Weissner, and K. Eisele, "Characteristics of a two-
component chemically-assisted ion-beam etching technique for dry-etching of
high-speed multiple quantum well laser mirrors", App!. Phys. Lett. 67, 927
(1995).
56. J. Daleiden, K. Eisele, R.E. Sah, K.H. Schmidt, and J.D. Ralston, "Chemical
analysis of a Cb/BCb/IBr3 chemically assisted ion-beam etching process for
GaAs and InP laser-mirror fabrication under cryo-pumped ultrahigh vacuum
conditions", J. Vac. Sci. Techno!. B 13, 2022 (1995).
57. R.E. 8ah, J.D. Ralston, .1. Daleiden, E.C. Larkins, S. Weissner, J. Fleissner,
and W. Benz, "Fabrication of dry-etched mirrors in GaAs-based and InP-based
lasers using chemically assisted ion-beam etching at low temperatures", J. Elec-
tron. Mater. 25, 1446 (1996).
58. C. Constantine, C. Barratt, S.J. Pearton, F. Ren, and J.R. Lothian, "Smooth,
low-bias plasma-etching of InP in microwave Cb/CH 4 /H2 mixtures", App!.
Phys. Lett. 61, 2899 (1992).
59. T. Pierson and C. Youtsey, unpublished.
60. S. Thomas III, E.W. Berg, and S.W. Pang, "In situ fiber optic thermometry of
wafer surface etched with an electron cyclotron resonance source", J. Vac. Sci.
Techno!. B 14, 1807 (1996).
61. E.L. Hu and R.E. Howard, "Reactive-ion etching of GaAs and InP using
CCIzF2/ Ar/02", App!. Phys. Lett. 37, 1022 (1980).
62. R.A. Gottscho, G. Smolinsky, and R. H. Burton, "Carbon tetrachloride plasma-
etching of GaAs and InP: A kinetic study utilizing nonperturhative optical
techniques", J. App!. Phys. 53, 5908 (1982).
63. G. Smolinsky, R.A. Gottscho, and S. M. Abys, "Time-dependent etching of
GaAs and InP with CCl 4 or HCI plasmas: Electrode material and oxidant
addition effects", .1. App!. Phys. 54,3518 (1983).
64. R.H. Burton, C.L. Hollien, L. Marchut, S.M. Abys, G. Smolinsky, and R.A.
Gottscho, "Etching of gallium arsenide and indium phosphide in rf discharges
through mixtures of trichlorofluoromethane and oxygen", J. App!. Phys. 54,
6663 (1983).
65. M.B. Stern and P.F. Liao, "Reactive ion etching of GaAs and InP using SiCI 4 ",
J. Vac. Sci. Techo!. B 1, 1053 (1983).
66. K. Hirata, O. Mikami, and T. Saitoh, "Direct transfer of resist grating patterns
onto InP by reactive-ion etching using CCI 4 /Oz", J. Vac. Sci. Techo!. B 2, 45
(1984).
67. G.F. Doughty, S. Thoms, V. Law and C.D.W. Wilkinson, "Dry-etching of in-
dium phosphide", Vacuum 36, 803 (1986).
68. S.J. Pearton, W.S. Hobson, and F.A. Baiocchi, "Reactive ion etching of InAs,
InSb, and GaSb in CCIzFz/Oz and C 2H 6 /H 2", .1. Electrochem. Soc. 137, 1924
(1990).
500 C. Youtsey and I. Adesida

69. K.K. Ko, and S.W. Pang, "High aspect ratio deep via holes in InP etched using
Cbl Ar plasma", J. Electrochem. Soc. 142,3945 (1995).
70. F. Ren, J.R. Lothian, J.M. Kuo, W.S. Hobson, J. Lopata, J.A. Caballero, S.J.
Pearton, and M.W. Cole, "BCh/N 2 dry-etching of InP, InAIP, and InGaP",
J. Vac. Sci. Techno!. B14, 1758 (1996).
71. J.W. Lee, J. Hong, C.R. Abernathy, E.S. Lambers, and S.J. Pearton, "Cbl Ar
etching of binary, ternary, and quaternary In-based compound semiconduc-
tors", J. Vac. Sci. Techno!. B 14, 2567 (1996).
72. S. Thomas III and S.W. Pang, "Dry-etching of horizontal distributed Bragg
reflector mirrors for waveguide lasers", J. Vac. Sci. Techno!. B 14, 4119 (1996).
73. F. Ying, W.H. Juan, and S.W. Pang, "Etching of high aspect ratio microcavity
structures in InP", J. Vac. Sci. Techno!. B 15, 665 (1997).
74. J. Etrillard, P. Ossart, G. Patriarche, M. Juhel, J.F. Bresse, and C. Daguet,
"Anisotropic etching of InP with low sidewall and surface induced damage in
inductively coupled plasma-etching using SiC1 4 ", J. Vac. Sci. Techno!. A 15,
626 (1997).
75. M.A. Bosch, L.A. Coldren, and E. Good, "Reactive ion beam etching of InP
with Cb", App!. Phys. Lett. 38, 264 (1981).
76. Y. Yuba, K. Garno, X.G. He, Y.S. Zhang, and S. Namba, "Ion beam etching of
InP. II. Reactive etching with halogen-based source gases", Jpn. J. App!. Phys.
22, 1211 (1983).
77. N.L. Demeo, J.P. Donnelly, F.J. O'Donnell, M.W. Geis, and K.J. O'Connor,
"Low power ion-beam-assisted etching of indium phosphide", Nuc!. Instrum.
Methods B 7/8, 814 (1985).
78. T. Nishibe and S. Nunoue, "Dry-etching of InGaAsP IInP structures by reactive
ion beam etching using chlorine and argon", Jpn. J. App!. Phys. 29, L2449
(1990).
79. T. Yoshikawa, S. Kohmoto, M. Anan, N. Hamao, M. Baba, N. Takado, Y.
Sugimoto, M. Sugimoto, and K. Asakawa, "Chlorine-based smooth reactive
ion beam etching of indium-containing II 1-V compound semiconductor", Jpn.
J. Appl. Phys. 31, 4381 (1992).
80. C. Youtsey, R. Grundbacher, R. Panepucci, and I. Adesida, "Characterization
of chemically assisted ion beam etching of InP", J. Vac. Sci. Techno!. B 12,
3317 (1994).
81. C. Youtsey and I. Adesida, "A comparative study of Cb and HCl gases for the
chemically assisted ion beam etching of InP", J. Vac. Sci. Techno!. B 13, 2360
(1995).
82. C. Youtsey, I. Adesida, J.B.D. Soole, M.R. Amersfoort, H.P. LeBlanc, N.C.
Andreadakis, A. Rajhel, C. Caneau, M.A. Koza, and R. Bhat, "Fabrication
of InP-based wavelength division multiplexing arrayed waveguide filters using
chemically assisted ion beam etching", J. Vac. Sci. Technol. B 14,4091 (1996).
83. T. Yoshikawa, Y. Sugimoto, Y. Sakata, T. Takeuchi, M. Yamamoto, H. Hotta,
S. Kohmoto, and K. Asakawa, "Smooth etching of various III/V and II/VI
semiconductors by Cb reactive ion beam etching", J. Vac. Sci. Techno!. B 14,
1764 (1996).
84. G.A. Vawter and C.I.H. Ashby, "Reactive-ion-beam etching of InP in a chlorine-
hydrogen mixture", J. Vac. Sci. Techno!. B 12, 3374 (1994).
85. K. Takimoto, K. Ohnaka, and J. Shibata, "Reactive ion etching of InP with
Br2-containing gases to produce smooth vertical walls: Fabrication of etched-
faceted lasers", App!. Phys. Lett. 54, 1947 (1989).
11 Plasma Processing of I II-V Materials 501

86. D.C. Flanders, L.D. Pressman, and G. Pinelli, "Reactive ion etching of indium
compounds using iodine containing plasmas", J. Vac. Sci. Techno!. B 8, 1990
(1990).
87. S.J. Peart on , U.K. Chakrabarti, E. Lane, A.P. Perley, C.R. Abernathy, and
W.S. Hobson, "Characteristics of III-V dry-etching in HBr-based discharges",
J. Electrochem. Soc. 139, 856 (1992).
88. J.W. Lee, J. Hong, E.S. Lambers, C.R. Abernathy, and S.J. Pcarton, "Dry-
etching of III-V semiconductors in IBr / Ar electron cyclotron resonance plas-
mas", J. Electron. Mater. 26, 429 (1997).
89. J.W. Lee, J. Hong, E.S. Lambers, and S.J. Pearton, "ICI plasma-etching of
111- V semiconductors", J. Vac. Sci. Techno!. B 15, 652 (1997).
90. G.F. Doughty, S. Thoms, V. Law, and C.D.W. Wilkinson, "Dry-etching of
indium phosphide", Vacuum 36, 803 (1986).
91. L.M. Bharadawaj, P. Bonhomme, J. Faure, G. Balossier, and R.P. Bajpai,
"Chemically assisted ion beam etching of InP and InSb using reactive flux of
iodine and Ar+ beam", J. Vac. Sci. Techno!. B 9, 1440 (1991).
92. R.E. Sah, J.D. Ralston, J. Daleiden, E.C. Larkins, S. Weisser, J. Fleissner,
and W. Benz, "Fabrication of dry-etched mirrors in GaAs-based and InP-
based lasers using chemically assisted ion-beam etching at low temperatures",
J. Electron. Mater. 25, 1446 (1996).
93. K.M. Eisele, J. Daleiden, and J. Ralston, "Low temperature chemically as-
sisted ion-beam etching processes using Clz, CH 3I, and IBr3 to etch InP op-
toelectronic devices", J. Vac. Sci. Techno!. B 14, 1780 (1996).
94. J.M. Rossler, Y. Royter, D.E. Mull, W.D. Goodhue, and C.G. Fonstad,
"Bromine ion-beam-assisted etching of InP and GaAs", J. Vac. Sci. Techno!.
B 16, 1012 (1998).
95. W. Katzschner, U. Niggebriigge, R. Laffier, and H. Schrater-Janssen, "Reac-
tive ion beam etching of InP with N2 and N 2/0 2 mixtures", App!. Phys. Lett.
48, 230 (1986).
96. M. Schilling and K. Wiinstel, "Deformation-free overgrowth of reactive ion
beam etching submicron structures in InP by liquid phase epitaxy", App!.
Phys. Lett. 49, 710 (1986).
97. G. Vollrath, A. Schlachetzki, and F. Fiedler, "Ion-beam-milling of InGaAsP
alloys with N 2/0 2-mixtures", Jpn. J. App!. Phys. 37, 1717 (1998).
98. U. Niggebriigge, M. Klug, and G. Garus, "A novel process for reactive ion
etching on InP, using CH 4 /H 2", Inst. Phys. Conf. Ser. 79, 367 (1985).
99. E. Andideh, I. Adesida, T. Brock, C. Caneau, and V. Keramidas, "Short-
period gratings for long-wavelength optical devices", J. Vac. Sci. Techno!. B 7,
1841 (1989).
100. I. Adesida, K. Nummila, E. Andideh, J. Hughes, C. Caneau, R. Bhat, and
R. Holmstrom, "Nanostructure fabrication in InP and related compounds",
J. Vac. Sci. Techno!. B 8, 1357 (1990).
101. U.K. Chakrabarti, S.J. Pearton, and F. Ren, "Sidewall roughness during dry-
etching of InP", Semicond. Sci. Techno!. 6, 408 (1991).
102. J.W. McNabb and H.G. Craighead, "Anisotropic reactive ion etching of InP
in methane/hydrogen based plasmas", J. Vac. Sci. Techno!. B 9, 3535 (1991).
103. R.J. Shul, A.J. Howard, C.B. Vartuli, P.A. Barnes, and W. Seng,
"Temperature-dependent electron cyclotron resonance etching of InP, GaP
and GaAs", J. Vac. Sci. Techno!. A 14, 1102 (1996).
502 C. Youtsey and I. Adesida

104. J. Etrillard, F. HeIiot, P. Ossart, M. Juhel, G. Patriarche, P. Carcenac,


C. Vieu, M. Puech, and P. Maquin, "Sidewall and surface induced damage
comparison between reactive ion etching and inductive plasma-etching of InP
using a CH 4 /H 2/02 gas mixture", J. Vac. Sci. Techno!. A 14, 1056 (1996).
105. Y. Feurprier, Ch. Cardinaud, and G. '!Urban, "Influence of the gas mixture
on the reactive ion etching of InP in CH 4 -H 2 plasmas", J. Vac. Sci. Techno!.
B 15, 1733 (1997).
106. J.E. Schramm, D. I. Babic, E.L. Hu, J.E. Bowers, and J.L. Merz, "Fab-
rication of high-aspect-ratio InP-based vertical-cavity laser mirrors using
CH 4 /H2/02/Ar reactive ion etching", J. Vac. Sci. Techno!. B 15,2031 (1997).
107. C. S. Whelan, T. E. Kazior, and K.Y. Hur, "High rate CH 4 :H2 plasma-etch
processes for InP", J. Vac. Sci. Techno!. B 15, 1728 (1997).
108. H. Nozawa, T. Shibata, and T. Tamamura, "Dry-etching of InP using
a CH 3 CI/ Ar/H2 gas mixture with electron-cyclotron-resonance excitation",
J. Vac. Sci. Techno!. B 16, 515 (1998).
109. Y. Feurprier, Ch. Cardinaud, B. Grolleau, and G. '!Urban, "Proposal for an
etching mechanism of InP in CH 4 -H2 mixtures based on plasma diagnostics
and surface analysis", J. Vac. Sci. Techno!. A 16, 1552 (1998).
110. R.D. Whaley, B. Gopalan, M. Dagenais, R.D. Gomez, F.G. Johnson, S. Agar-
wala, O. King, and D.R. Stone, "Use of atomic force microscopy for analysis of
high performance InGaAsP /InP semiconductor lasers with dry-etched facets" ,
J. Vac. Sci. Techno!. B 16, 1007 (1998).
111. C.F. Carlstrom, G. Landgren, and S. Anand, "Low energy ion beam etching
of InP using methane chemistry", J. Vac. Sci. Techno!. B 16, 1018 (1998).
112. T. Pierson and C. Youtsey, unpublished.
113. E. Andideh and I. Adesida, unpublished.
114. I. Adesida, unpublished.
115. A. Tempez, N. Medelci, N. Badi, I. Berishev, D. Starikov, and A. Bensaoula,
"Photoenhanced reactive ion etching ofIII-V nitrides in BCb / Cb / Ar /N 2 plas-
mas," J. Vac. Sci. Techno!. A 17, 2209 (1999).
116. I. Adesida, A. Mahajan, E. Andideh, M.A. Khan, D.T. Olsen, and J.N. Kuznia,
"Reactive ion etching of GaN in SiCl4 plasmas," App!. Phys. Lett. 63, 2777
(1993).
117. F. Karouta, B. Jacobs, P. Vreugdewater, N.G.H. van Melick, O. Schoen,
H. Protzmann, and M. Heuken, "High Etch rate and Smooth Morphology
Using a Novel Chemistry in Reactive Ion Etching of GaN," Electrochem. Solid-
State Lett. 2, 240 (1999).
118. A.T. Ping, I. Adesida, M.A. Khan, and J.N. Kuznia, "Reactive ion etching
of gallium nitride using hydrogen bromide plasmas," Electron. Lett. 30, 1895
(1994).
119. M.E. Lin, Z. Fan, Z. Ma, L.H. Alen, and H. Morkoc, Reactive ion etching of
GaN using BCb," App!. Phys. Lett. 64, 887 (1994).
120. H. Lee, D.B. Oberman, and J.S. Harris, Jr., "Reactive ion etching of GaN
using CHF 3 / Ar and C 2CIF 5 / Ar plasmas," App!. Phys. Lett. 67, 1754 (1995).
121. M.S. Feng, J.D. Guo, Y.M. Lu, and E.Y. Chang, "Reactive ion etching of GaN
with BCb/SF 6 plasmas," Mater. Chem. Phys. 45, 80 (1996).
122. A.T. Ping, C. Youtsey, I. Adesida, M.A. Khan, and J.N. Kuznia, "Chemically
assisted ion beam etching of GaN," J. Electron. Mater. 24, 229 (1995).
11 Plasma Processing of 111-V Materials 503

123. W.J. Lee, H.S. Kim, G.Y. Yeom, J.W. Lee, and T.r. Kim, "Facet formation
of a GaN-based device using chemically assisted ion beam etching with a
photoresist mask," J. Vac. Sci. Technol. A17, 1230 (1999).
124. A.T. Ping, M.A. Khan, and I. Adesida, "Dry-etching of AlxGal-xN using
chemically assisted ion beam etching," Semicond. Sci. Techno!. 12, 133 (1997).
125. F. Binet, J.Y. Duboz, N. Laurent, C. Bonnat, P. Collot, F. Hanauer, O. Briot,
and R. Aulombard, "Realization and optical characterization of etched mirror
facets in GaN cavities," App!. Phys. Lett. 72, 960 (1998).
126. M. Kneissl, D.P. Bour, N.M. Johnson, L.T. Romano, B.S. Krusor, R. Donald-
son, J. Walker, and C. Dunnrowicz, Characterization of AlGalnN diode lasers
with mirrors from chemically assisted ion beam etching," Appl. Phys. Lett.
72, 1539 (1998).
127. A.T. Ping, I. Adesida, and M.A. Khan, "Study of chemically assisted ion beam
etching of GaN using HCI gas," Appl. Phys. Lett. 67, 1250 (1995).
128. S.J. Peart on , C.R Abernathy, F. Ren, J.R Lothian, P.W. Wisk, and A. Katz,
"Dry and wet etching of InN, AIN, and GaN deposited by electron cyclotron
resonance metal organic molecular beam epitaxy," .I. Vac. Sci. Techno!. All,
1772 (1993).
129. RJ. Shul, S.P. Kilcoyne, M.H. Crawford, J.E. Parmeter, C.B. Vartuli, C.R
Abernathy, and S.J. Pearton, High temperature electron cyclotron resonance
etching of GaN. InN, and AIN," Appl. Phys. Lett. 66,1761 (1995).
130. C.B. Vartuli, S.J. Pearton, J.W. Lee, .I. Hong, J.D. Mackenzie, C.R. Aber-
nathy, and R.J. Shul, IClj Ar electron cyclotron resonance plasma-etching of
I11-V nitrides," Appl. Phys. Lett. 69, 1426 (1996).
131. C.B. Vartuli, S.J. Pearton, .T.W. Lee, J.D. Mackenzie, C.R. Abernathy, and
RJ. Shul, "Electron cyclotron resonance etching of 111-V nitrides in IBr j Ar
plasmas," J. Vac. Sci. Techno!. B 15,98 (1997).
132. R.J. Shul, G.B. McClellan, S.A. Casalnuovo, D.J. Rieger, S.J. Pearton,
C. Constantine, C. Barratt, R.F. Karlicek, Jr., C. Tran, and M. Schurman,
"Inductively coupled plasma-etching of GaN," Appl. Phys. Lett. 69, 1119
(1996).
133. S.A. Smith, C.A. Wolden, M.D. Bremser, A.D. Hanser, R.F. Davis, and W.V.
Lampert, "High rate and selective etching of GaN, AIGaN, and AIN using an
inductively coupled plasma," Appl. Phys. Lett. 71, 3631 (1997).
134. RJ. Shul, G.A. Vawter, C.G. Willison, M.M. Bridges, J.W. Lee, S.J. Pearton,
and C.R. Abernathy, "Comparison of plasma-etch techniques for 111-V
nitrides," Solid-State Electron. 42, 2259 (1998).
135. F.A. Khan, L. Zhou, A.T. Ping, and r. Adesida, unpublished.
136. H.P. Gillis, D.A. Choutov, K.P. Martin, M.D. Bremser, and RF. Davis,
"Highly anisotropic, ultra-smooth patterning of GaN jSiC by low energy elec-
tron enhanced etching in DC plasma," J. Electron. Mater. 26, 301 (1997).
137. RT. Leonard, and S.M. Bedair, "Photoassisted dry-etching of GaN," App!.
Phys. Lett. 68, 794 (1996).
138. K. Hikosaka, T. Mimura, and K. Joshin, "Selective dry-etching of AIGaAs-
GaAs heterojunction," Jpn . .I. Appl. Phys. 20, L847 (1981).
139. A. Ketterson, E. Andideh, I. Adesida, T. Brock, J. Baillargeon, J. Laskar,
K.Y. Cheng, and .I. Kolodzey, "Selective reactive ion etching for short-gate-
length GaAsj AlGaAsjlnGaAs pseudomorphic modulation-doped field effect
transistors," .1. Vac. Sci. Technol. B 7, 1493 (1989).
504 C. Youtsey and I. Adesida

140. K. Seaward, N. Moll, D. Coulman, and W. Stickle, "An analytical study of


etch and etch-stop reactions for GaAs on AIGaAs in CCbF2 plasma," J. App!.
Phys. 61, 2358 (1987).
141. K. Seaward, N. Moll, and W. Stickle, "The role of aluminum in selective
reactive ion etching of GaAs on AIGaAs," J. Vac. Sci. Techno!. B 6, 1645
(1988).
142. W.H. Guggina, A.A. Ketterson, E. Andideh, J. Hughes, I. Adesida, S. Caracci,
and J. Kolodzey, "Characterization of GaAs/ AlxGal-xAs selective reactive
ion etching in SiCI 4/SiF4 plasmas," J. Vac. Sci. Techno!. B 8, p. 1956, 1990.
143. W. Guggina, M. S. Thesis (University of Illinois, Urbana-Champaign, IL,
1991).
144. S. Murad, P. Wang, N. Cameron, S. Beaumont, and C.D.W. Wilkinson, "Dam-
age free and selective RIE of GaAs/ AIGaAs in SiCI4/SiF 4 plasma for MESFET
and pseudomorphic HEMT gate recess etching," Microelectron. Eng. 27,439
(1995).
145. S. Murad, N. Cameron, S. Beaumont, and C.D.W. Wilkinson, "Effects of O 2
addition to SiCI 4/SiF 4 and the thickness of the capping layer on gate recess
etching of GaAs-pseudomorphic high electron mobility transistors," J. Vac.
Sci. Techno!. B 14, 3668 (1996).
146. S. Salimian, and C.B. Cooper, III, "Selective dry-etching of GaAs over AIGaAs
in SF 6 /SiCI4 mixtures," .1. Vac. Sci. Techno!. B 6, 1641 (1988).
147. C.S. Wu, F. Ren, S. Pearton, M. Hu, C.K. Pao, and R.F. Wang, "High ef-
ficiency microwave power AIGaAs/InGaAs/ PHEMTs fabricated by dry-etch
single gate recess," IEEE Trans. Electron Devices 42, 1419 (1995).
148. S.J. Pearton, "High ion density dry-etching of compound semiconductors,"
Mater. Sci. and Eng. B 40, 101 (1996).
149. D.G. Ballegeer, S. Agarwala, M. Tong, K. Nummila, A. Ketterson, I. Adesida,
J. Griffin, and M. Spencer, "Selective reactive ion etching in SiCI4/SiF 4 plasma
for gate recess in GaAs/ AIGaAs modulation-doped field effect transistors,"
J. Vac. Sci. Techno!. B 11,618 (1993).
150. C. Lauterbach, H. Albrecht, M. Beschoner, R. Gessner, and M. Schier, "Self-
aligned gate recess technology of InAIAs/InGaAs HEMT structures using
InA lAs as an etch-stop layer," Proc. 3 rd Int. Conf. Indium Phosphide and
Related Mater. (Cardiff, Wales), (1991), pp. 610-613.
151. E. Andideh, Ph.D. Thesis (University of Illinois, Urbana-Champaign, IL,
1990).
152. H.C. Duran, W. Patrick, and W. Bachtold, "Atomic force microscopy inves-
tigations of dry-etched gate recesses for InGaAs/InAIAs-based high-electron-
mobility transistors using methane-hydrogen reactive ion etching," J. Vac. Sci.
Techno!. B 13, 2386 (1995).
153. M. Achouche, A. Clei, and J.C. Harmand, "Characterization of electrical dam-
age induced by CH4/H2 reactive ion etching of molecular beam epitaxial
InAIAs," J. Vac. Sci. Techno!. B 14, 2555 (1996).
154. H.C. Duran, L. Ren, M. Beck, M. Py, M. Ilegems, and W. Bachtold, "Low-
Frequency Noise Properties of selectively Dry Etched InP HEMT's," IEEE
Trans. Electron Devices 45, 1219 (1998).
155. S. Agarwala, I. Adesida, C. Caneau, and R. Bhat, "Selective reactive ion
etching of InGaAs/InAIAs heterostructures in HBr plasma," App!. Phys. Lett.
62, 2830 (1993).
11 Plasma Processing of 111-V Materials 505

156. S. Agarwala, K. Nummila, I. Adesida, C. Caneau, and R. Bhat, "InAIAs/


InGaAs Heterostructure FETs processed with selective reactive ion etching
gate-recess technology," IEEE Electron Device Lett. 14, 425 (1993).
157. S. Murad, S. Beaumont, M. Holland, and C.D.W. Wilkinson, "Selective reac-
tive ion etching of InGaAs and InP over InAlAs in SiCI 4 /SiF 4 /HBr plasmas,"
J. Vac. Sci. Technol. B 13, 2344 (1995).
158. D. Basak, K. Yamashita, T. Sugahara, D. Nakagawa, Q. Fareed, K. Nishino,
and S. Sakai, "Selective etching of GaN over AlxGal-xN using reactive ion
plasma of Cb/CH 4 / Ar Gas Mixture," Jpn. J. Appl. Phys. 38, 42 (1999).
159. S. Pearton, J.C. Zolper, R.J. Shul, and F. Ren, "GaN: Processing, defects, and
devices," J. Appl. Phys. 86, 1 (1999).
160. S.A. Smith, C.A. Wolden, M. Bremser, A.D. Hanser, R.F. Davis, and W.V.
Lampert, "High rate and selective etching of GaN, AIGaN, and AlN using an
inductively coupled plasma," Appl. Phys. Lett. 71, 3631 (1997).
161. A.T. Ping and I. Adesida, unpublished.
12 Ion Beam Etching of Compound
Semicond uctors*

G.A. Vawter

12.1 Introduction

Many optical and electronic devices fabricated of compound semiconductor


materials have very exacting and demanding horizontal and vertical pattern-
ing requirements. Etched-facet diode lasers require extremely smooth vertical
or precisely tilted facets. Blazed optical gratings use tenth-micrometer ridges
etched at an angle. Ridge optical waveguide devices, such as modulators and
switches, must be etched to a precise width and depth with a minimum of
etch-induced damage. These, and many other, applications require a degree
of control of the etch rate and etch profile not readily available with conven-
tional reactive ion etching or many "downstream" techniques. Ion beam etch
technology was developed as a means to provide additional control of the etch
process by decoupling the ion current from the ion incident energy (plasma
self-bias) and pressure. This chapter reviews the foundational technology of
the two principal ion beam etch methods, reactive-ion-beam etching (RIBE)
and chemically assisted ion-beam etching (CAIBE), and their application to
etching of compound semiconductors.

12.2 Definitions

12.2.1 Ion Beam Etching

Combining reactive ion etching (RIE), as described in Chap. 1, with ion beam
etching (IBE) or ion milling created RIBE and CAIBE. IBE uses a broad-
area ion source to direct an energetic beam of a noble gas, such as Ar, Xe
or Ne, towards the etch target to remove material by physical sputtering.
Ions are extracted from a plasma by a set of electrically biased grids serving
to establish the energy of the beam and the angular divergence of the ions
within the beam. The ion flux, or beam current, is determined by the density
of ions within the plasma as established by the plasma power supply. Thus
the beam energy, divergence, and current can be set independently over a
* Work carried out at Sandia National Laboratories. Sandia is a multiprogram
laboratory operated by Sandia Corporation, a Lockheed Martin Company, for
the United States Department of Energy under Contract DE-AC04-94AI85000

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
508 G.A. Vawter

wide range of operating conditions. However, since IBE uses only inert ions,
little can be done to control the etched-surface morphology. Vertical sidewalls
cannot be obtained without tipping the etch piece and deep trenches tend
to form around the edges of the etched features due to ions bouncing off the
sidewalls.

12.2.2 Reactive Ion Beam Etching


Sidewall profile control is dramatically improved and etch-induced damage
reduced through use of chemically-reactive gas species directly in the ion
beam. Use of a reactive ion beam to etch material is referred to as reactive
ion beam etching or RIBE. Figure 12.1a shows a schematic representation
of an RIBE system. The addition of chemical reactions to the etch process
increases the etch rate and enhances lateral etching so that sidewall profiles
may be engineered to be vertical, undercut (etched beneath the mask), or
overcut (sloping away from the mask). The etch rate is enhanced over that
obtained by simple exposure of the surface to the reactive gas due to the
combined effects of fragmentation of the reactive gas within the ion source
and collisional fragmentation of adsorbed molecules at the etch surface during
ion bombardment. Both processes serve to break molecular etch gases into
potentially more reactive species and have variable contributions to the etch
process for different etch conditions and etch gases. Additional control of the
relative contribution of the chemical and physical etch components of the
etch is afforded by mixing chemically reactive and inert gas species within
the ion beam. Fundamentally, an RIBE system is simply an IBE system with
a reactive gas, such as chlorine, plumbed into the ion source in place of or
in addition to the inert gas. However, care must be taken to ensure that
the ion source is compatible with the particular reactive gas to be used. For
example, a traditional Kaufman ion source has internal hot filaments, which
will etch on exposure to chlorine. For this reason, filamentless sources have
been developed for use in RIBE. Two types of ion sources are currently in
widespread use. These are electron cyclotron resonance (ECR) [1) and radio
frequency (rf) type sources. Figure 12.1a shows an example of an rf-type
source using an inductively coupled plasma (ICP).

12.2.3 Chemically Assisted Ion Beam Etching


CAIBE, also known as ion-beam-assisted etching or IBAE, was initially devel-
oped as a means to sidestep the limited lifetime of Kaufman sources running
reactive or corrosive gases. Continuing to use an inert ion beam of Ar or Xe
but exposing the etch piece to a locally directed spray of a reactive gas such
as chlorine prevents exposure of the ion source to high concentrations of cor-
rosive species. The ion source then retains a long usable lifetime and costly
changes to the ion source are not needed. Figure 12.1b shows just such a
CAIBE system with a Kaufman ion source. More recently rf-type ion sources
12 Ion Beam Etching of Compound Semiconductors 509
...
t.I)
...
t.I)
:3 :3

~ r~ ~.rll
III ...e c
Oil
<i OJ
u
u 0. :.2
<: E I' Ul
B
'"
Vl
...E
E
E
C<I
~ q)
ii: CO
S c
'"
Q,I
=0 :0;
0

.::= '-" ~
<I)
1:: ' (i;
.: «
Q,I <I)

~
~
()
VI

" '"...'" 'E


..
0 0. q)
1::
c en ...c::
U
'" .,...u'"
-= OJ

'8c
<: .,
. is..
.2
-u
g f .,5 '-c
Ol)
.
~
t.'

".
;-
'0
><
~]
]~
o 0
';;l
(j
0- .- c z·:
8"
-uco -
" '"
~ o
. u
~ .~
0.5
CI:

"
0.
E
'"
Vl

\ :g o
c
.2
~><
UJ
:;
"
Cl

Fig. 12.1. Schematic representation of an RIBE, (a), and CAIBE, (b) , etch system.
Vacuum chamber walls are not shown. RIBE system is shown with an ICP-type
source while the CAIBE is shown with a Kaufman-type ion source.
510 G.A. Vawter

such as the one shown in Fig. 12.1a have also been extensively employed in
CAIBE configurations. One key difference between RIBE and CAIBE is that
while RIBE uses a reactive plasma to generate an ion beam, CAIBE does
not directly inject reactive species into the ion source. As a result, fragmen-
tation of molecular species does not occur except as collisional fragmentation
of molecules adsorbed to the crystal surface. Therefore it is beneficial that
the gas has spontaneous reactivity with the etch surface. On the other hand,
CAIBE does offer separate control of the reactive and inert gas (ion beam)
partial pressures at the etched surface. This additional separation of the ki-
netic and chemical etch components gives CAIBE a slightly greater degree of
control of the sidewall profile although this is limited to the extremes of high
reactive gas pressure where an ion source alone may not function properly.
Ion beam energies used by both RIBE and CAIBE are generally below
1 keV with 200 to 600 e V used most often as this range offers combined high-
quality etch profiles, good etch rates and acceptable etch-induced damage.
Higher energies in the 30 to 50 keV range are sometimes used for CAIBE
with a focused ion beam [2]. However, discussion of high energy is beyond the
scope of this chapter.

12.2.4 Sputter Yield


Sputter yield is a commonly encountered concept within the ion beam etch
literature. Sometimes referred to as etch yield, this is the number of substrate
atoms removed by the etch process for each ion impacting the surface. Sputter
yield can be calculated directly from the etch rate and ion current density
according to
sputter yield = Repq/ J ion (12.1)
where Re is the etch rate, p is the atomic density of the etched material,
J ion is the ion beam current density, and q the electronic charge per ion.
The sputter yield is used as a measure of the efficiency of an ion beam etch
process. Generally the sputter yield of semiconductors during Ar IBE at
energies below 1 keY is very near 1 [3]. Chemically assisted processes, such
as RIBE and CAIBE, frequently have sputter yields between 5 and 20 with a
few reports suggesting yields as high as 100 for processes with a high chemical
etch component. High sputter yields are an indicator that the surface chemical
reaction is weakening, or completely removing, the bonds between the surface
layer and the underlying material such that the energy of a single ion impact
is sufficient to desorb many substrate atoms or etch-product molecules.

12.3 Ion Sources


It is important to understand the capabilities and limitations of the various
ion sources used in modern ion beam systems. The majority of systems in
use today employ Kaufman-type, ECR, or rf ion sources.
12 Ion Beam Etching of Compound Semiconductors 511

A Kaufman source [4] (Fig. 12.1b) uses electrons injected into a dc plasma
discharge chamber. Either a hot filament or hollow cathode may generate
the electrons with a hot filament being the most common configuration. A
weak magnetic field confines the electrons within the plasma causing them to
oscillate, enhancing the probability of an ionizing collision with a gas atom.
The grid ion extraction system is placed in a field-free region or at right
angles to the diverging magnetic field. When used with inert gases Kaufman
sources give excellent service with a long lifetime. Unfortunately, when used
for RIBE their main drawback is limited lifetime due to filament degradation.
ECR plasmas were incorporated into ion sources in order to obtain long-
lived ion sources compatible with a wide variety of corrosive or reactive gas
species. In the simplest terms, ECR sources use crossed magnetic and elec-
tric fields to trap electrons in oscillatory behavior within a plasma. The
magnetic field may be generated by either large solenoid-type electromag-
nets surrounding the chamber or many small permanent magnets attached
to the chamber wall. An oscillating electric field at 2.45 GHz is introduced
through a microwave-transparent window or internal antenna. The electric
and magnetic field strengths and the electric field frequency are all set to in-
duce an electron-cyclotron resonance condition where electrons orbit within
the plasma. ECR sources are very robust since few parts are exposed to the
plasma. Internally they may be as simple as a grid set attached to a liner
within a microwave-resonant cavity. The liner is used to establish the plasma
potential for ion extraction. Ion beam current is set by the applied microwave
power. For all their robustness ECR sources are relatively expensive, due to
the microwave generator and hardware needed, and suffer from a limited
tuning range, due to the electromagnetic mode structure of the microwave-
resonant cavity. Overall, a fairly wide tuning range of ion-beam current and
energy is possible but microwave mode changes within this tuning range make
some regions of operation inaccessible and ion beam uniformity may change
as the source switches modes with applied microwave power.
Another approach to reactive-gas compatible ion sources is the use rf ex-
citation. These can be either inductively [5] or capacitively coupled, using
magnetic or electric fields, respectively, to excite the plasma. An inductively-
coupled plasma (ICP) source (Fig. 12.1a) often comprises a helical antenna
wrapped around a quartz discharge chamber. An ICP source may also in-
clude electrostatic shields to limit the amount of electrostatic coupling from
the antenna, thereby limiting electric-field-induced internal sputtering of the
source. A properly designed ICP source offers fairly high plasma densities
of > 1 x 10 11 cm- 3 at high currents and electron temperatures within the
plasma as low as 5eV [6]. Capacitively-coupled ion sources, in one common
configuration, do not have a helical antenna but instead apply an RF poten-
tial between the back of the grids and the opposite side of the ion source.
The principal drawback of capacitive sources is internal sputtering of the
electrodes by direct ion impact leading to contamination of the ion beam
512 G.A. Vawter

with source materials and internal wear of the source. Unlike ECR sources, rf
sources do not have electromagnetic standing waves. This means that, when
compared to ECR sources, rf sources have a non critical diameter, more sta-
ble beam uniformity as power is changed, and continuously variable beam
current over a wide range with monotonic dependence on rf power.
In all cases the extracted ion beam constitutes a flux of positive charge
directed at the etch sample. In situations where the etch sample is electri-
cally conductive this charge exits the sample as an electrical current passing
through the sample and into the supporting stage. However, etch samples
often are not good electrical conductors or have regions of poor conductiv-
ity on their surface. Poor sample conductivity can lead to charge buildup
on the sample and eventually to abrupt discharging by electrical arcing to
nearby conductive surfaces. Such charge buildup can be very detrimental to
the performance of static sensitive devices, such as transistors. Significant
charge accumulation may be prevented by secondary electron emission from
the conductive stage causing a gradual removal of charge from the sample
surface. A more reliable means of eliminating charge buildup in the sample
is to neutralize the ion beam by introducing a flux of electrons into the ion
beam matching the positively charged ion flux. The resulting beam is charge
neutral and has zero net charge flux at the sample surface. Beam neutraliza-
tion is commonly used in both RIBE and CAIBE. Neutralization techniques
include electron emission from a hot filament placed in the beam and electron
emission from a cold cathode source placed to the side of the beam near the
grids.
Although these ion sources do differ in etch performance, these differences
are not dramatic and tend to be limited to uniformity, gas use efficiency, and
the ratio of ions to neutrals in the beam. Even ion sources of the same type
from different manufacturers will have some differences in these properties.
As a result, the type of ion source used in the variety of etch applications
discussed in the "etch technologies" section of this chapter is not specifically
noted. However, the reader is advised to take note of the ion-source configu-
ration when reading published papers on particular applications of ion-beam
etching. An excellent, detailed, discussion of ion-beam sources for etching can
be found in reference [4].

12.4 Historic Development

The historic development of ion-beam etching with reactive species spans


two decades. The first reported use of CAIBE appears in 1979 when Coburn
and Winters [7] examined etching of Si, Si02, and Si3N4 with XeF 2, F 2, and
C1 2· Matsui et al. [8] then used RIBE to etch LiNb0 3 with CHF 3 and CF 4.
Bosch et al. [9] reported the first RIBE of a semiconductor in 1981, using a
Cb ion-beam to etch InP and observing O.2~mmin-l. etch rate with sloped
sidewalls. Harper et al. [10] published CF 4 CAIBE of Si. Geis et al. [11] then
12 Ion Beam Etching of Compound Semiconductors 513

reported CAIBE of GaAs and AI, observing 3 to 511mmin-l etch rates and
asymmetric profiles due to molecular beam shadowing. The next year Powell
[12] reported CCl 4 RIBE of GaAs and Matsuo and Adachi [13] demonstrated
the first use of RIBE with an ECR ion source to etch Al and Si0 2 with SiCl4
and C 4 F g respectively. In 1985 Asakawa and Sugata [1] reported the first use
of an ECR source in an ultra-high vacuum (UHV) system for nonselective
etching of GaAsj AIGaAs. The first reported CAIBE of InP [14] occurred
in the same year with the observation that temperatures above 150°C were
needed to obtain smooth surfaces with C1 2 . Most of the pioneering work
had been completed by the end of 1985. In 1988 some effort was made to
improve the reactivity of the molecular species used in CAIBE by exciting a
plasma in the gas-injection tube to generate a shower of chlorine radicals [15].
This configuration, dubbed radical beam ion beam etching (RBIBE), demon-
strated enhanced etch rates for GaAs, particularly at low energy, compared
to conventional CAIBE.

12.5 Grid Design, Beam Uniformity, and Divergence

Both CAIBE and RIBE are readily separated into kinetic and chemical con-
tributions to the etch process. It is crucial to both that a high-quality and
uniform ion-beam is available for sputter removal of adsorbed species. Al-
though ion sources have been fitted with single, dual, and triple ion-extraction
grids, most systems use dual grids for their wide range of operation and ease
of use. In dual-grid systems, the inner (screen) grid is biased positively, es-
tablishing the plasma potential and the ion-beam energy, while the front
(accelerator) grid is biased negatively to prevent electron backstreaming into
the source, minimize ion impingement on the grid, and control lateral diver-
gence of the beam (Fig. 12.1). Detail on design and practical considerations
of ion extraction grids is available in [4]. An ion-extraction grid is essentially
a two-dimensional array of holes through which ions are extracted as a two-
dimensional array of beamlets. Each beamlet typically has a lateral angular
divergence of 7° to 18° for extraction potentials of a few hundred volts. The
divergence is a function of the individual hole size, grid spacing, and poten-
tial difference. The resulting broad ion-beam is the sum of all the beamlets.
Interaction of the beamlets and plasma nonuniformity above the grids influ-
ence the overall beam quality in a complex manner [16] but simple models
of noninteracting beamlets are sometimes adequate predictors of grid per-
formance over reasonable operating ranges [17,18]. Three-grid systems have
been used to a limited extent as a means to obtain improved beam columa-
tion at low energies [19]. Unfortunately, three-grid ion-extraction plates are
practical to manufacture only for smaller ion sources and have reduced beam
current capacity compared to dual grid configurations.
Selection of grid diameter, hole pattern and working distance is very im-
portant in obtaining the desired etch results. To a first order, a large grid
514 G.A. Vawter

diameter set at a small working distance from the sample will promote uni-
formity of etching (to the extent that the plasma above the grid is uniform)
while a small grid placed at a large working distance promotes vertical wall
formation. Many reports of vertical sidewall etches for diode laser facets em-
ploy small ion sources of 2.5 to 5 cm diameter placed at quite large working
distances of 40 cm or more [20]. This has the effect of creating a virtual point
source of ions such that all ions hitting the etch piece are at near-normal
incidence. This practice has the drawback of poor uniformity for large etch
pieces and poor ion incident angle at the edges of large etch pieces [4]. Most
applications of ion-beam etching in a modern semiconductor facility are a
compromise of the combined need for uniformity over 5 cm to 10 cm diameter
wafers and substantially vertical etched sidewalls. Grid diameter, hole pat-
tern and working distance may all be taken into account in order to optimize
etch system performance. It has long been common for commercial ion source
manufacturers to use non-uniform arrays of holes in moderate-diameter grids
in order to offset the edge effect of the grid and improve etch uniformity. In
this manner quite good uniformity, approaching ±1% over 75 mm, may be
obtained. However, since this practice requires that holes be removed from
near the center of the grid, angular performance of the beam is degraded
and the expectation of vertical sidewall formation is reduced. A simulation of
noninteracting Gaussian beamlets, wherein beam current density versus solid
angle of incidence at the center of an etch piece is calculated [21], reveals the
extent of this compromise and gives some idea of the influence of grid design
on final etch results. Figure 12.2 shows the results of this simulation for an
etch piece placed 35 cm from a 15 cm diameter grid using beamlets with a
15° divergence. Although the nonuniform grid offers quite good uniformity
of ±1.6% over 50 mm, relatively little ion current impacts the etch piece at
angles between 85° and 89°. On the other hand, the uniform hole array shows
much more ion current at these steeper angles of incidence while maintaining
a respectable uniformity of ±3.4%. Clearly grid design and placement play
a significant role in determining the overall etch result. With this in mind,
investigators should always take care to include this information in published
work so that readers may use this information to improve the interpretation
of results.
The spread of ion angular trajectories within the ion-beam is controlled,
within limits, by the bias potential applied to the accelerator grid. Larger
accelerator bias generally increases the divergence of the beam but the rela-
tionship between accelerator bias and divergence is not strictly monotonic.
The variable divergence of the ion-beam can be used to control the flux of ions
impacting the sidewalls of the etched features and the resulting lateral etch
rate. In some cases the sidewall profile may be adjusted between undercut,
vertical, and overcut simply by variation of the accelerator bias.
12 Ion Beam Etching of Compound Semiconductors 515

X Uniform array of holes, beam uniformity ±3.4%


~
1.2
o Non-unif. array of holes, beam uniformity ±1.6%
'c
::J

.e
~
X
3:
0
"0 a a X
c: 0.8 a X
~ a a
fa a X
C\J
tI 0.6 a a aX
c: 0
£ aa X
~ a
0
C 0.4 .l!!:! 0
~
:;
a 0

0
0.2
0 a xa

~
0
78 80 82 84 86 88 90
Angle (0)

Fig. 12.2. Calculation of ion current versus angle of incidence for two different ion
extraction grid designs. Grids are 15 cm in diameter, placed at a 35 cm working
distance [21]. The uniform hole array shows more ion current at near-normal angles
of incidence.

12.6 Brief Overview of Etching Kinetics and Chemistry

The reaction processes by which RIBE and CAIBE enhance the basic ion
sputter etch rate are nearly identical. The primary difference is that RIBE
passes the reactive species through the plasma used to generate the ion-beam
and thereby can break down molecular gases into more reactive species. From
the kinetics viewpoint it matters little that an incident ion may be reactive
or inert. The most important property of the ion is the energy it can transfer
to an adsorbed layer or to the crystal surface. The following is a very simple
overview, intended only to give the general concepts, of the chemistry and
kinetics of chemically enhanced ion-beam etching. The interested reader is
referred to Chaps. 1,3 and the references for more detailed information. The
discussion focuses on GaAs etching with Ch, where a wealth of information
exists. Etching of other III-Vs or using other gases follows the same general
processes but must be interpreted in terms of the reactivity and volatility of
those specific materials.
Both RIBE and CAIBE are well described by the concept of surface ad-
sorption of reactive species followed by kinetically enhanced desorption of
the etch product. Many experiments have been performed to flesh out the
details of this picture. Among these experiments, a 1 keV Ne beam was mod-
ulated in the presence of a continuous flow of Ch in order to measure the
516 G.A. Vawter

specific ion-assisted processes taking place during CAIBE of GaAs [22]. Re-
sults of this experiment support sputtering of an altered surface layer. GaCh
and AsCl 3 were identified as the major product species along with substan-
tial amounts of atomic Ga and As. GaCI and GaCl 2 were apparent for low
chlorine flows corresponding to reduced surface coverage with Ch. In a sepa-
rate experiment, thermal and ion-enhanced desorption of clean GaAs exposed
to Ch was examined [23]. This study found that low Cl 2 coverage leaves a
Cl 2 monolayer bound to Ga, which thermally desorbs as GaCI with arsenic
coming off as As 2 • Heavier coverage led to a GaAs-CI corrosion layer, which
desorbed as GaCh and As 2 . When surfaces with adsorbed Ch were exposed
to 550eV Ar ion bombardment the desorbed species shifted in favor of GaCI,
even for heavier Ch coverage. This suggests that an ion mixing process occurs
where the CI from the corrosion layer is disbursed throughout the subsurface
region by the ion-beam, leaving the surface more reactive to further adsorb-
ing species. When this happens, the thermodynamically favored desorption
product becomes GaCl.
These etch studies illustrate the diversity of experimental results form-
ing the basis of proposed etch models. Understandably, several etch models
exist. All of them share the common feature of surface adsorption of reac-
tants followed by kinetically enhanced desorption. The simplest model, from
Balooch et ai. [24], proposes that a fractional layer of Ch is adsorbed and
spontaneously reacts with two substrate atoms forming GaCl 3 and AsCI 3 .
These tri-chlorides are then sputtered by ion impact. A somewhat more com-
plex model from Ha et al. [25] holds that the adsorbed Ch causes a rapid
partial chlorination satisfying the "free valences" of the exposed Ga and As
without breaking the bonds holding these atoms to the surface. GaCh and
AsCh then form as a second step followed by volatilization of GaCh and
AsCh·
GaAs(s)+ 2Ch(g) ~ GaCI 2 (s) + AsCh(s), (12.2a)
GaCh(s) + AsCh(s) + Cl2 ~ AsCh(g) + GaCI 3 (s), (12.2b)
GaCh(s) ~ GaCh(g) + free surface site (12.2c)

The first of these steps (12.2a) is considered to be rapid while the second
and third steps are the basis of rate equations for the etch process. Forma-
tion of tri-chlorides (12.2b) is the rate-limiting step at low pressures, while
volatilization of etch products (12.2c) limits the etch rate at high pressures.
A third model by Davis and Wolf [26] proposes that the primary contribution
to the etch yield is collisional cascade ejection of di-chloride species (GaCh
and AsCI 2 ) which are formed by a dissociative chemisorption reaction with
a physisorbed chlorine molecule. Etch yield is then dependent on the current
density through the surface flux ratio of reactive gas to ion flux as well as
the chemisorption and re-emission rates. Figure 12.3 is a generalized repre-
sentation of both RIBE and CAIBE etch processes. Both di-chlorides and
12 Ion Beam Etching of Compound Semiconductors 517

chlorine RIBE schematic

Ar+~
C12(X) W

argon/chlorine CAIBE schematic

Fig. 12.3. Generalized representation of RIBE, top, and CAIBE, bottom, etch
processes.

tri-chlorides are shown as etch products. The RIBE process shows CIt and
CI+ ions contributing to the etch kinetics while CAIBE has only Ar+.
Numerical modeling of the etch process has been fairly successful. A
Monte Carlo model of Cl 2 CAIBE of GaAs was completed with good re-
sults by Hagberg et al. [27]. This model studied the etch rate and sidewall
profile dependence on Ch flow, ion flux, and pressure. The investigator's own
surface reaction model along with those of Balooch, Ha, and Davis were used
in the simulation with the result that the Hagberg, Balooch and Ha models
gave good agreement between the Monte Carlo simulation and experimental
etch results. The Davis model did not give the correct nonlinear behavior of
the experimental etch at combined high ion-current density and low chlorine
flow. General conclusions of the simulation are: (1) At fixed temperature, in-
creased Cl 2 flow increases Cl 2 coverage of the surface and etch yield but both
will eventually saturate. (2) Increased Ch flow decreases the Ar mean free
path resulting in Ar scattering and undercut etch profiles. (3) The shape of
the mask is critical in obtaining vertical wall profiles. (4) Good heat-sinking of
the etch piece is required to suppress undesired spontaneous sidewall etching.
Another approach to modeling etch reactions is to look at the phenomeno-
logical behavior of the etch and split the process into ion-assisted (reactive)
518 G.A. Vawter

etching, physical sputtering and chemical etching. Using simple models of


ion-beam sources, Tadokoro et al. [28] finds that the physical sputtering rate,
proportional to the kinetic energy of the ion-beam, is proportional to V 5 j2
where V is the beam voltage. Ion-assisted etching (or reactive ion etching)
rates are determined by the ion flux such that the reactive etch rate is propor-
tional to V3j2. Finally, a purely chemical etch is independent of ion-extraction
voltage. In this manner, an etch process may be classified as predominantly
sputter-like, ion-assisted, or chemical in nature using curve fits to etch-rate
versus ion-beam-voltage data. Completing this exercise with 200 e V Cl 2 RIBE
of GaAs and InP, it is seen that room temp etching is sputter-like, higher
temperature etching is reactive in nature, and at 200°C the GaAs etch has
nearly equal reactive and chemical components [28]. Looking briefly at the
high-density plasma etch literature, in a study of room temperature Clz RIE
of GaAs using an ECR source, Eddy [29] identified etches at less than 50eV
as being primarily chemical, etches between 50 and 200 eV as ion assisted,
and etches at greater than 200 e V as being sputter-like in nature. Finally, van
Delft [30] has isolated thermally activated and kinetic etch components and
generated rate equations comprised of a mechanical rate constant added to a
thermal rate constant multiplied by a Maxwell-Boltzman factor. This model
gives good agreement with etch-rate versus temperature data for Clz/ Ar
RIBE of InP.

12.7 Surface Quality and Etch Masking

Surface and sidewall quality are very important aspects of ion beam etching.
In fact it is often the availability of smooth surfaces which prompts the use
of dry etching. Obtaining a smooth surface with II I-V materials sometimes
requires care in establishing the etch process due to the different chemical
properties of the group III and V constituents of the material. Controlling the
volatility of the etch products and obtaining the right balance of chemical and
kinetic etching is important. With GaAs, obtaining smooth etching is fairly
simple due to the similar reactivity and volatility of Ga, As, and their respec-
tive chlorides. Clz RIBE of GaAs at room temperature between 200eV and
400eV energy and O.4mTorr to 2mTorr pressure has been found to provide
optimum smoothness [31]. In this etch system it is seen that smoothness in-
creases with pressure as the chemical etch component of the etch is increased.
On the other hand, In-containing compounds may give very rough etch re-
sults unless care is taken to ensure adequate volatility of the In-containing
etch product or to provide sufficient kinetic energy so that less volatile etch
products are removed by sputtering. Techniques for achieving smooth etch
results with various materials will be highlighted throughout the remainder
of this chapter.
The mask used to achieve laterally selective etching or pattern transfer
into the etched piece plays a critical role in the final sidewall morphology
12 Ion Beam Etching of Compound Semiconductors 519

and smoothness of the etch. For example, a mask material which sputters
away and redeposits elsewhere on the piece may cause microroughness, or
grass, as tiny bits of mask material are distributed across the surface during
the etch. An ideal mask will not redeposit onto the etched surface and will
not itself be etched. If the sidewall of a mask erodes during the etch the
eventual etch sidewall will be tilted inward due to the narrowing of the mask
pattern. Many mask materials have been tried either as single layers or as part
of a complex multilayer system. Most of these efforts have been focused on
obtaining smooth, vertical sidewalls for photonics applications. The following
is a brief synopsis of masks that have been tried over the years.
Photoresist is, without a doubt, the most common masking material in
use today. Photoresist has good mask properties for many etches, is easy
to use, and extremely flexible. Simply using standard photoresist practices
for exposure, develop and post bake will often yield satisfactory results if
wall angle and smoothness are not critical to the properties of the device.
Sidewall smoothness can sometimes be improved through careful baking of
the photoresist, either before or after development, in order to reflow the
exposed edge. For Ch etching, better selectivity (reduced etch rate of mask
compared to the etch piece) may be obtained with higher Cl 2 pressures, lower
ion current density [26], and low ion energy. Overheating of the photoresist
resulting from use of too high an ion current density can degrade the quality
of the etch [32].
In applications such as formation of diode laser facets, where smooth and
vertical walls are crucial, single layers of photoresist have not provided suf-
ficient process latitude for deep etches with optically smooth, straight walls.
The tri-Ievel mask [1] was developed as a means to obtain fine pattern ca-
pability with deep, smooth etching. Hard-baked photoresist, typically baked
between 170°C and 250°C, has excellent selectivity in most dry-etch applica-
tions but must be patterned by oxygen dry etching after baking in order to
obtain fine line definition and straight sidewalls. In the tri-Ievel mask a layer
of photoresist is applied and baked at a high temperature. Then a pattern-
transfer layer (typically Ti, Ni, Si0 2 or Si 3 N 4 ) is deposited and patterned
either by etchback or liftoff techniques. The pattern-transfer layer must be
resistant to O 2 dry etching which is then used to etch the hard-baked photore-
sist. The resulting mask has excellent etch-resistant properties, particularly
in chlorine-based processes, and effectively prevents sidewall erosion during
the etch. Quite deep features with submicrometer lateral dimensions have
been created in this manner [1]. The use of a Ti pattern-transfer layer is
particularly attractive as it can be etched with Cl 2 such that all dry-etch
steps may be completed in situ. However, the use of metallic pattern-transfer
layers has been linked to redeposition of particles and polymer formation on
the facets during Chi Ar CAIBE of GaAs [33]. Some slight roughness of the
sidewall has been correlated with the use of Ti for pattern-transfer where the
final O 2 etch leaves a slight Ti overhang beyond the hard-baked photoresist
520 G.A. Vawter

edge. Use of an amorphous pattern-transfer layer such as Si0 2 seems to offer


the smoothest etched sidewall, especially if the Si0 2 is removed before the
semiconductor etch step. In this situation, sidewall roughness as low as 10 to
20 nm has been achieved [33]. The tri-Ievel mask is also particularly useful
where smooth angled facets are desired. Etching of angled facets takes ad-
vantage of a unique feature of ion-beam etching, the capability of adjusting
the ion angle of incidence by tipping the etch piece relative to the beam.
Figure 12.4 shows a GaAs sample etched at 45° using Cl 2 RIBE. Note that
the hard-baked mask was also etched at an angle resulting in a mask sidewall
aligned with the ion-beam. The resulting GaAs sidewall is extremely smooth
and straight with the etched facet at the desired angle.

Fig. 12.4. Electron micrograph of etched GaAs angled facet. The etch mask used
was a hard-baked tri-level patterned by contact-print optical lithography and is still
in place on the upper sample surface. The 45° facet shows slight evidence of mask
erosion in the upper two-thirds of the facet. (Photo courtesy of T.A. Plut and G.A.
Vawter, Sandia National Laboratories, USA.)

Dielectric oxide or nitride films have also been used extensively as etch
masks [34]. Si0 2 can provide smooth anisotropic etching compared to pho-
toresist or Cr masks [32], most probably due to reduced sidewall erosion.
Evaporated metal masks are often effective, particularly where a self-aligned
process sequence is desired [35], but tend to give sidewalls with greater than
100nm roughness [33]. Ti, Ni, Cr and Ni/Cr alloys [36] have all been used to
varying degrees.
Etching of patterns generated using electron-beam direct-write exposure
requires some additional considerations compared to photolithograpy. PMMA
(polymethylmethacrylate), the most common polymer used for electron-beam
patterning, has particularly poor selectivity in chlorine-based plasma etching.
A common rule-of-thumb is that, in Cl 2 RIBE, PMMA will etch at the same
12 Ion Beam Etching of Compound Semiconductors 521

rate as GaAs, although selectivity in CAlBE has been reported as high as


3 to 1. Given the general situation of a thin (few tenths of a micrometer)
PMMA layer, the utility of PMMA as an etch mask is limited to shallow
structures such as optical gratings [37]. Negative electron-beam resists (based
on Novolac resins) give much better dry-etch performance but have a practical
minimum feature dimension of >0.25/-lm. Pattern-corrected electron-beam
exposure of negative resist [38] has been used to generate very high quality
vertical sidewalls in (Al,Ga)As for diode lasers [39], rib waveguides [40] and
optical modulators [41,42]. Figure 12.5 is an electron micrograph of a grating

Fig. 12.5. Electron micrograph of etched AlGaAs waveguide with embedded op-
tical gratings. The overall waveguide is 4 Jlm wide with 0.4 Jlm grating teeth em-
bedded into the guide in a periodic "burst" array. Etch mask is still in place and
comprises a single layer of SAL603 patterned by electron-beam direct write lithog-
raphy. Waveguide and grating features were etched in a single step by Cb RIBE.
(Photo courtesy of G.A. Vawter and J.R. Wendt, Sandia National Laboratories,
USA.)

waveguide patterned in SAL603 negative electron beam resist and etched by


Cl 2 RIBE. The overall waveguide is 4/-lm wide with 0.4 /-lm grating teeth
embedded into the guide in a periodic "burst" array. The waveguide sides
are seen to be quite smooth and the gratings very well defined. Where deep
etching of fine features below the resolution limit of negative resist is needed;
a multilevel mask of Si02, Ni, and PMMA has been used. Si0 2 is deposited
as a base layer, PMMA is then deposited and patterned by direct write, and
Ni evaporated onto the exposed Si0 2 surfaces. The PMMA is then removed
and the Si0 2 pattern by dry etching using the Ni mask. The final patterned
bi-layer of Si0 2 and Ni serves as a mask for RIBE or CAIBE. Deep, high-
aspect-ratio grating features have been very successfully fabricated using this
method [43- 45]. Figure 12.6 illustrates a two-dimensional photonic lattice
post array fabricated in AlGaAs using Ch RIBE and an SiOdNi multilayer
522 G.A. Vawter

Fig. 12.6. Electron micrograph of deeply etched AlGaAs photonic lattice posts.
0.24 11m columns are etched 2.0 11m deep. The etch mask used was an Si02/Ni bi-
layer and is seen as narrow transparent caps on top of each post. Features were
etched by Cb RIBE. RIE lag effects can be seen where the inner posts are not
etched as deeply as the field of the etch away from the posts. (Photo courtesy of E.
Chow, G .A. Vawter, W. Zubrzycki, and J.R. Wendt , Sandia National Laboratories,
USA.)

mask. 0.24!-lm diameter columns are seen etched ",2.0!-lm deep. A variant of
conventional tri-level resist mask has also been used , with PMMA serving as
the top imaging layer [46].
A number of exotic materials have also been tried as thin but extremely
etch-resistant masks. For example, SrF 2 was used to pattern GaAs prior to
an in situ CAIBE and molecular-beam epitaxial AlGaAs growth sequence
[47]. In addition, films of amorphous carbon have been used for angled etches
where the shadow effect of a thick resist was undesirable [48]. Smooth etching
was achieved with this mask but a hydrogen or oxygen plasma was required
to remove the carbon film. Finally, pyrolytic photoresist [49] has been used
with good results. However, high temperature processing of the etch piece
was required and stripping of the mask after etching was problematic.

12.8 RIBE Etch Technology


The remainder of this chapter focuses on specific etch chemistries and results
for both CAIBE and RIBE. The techniques are discussed separately although
the similarities between CAIBE and RIBE should be apparent to the reader.

12.8.1 RIBE of GaAs and AIGaAs

Chlorine is by far the most common etch gas used for GaAs and AlGaAs.
Cb RIBE of (Al,Ga)As is well understood, easily controlled, and tends not
to promote polymer formation or unusual surface contamination unless some
component of the etch system or mask material is interacting with the plasma
12 Ion Beam Etching of Compound Semiconductors 523

CI 2 RIBE Ar IBE

6 GaAsl
InSb
•• oo ,/--
InSb/lnAsSb ~ .t,. /'

,,/'
,,~
,/
,/ /
/'
./",
~.,

O..................
.L...L-'-..L...........- L -.....................J......L--'--'-'--'L...L..L..L.-'-'-.J......L--'--'-'--'L...L.'-'-'

200 250 300 350 400 450 500 550


Beam Energy (eV)

Fig. 12.7. Energy dependence of Cb RIBE and Ar IBE sputter yield of GaAs, InSb,
and an InSb/lnAsSb superlattice. Data are fit with the (energy)5/2 relationship
expected for sputter-like etch processes. (After Vawter and Wendt [50])

or ion-beam. Sputter yields for Ch etching of GaAs [50] vary between 2


and 6 at 0.25mTorr pressure and beam energies between 250 and 500eV.
Etch rates in the 50 to 200 nm min -1. range are routinely observed. Room
temperature etching at sub-mTorr pressures is well fit by a (beam voltage)5/2
relationship (Fig. 12.7) indicating sputter-like etching [28]. Higher Cl 2 gas
flow (and consequently higher pressure) enhances chlorine radical etching of
GaAs [51] giving faster etching and increased undercut of the mask. The
etch rate is sensitive to temperature, requiring good control of the sample
temperature if reproducible etch rates and good control of sidewall profiles
are to be obtained [52]. Taken to the extreme, etching occurs spontaneously
at high temperatures when the GaAs surface is exposed to chlorine radicals
without an ion-beam. An ECR ion-beam source operated with the sample
turned away from the beam and held at between 300°C and 400°C resulted
in etch rates as high as 10/-lmmin- 1 [53]. This same phenomena has been
observed with an rcp ion source [21].
Ch RIBE of GaAs has been widely used in industry. High-electron-mobility
transistors (HEMT) have been fabricated with RIBE gate recess etches [54].
Artificially structured materials, or photonic lattices, have been created us-
ing Cl 2 RIBE combined with nanometer-scale lithography [46]. Diffractive-
optic lens elements have been integrated with vertical-cavity surface-emitting
lasers (VeSEL) [55]. Subwavelength binary optical elements [43] and anti re-
524 G.A. Vawter

Fig. 12.8. Electron micro-


graph of a subwavelength bi-
nary lens in GaAs. (After
Wendt, et al. [45])

flection gratings [44] have been fabricated directly into a GaAs surface. Fig-
ure 12.8 is an electron micrograph of a subwavelength binary lens in GaAs.
These lenses were patterned with electron-beam direct-write lithography us-
ing the Si0 2 /Ni/PMMA process described above. The high-quality profile
with nonuniform lines and spaces was achieved through numerical optimiza-
tion of the optical design with lithography and etch process limitations input
to the program and used as constraints on the design process. This "intelli-
gent design" resulted in a diffractive optic with record-setting performance
after only two iterations of the process sequence. The lens shown in Fig. 12.8
is f /1.3 at 975 nm wavelength and operates with 72% efficiency [45,56].
Adding inert gases to the reactive ion-beam will enhance the sputter etch
component compared to the chemical etch. Ch and Ar have been combined
in RIBE as a variant on pure Cl 2 etching with the result that the GaAs etch
rate at 400eV beam energy actually peaks at roughly 1:1 dilution of Cl 2 in
Ar indicating that some additional sputtering, introduced by the Ar in the
beam, is needed for optimal desorption of the chloride etch products [57]. Too
much Ar, however, prevents effective adsorption of Chlorine on the surface
and the etch rate declines to the Ar IBE rate.
Methane and hydrogen mixtures have also been used for GaAs etching.
The temperature dependence of CH 4 /H 2 RIBE of GaAs at 500eV has been
studied [58] showing an activation energy of 0.21 eV, decreasing to -0.13eV
above 500K. At high temperatures the etch rate decreases due to reduced
incorporation of carbonaceous species at the surface.
The etch chemistry of AIGaAs is very similar to GaAs with the primary
exception that exposed Al is highly reactive with oxygen, forming a very
stable, nonvolatile oxide. Non-selective etching of AIGaAs and GaAs with Cl 2
RIBE is achieved [59] if the etch system has a low base pressure such that
the residual water vapor partial pressure is below 2 x 10- 8 Torr (Fig. 12.9) so
that the oxidation rate of the exposed surface is well below the etch rate [1].
12 Ion Beam Etching of Compound Semiconductors 525

Total Residual Gas Pressure (Torr)


1 x 10·' 2x10·" 8xW'
1.2 ,--------------'--'-i-'-~--=__T'---'-------=__T'__'_,

1
.
---~~~-----------------

. ----
..-----
.12 •••
iii •----
• ---- ----
0.8
a: .~

~ 0.6
---- ----
a: ..---.
~
0.4
::!
W
300 V CI Ion beam R (Alo.3 Gao. ,As)
0.2 2
Ratlo-
12 seem flow. 8 x 10' Torr R(GaAs)

0
10·· 10" 10·' 10·" 10·' 10·'
Residual H 2 0 Partial Pressure (Torr)

Fig. 12.9. Etch rate ratio (selectivity) of Alo.3Gao7As and GaAs Cb RIBE at
300 eV and room temperature as a function of residual water-vapor pressure and
total background pressure prior to starting the etch. It is seen that nonselective
etching only occurs for water-vapor pressure below 2 x 10- 8 Torr. (After Asakawa,
et al. [1])

Sputter yields varying between 5 and 11, increasing with Ch pressure and
beam energy, have been measured between 0.3 and 2 mTorr pressure and 400
to 550 e V beam energy.
Nonselective C1 2 RIBE of (Al,Ga)As has wide application. Etched-facet
diode lasers with in situ passivation and thermal anneal [60] have demon-
strated performance essentially identical to their cleaved-facet counterparts.
In this case, sidewall damage introduced by the etch was removed by the
use of an evaporated AIO film and 400°C anneal. Low-threshold etched-facet
diode lasers, [61] ultrashort cavity lasers [62], and 45° laser facets for surface-
normal laser emission [63] were demonstrated using RIBE and a tri-Ievel
mask. In addition to etched-facet lasers, tapered-rib waveguide lasers with
mode transformers [39] and rib waveguide modulators [40-42,64-66] have
taken advantage of the smooth sidewalls and controlled morphology of RIBE
as have gratings for chemical sensors [67] and low-threshold AIGaAs VCSEL
posts [68].
As with GaAs, Ch + Ar RIBE of AIGaAs is useful and shows a sim-
ilar peak in the etch rate at ",1:1 CI 2 :Ar mixtures [57]. Interestingly, one
researcher [69] has observed that, compared to Ch + Ar CAIBE, the use of
a Ch ion-beam is more effective at removing AI-oxide from the surface, giv-
ing smoother etch results at moderate ion energies between 200 and 700 e V.
C1 2 + Ar RIBE has been used to form total-internal-reflection laser mirrors
with 85% mirror reflectivity [70]. Finally, BCh RIBE of AIGaAs has seen
limited use as a nonselective etch and has been used as a gate recess etch for
MESFET devices [69].
526 G.A. Vawter

Although selective etching of GaAs on A1GaAs is more commonly done


with freon-based RIE, a mildly selective etching has been achieved using a
mixture of Ar and O 2 in RIBE [71]. Addition of O 2 to an Ar ion-beam at
low energy causes oxidation of the A1GaAs surface and reduced etching. A
maximum selectivity of 13 was achieved for AlO.5GaO.5As with a mixture of
2% O 2 in Ar. In order to achieve reliable results, this process requires a low
vacuum system background pressure of less than 5 x 10- 7 Torr so that the
introduced O 2 dominates the oxidation process.

12.8.2 RIBE of InP


Etching of InP presents somewhat more of a challenge than does GaAs due
in large part to the poor volatility of the In-containing etch products. Initial
work with Ch RIBE of InP [9] at room temperature did demonstrate etching
but had some problem with surface roughness due the difficulty of removing
In-chloride from the surface. The etch rate was found to increase with energy
but saturated at 200nmmin- 1 at 1 keY energy. Wall angles were found to tip
at 17°, again due to the difficulty of cleaning In etch products from the side-
walls. Addition of Ar to the beam to enhance sputter removal of etch products
was found to increase etch rates and promote smooth surfaces. Elevating the
etch piece temperature above 150°C was then found to dramatically improve
the etch rate by enhancing the thermal desorption of In-chlorides [14]. Follow-
ing this, the temperature dependence of the etch rate was investigated [72].
Room temperature and 100°C RIBE of InP shows a sputter-dominated etch
characteristic while 200°C etching is much more chemical in nature with rates
as high as 1.2J.lmmin- 1 . Smooth, high-quality etching was demonstrated at
200°C using a 400eV beam energy at 1mTorr. However, a subsequent re-
port [73] indicated that chlorine ion-beam energies in excess of 1 keY were
required for smooth etching at 200°C and 1 x 10- 5 Torr. The disparity be-
tween these reports is due largely to the different pressure used. At these
high temperatures, where removal of In-containing etch products is not a
rate-limiting step, higher Ch gas pressure (up to 2.5mTorr) shifts the etch
to a chemical-etch-dominated regime where higher etch rates and smoother
surfaces are obtained [74] although it is not expected that vertical sidewalls
would be generated in these conditions. The influence of beam energy and gas
pressure on sidewall roughness (not angle) during C1 2 RIBE of InP has been
investigated [75]. At 400 eV energy and 1.2 mTorr pressure, a minimum peak-
to-peak sidewall roughness of 6 nm, indistinguishable from that of a cleaved
facet, was observed at 140°C. In general, it was found that lower beam energy
and higher pressure reduce sidewall roughness.
The addition of H2 to C1 2 for RIBE of InP has been used as a means to
reduce the beam energy needed for smooth etching [76]. The reduced beam
energy is expected to reduce the etch-induced damage to the crystal. At
300eVenergy, 250°C and 0.25mTorr, a smooth-etch regime with a faceted
undercut profile was found for an ion-beam of 53% to 73% Ch in H 2. Lower
12 Ion Beam Etching of Compound Semiconductors 527

Ch content gave straight-wall etches with rough bottoms while more Cl 2


gave undercut etching with rough surfaces. The temperature dependence of
this etch is illustrated in Figure 12.10 for 70% Cl 2 in H 2 . The etch rate
increases dramatically with temperature but smooth etching is only obtained
near 250°C. In the smooth-etch regime, the RIBE process has achieved an
equilibrium where the sputter-assisted desorption of etch products (InCl x ,
PCl x , and PH x ) is fast enough to give the spatially uniform chemisorption
of CI and H required for smooth etching.

~r--------------------------------' 7
06
r
j
500
I
I ~/

~ 3OO
III
a:
.c
u
iii 200

100

o~~~~~~~~~~~~~~~~ 0
100 150 200 250 300 350 400 !
-a
Temperature (OC)
Fig. 12.10. Etch rate versus tempera ture for Cb / H 2 RIBE of InP. Etch conditions
are 70% Cb in H 2 , 300 eV , and O.25mTorr. As temperature is increased the etch
progresses from rough to smooth and back to rough surface morphology. (After
Vawter and Ashby [76])

The relatively low volatility of InCl x etch products has led workers to
develop Cl 2 -free etch chemistries. Methane is a good candidate frequently
used in RIE and downstream etch configurations. CH 4 / H 2 /N 2 has been suc-
cessfully demonstrated [77] to produce extremely smooth surfaces at room
t emperature with little undesirable polymer formation if used in 14/6/6 pro-
portions at 300eV. The N2 in this etch serves to reduce polymer formation
on the etch piece. Quite smooth-etched InP surfaces have also b een obtained
wit h N 2 /0 2 mixtures although beam energies of up to 1000 eV were needed
to obtain etching at 73 nm min - 1 [78].
528 G.A. Vawter

12.8.3 RIBE of InGaAsP and InP

Similar etch behavior is seen with heterostructures of InGaAsP and InP. Cl 2


RIBE has not been used extensively for these materials due to the require-
ment of sample heating or high ion energy. Some interesting exceptions are
a low damage quantum wire etch performed at 50 e V with a post-etch in
situ treatment from a low energy H2 beam to remove etch-induced damage
[79] and an integrated etched-facet laser diode with a power-monitor pho-
todiode where the vertical laser facet and tilted monitor diode facet were
fabricated with single-step Ch RIBE [80]. CI 2/Ar/02 mixtures have been
used at 800 eV beam energy and 85°C temperature in a full two-inch wafer
process for etched-facet InGaAsP diode lasers [81]. In this process, smooth
high-quality laser facets were obtained with an Si0 2 mask.
Mixtures of methane and hydrogen with both argon and nitrogen have
been used successfully provided that careful attention is given to mini-
mize polymer formation. A CH 4 /H 2/ Ar mixture at 1 x 10- 4 Torr gave a
80 nm min -1 etch rate for InP with InGaAsP etching at 40% of the InP rate
[82]. Beam energies as low as 200eV provided good results and the process
was used for fabrication of sub micrometer photonic lattice posts. However,
this etch system must be carefully optimized for minimum polymer forma-
tion [83]. CH 4 /H 2/N 2 mixtures have been used to reduce polymer formation
and improve surface morphology compared to Ar mixtures [84]. Substitu-
tion of N2 for Ar improves the surface morphology and dilution of H2 with
N2 reduces polymer formation which is strongly dependent on the CH 4 /N 2
ratio. The main etch products are In(CH3h and PH 3 which maintain signifi-
cant volatility even at low etch temperatures. Because of the volatility of the
etch products, low beam energies in the 50 to 150eV range give mirror-like,
smooth, etched surfaces. In order to eliminate polymer formation, methane
has been removed from the etch gas, N2/Hd02 mixtures tried, and smooth
etch results obtained but with increased surface damage [85].

12.8.4 RIBE of AIGaInP, GaInP and AIGaInAs

Etching of the Al and In-containing quaternary materials, AIGaInP and AI-


GaInAs, follows a hybrid of the techniques used for AIGaAs and InGaAsP.
Straight Ch RIBE of AIGaInP has been accomplished by combining a low
water vapor background with a high temperature etch [86]. Smooth and ver-
tical sidewalls were obtained with a 1.1 keV Ch beam at 4 x 10- 5 Torr with
the etch piece held at 200°C. A 15° sample tilt combined with rotation were
needed to achieve vertical walls. This process has been used to fabricate
GaInP / AIGaInP stripe lasers emitting in the visible spectrum [87] where the
lasers were dry etched prior to regrowth of epitaxial material.
Ch + N2 mixtures have also been used quite successfully to fabricate
red-emitting AIGaInP buried heterostructure diode lasers [88]. Use of the
Ch + N2 mixture reduced the etch temperature to between 20° and 50°C.
12 Ion Beam Etching of Compound Semiconductors 529

Introduction of N2 to the plasma reduces the concentration of neutral Cl


radicals thereby increasing the relative ion concentration. This improves the
sputtering effect of the beam and reduces the contribution of chemical etching
with the effect that surface concentrations of InCl x are reduced.
AIGaInAs structures have been etched using a 7/3 Ch / Ar beam at 300 e V
[89]. Sample heating to 160°C was required in order to generate a mirrorlike
finish with straight-etched walls. Etching at higher beam energies gave a
faster etch rate but left a rough surface. The progression towards smoother
etching as the beam energy is lowered from 500 e V to 300 e V is consistent
with the establishment of an etch-rate limiting In-rich surface at the lower
energies. As the beam energy is raised above 300 eV, the In-rich surface is
partially sputtered away, exposing stoichiometric material with a much higher
etch rate. This leads to the formation of grass where the base of the grass
etches at the stoichiometric rate while the top of the grass is micromasked
by the In-rich material and etches at a lower rate

12.8.5 RIBE of (AI,Ga)Sb, (In,Ga)Sb and InAsSb


Comparatively little work has been done on etching of the antimonides. Non-
selective etching of AIGaSb and GaInSb has been demonstrated using a mix-
ture of CH 4 /H 2/N 2/SiCI 4 [90]. The addition of CI to the beam (from the
SiCI 4 ) has the effect of reducing the etch temperature and energy giving
improved surface quality for GaSb and AISb compared to methane-based
mixtures without Cl. Addition of 9.1% SiCl4 to CH 4 /H2/N 2 improves the
etch rate, smoothes the surface, and provides nonselective etching.
GaSb has been etched with C1 2/ Ar mixtures [57]. Etching with a 400 eV
beam and 0.2 mTorr pressure resulted in etch rates between 35 and
160 nm min -1, peaking at a 1: 1 Ch / Ar mixture. Below 600 e V, the etch is felt
to be ion-assisted in nature with a sputter-dominated characteristic picking
up above 600 eV. Etch yield increases from 1.5 to 4.5 between 200 and 800 eV.
Etch rates are, in general, higher than for (AI,Ga)As with 250 nm min -1 etch-
ing at 600eV.
InSb and InAsSb have been etched using pure Ch RIBE [50]. Etch rates
between 50 and 280 nm min -1 were observed between 250 and 500 e V at room
temperature. Figure 12.7 shows the energy dependence of sputter yield for
these materials (along with GaAs) for both Ch RIBE and Ar IBE. Curve
fits using a V 5j2 relationship are also shown, indicating that the etch process
is sputter-dominated. The basic trends of Ch RIBE are most interesting.
The overall etch yield (and rate) is increased as compared to Ar IBE due
to the chemical contribution of the Ch ion-beam through the formation of
volatile chlorides of Ga, As, In, and Sb, which are readily removed from
the crystal surface by the energetic ion-beam. The Ar IBE data show that
InSb and InAsSb have a larger increase in sputter yield per unit energy than
GaAs starting from roughly the same value at 250eV. The situation changes
dramatically upon substitution of Ch for Ar in the ion-beam. The high vapor
530 G.A. Vawter

pressure of the Ga and As chlorides results in a four-to-five-fold increase in


sputter yield for all energy values while InSb and the InAsSb experience only
a two-fold increase due to the much lower vapor pressure of the In chlorides.
This extra chemical etch effect is enough to raise the sputter yield of GaAs
Ch RIBE well above that of InSb and InAsSb Cl 2 RIBE even though the Ar
IBE sputter yield of GaAs was the lowest measured.

12.8.6 RIBE of GaP and GaN

Etching of GaP has been investigated using Chi Ar RIBE [57]. The etching
behavior is, in general, similar to that of GaAs except that the etch rate
and yield is lower for all but the lowest ion-beam energies. GaN is a more
challenging material to etch due to the large binding energy of the crystal. A
comparison of Ch, HCI, and BCl3 ion-beams has been performed [91]. In this
study Cl 2 was shown to give the fastest etch rate of 200nm min -1 at 700 e V
with HCI being somewhat slower and BCl 3 giving an etch rate similar to Ar
IBE. Introduction of CH 4 via a nozzle, similar to CAIBE but with a reactive
ion-beam, actually reduced the etch rate but improved selectivity of the etch
against photoresist. Etching showed only a weak temperature dependence,
increasing slightly between 0° and 300°C. In a separate report [92] Cl 2 RIBE
of GaN at 400 e V and 0.8 mTorr gave smooth etched surfaces. Etch rates
between 35 and 1000nmmin- 1 were achieved.

12.8.7 RIBE of ZnSe and ZnS


ZnSe and ZnS have both been etched using Ch RIBE between 0 and 400eV.
Sputter yield, though low, increased with pressure suggesting that surface
reactions to form ZnCl x and SCl x occur. 300 e V etching at 0.75 mTorr gave
high-quality etched surfaces with little damage or surface contamination.

12.9 CAIBE Etch Technology

The etch chemistry of CAIBE is quite similar to that of RIBE such that
many parallels exist between the two technologies. Over the years many etch
gas combinations have been adopted into either RIBE or CAIBE based on
successful use of the technique in the other ion-beam etch method. Two key
differences, however, should be noted. First, some gases (such as methane)
which tend to deposit polymers or other contaminants on a plasma chamber
are more readily used in CAIBE than RIBE where they are not directly
excited into a plasma and where the ion source is purged by the inert gas
flow. Secondly, RIBE uses a reactive plasma to generate an ion-beam while
CAIBE does not directly inject reactive species into the ion source. As a
result fragmentation of molecular species does not occur except as collisional
fragmentation of molecules adsorbed to the crystal surface. Consequently, it
12 Ion Beam Etching of Compound Semiconductors 531

is beneficial that the gas have spontaneous reactivity with the etch surface.
These factors should be taken into account when comparing the selection of
etch gases used in RIBE and CAIBE.
The partial pressure of the reactive gas at the surface of the etch piece
is probably the most difficult aspect of the CAIBE process to measure [20]
or reproduce in different etch reactors. Although molecular flux and par-
tial pressure at the surface are key factors in determining the etch result,
generally flow rate and nozzle configuration are the only parameters quoted
in the literature. The highly variable relationship between partial pressure
and flow rate should be considered when attempting to reproduce a specific
etch process on a different etch reactor. Because of the low probability that
matching specific reactive gas flow rates in two different reactors and then
obtaining identical etch rates and morphologies, flow rates are not given in
the following discussion of CAIBE processes. The best approach is to consult
the source literature for flow rate and nozzle configuration and regard quoted
flow rates as initial conditions for an etch development procedure.

12.9.1 CAIBE of GaAs


Subsequent to the first demonstration by Geis [11], C1 2 CAIBE of GaAs has
become very well accepted. Ar is typically used as an ion-beam although Xe
is sometimes used where etch-induced damage must be minimized [93]. The
influence of temperature, ion flux, and reactive gas flow on etch rate and
anisotropy has been studied in detail [94]. Obtaining reproducible etch rates
and profiles is very difficult without temperature control of the etch piece.
This is due in large part to the fact that thermal, not kinetic, energy is largely
responsible for formation of CI radicals that react with the GaAs surface or
with non-volatile reaction products to produce products which undergo ion-
assisted desorption. This is evident by the fact that below 20°C the GaAs
etch rate approaches the temperature-independent Ar IBE rate. If all three
factors, temperature, ion flow, and gas flow, are controlled properly then a
variety of sidewall profiles, straight, undercut, or faceted, may be achieved
at a given etch rate. An effort to improve the reactivity of the molecular Ch
species used in CAIBE by exciting a plasma in the gas-injection tube and
generating a shower of chlorine radicals [15], dubbed radical beam ion beam
etching (RBIBE), demonstrated enhanced etch rates for GaAs, particularly
at low energy, compared to conventional CAIBE.
Among its many uses, Ch CAIBE of GaAs has been used for AIGaAs
regrowth on patterned wafers [47]. In one example, CAIBE with in situ MBE
was used for fabrication of InGaAs buried-heterostructure lasers [95]. Re-
moval of etch-induced damage and reduction of laser threshold was achieved
by pure chemical etching in the Cl 2 flow with the sample heated to 300°C.
Other gases used for GaAs CAIBE include CCl 4 [96], dichloroethane, and
iodine [97]. Dichloroethane is attractive due to its relatively low corrosive-
ness. Surface adsorption on GaAs leaves a bound CI monolayer and C2H y CI z
532 G.A. Vawter

(y < 4, Z < 2) which is readily desorbed below room temperature. However,


exposure to a 2.5 keV Ar beam decomposes a fraction of the alkyl halide prior
to desorption leading to C contamination of the surface [98].

12.9.2 CAIBE of AIGaAs


C1 2 is often used to achieve nonselective etching of AIGaAs/GaAs. As in
the case of RIBE, CAIBE of AIGaAs is sensitive to oxidation of the ex-
posed Al such that pumping to a pressure less than 2 x 10- 7 Torr is required
[37]. During C1 2 CAIBE at 500 eV, Ar+ current densities above 150 J.1A cm- 2
have demonstrated nonselectivity for water-vapor partial pressure below 5 x
10- 9 mbar [99]. Facet angle is a function of current density since at low cur-
rent density the chemical etch component is more pronounced, leading to
undercutting. Facet angles between 0° and 5° have been observed for cur-
rent densities ranging from 200 to 250 J.1A cm- 2 , Above 300 J.1A cm- 2 bottom
surface roughness was observed [33]. Interestingly, AIGaAs etching with con-
ventional Ch CAIBE and CAIBE with chlorine ions has been compared [69].
Under conditions of the test, etching of AIGaAs with an Ar beam left a rough
surface due to A1 2 0 3 formation. A 700eV Ar beam was required for smooth
AIGaAs etching. Substituting Ch for Ar in the ion-beam gave smooth etching
at lower energies. This phenomena is likely due to the higher sputter yield
of Ah03 under a Ch beam compared to an Ar beam [1] with the improved
oxide etch rate preventing formation of regions micromasked by the oxide.
Application of nonselective Cb CAIBE of (Al,Ga)As are numerous and
include etched-facet lasers, quantum-wire devices, VCSELs, optical gratings
and waveguide optoelectronics. Early etched-facet diode laser work resulted in
up to 28% facet reflectivity, compared to the ideal value of 32%, although a 5°
facet tilt was observed [33]. Subsequently a full wafer process for etched-facet
lasers was reported using 500eV Cb CAIBE [99,100]. Etched facets within
2° of vertical were achieved with roughness on the order of 10 to 30 nm.
A sophisticated approach using pattern-corrected electron-beam direct-write
exposure of the resist etch mask resulted in vertical, smooth etched walls
with superb fidelity to the desired pattern [38]. Self-aligned masking tech-
niques using Si0 2 etch masks have been reported where the deep facet etch
is readily aligned to the less deep rib waveguide etch [101,102]. Variations
of diode laser facets, optical waveguide turning mirrors and beam splitters
have been fabricated and integrated with diode lasers [103] using C1 2 CAIBE
at a 115°C substrate temperature. Controlled angle etching has been used
to create parabolically curved out-of-plane reflectors integrated with diode
lasers [104]. Both etched post [105] and oxidized [106] VCSELs have taken
advantage of CAIBE's well behaved characteristics. Blazed (angled) and rec-
tangular etched gratings have been formed in AIGaAs using 100 nm thick
PMMA [37,107]. The grating tooth shape was determined by the electron
dose in the PMMA, etch time, C1 2 flow and the substrate angle. Waveguide-
type optical devices have also been etched using CAIBE. In one example a
12 Ion Beam Etching of Compound Semiconductors 533

ridge-waveguide laser was etched using 500 eV Ar resulting in a laser lifetime


as good as an oxide stripe structure, an indication that etch-induced damage
was not a significant factor in device performance.
Where ultrahigh vacuum is not available to minimize the partial pressure
of water vapor, nonselective AlGaAs/GaAs etching can be achieved using
mixtures of BCh and Ch. The addition of BC1 3 also improves photoresist
selectivity compared to pure Ch which can lead to improved sidewall profile
control. Very high quality etched facets for diode lasers have fabricated using
BC13 /C1 2 CAIBE [108].

12.9.3 CAIBE of InP and InGaAsP

As in the case of RIBE, CAIBE of InP using Ch has been moderately suc-
cessful. The main difficulty with C1 2 has been the high temperature required
to high etch rates and the relatively narrow set of etch conditions where both
vertical sidewalls and smooth etching are obtained. At low, 5°C, tempera-
tures smooth InP etching has been shown [109] for 300 to 500eV Ar ion
energy while still maintaining a 3 to 4-fold etch yield improvement over Ar
IBE. Such a low temperature etch may be well into the etch-product-removal-
rate-limited regime. At temperatures above 150°C smooth facets are obtained
with C1 2 CAIBE with etch rates greater than 2 f..lm min- 1 [110]. Ideal etching
occurred at 250°C. The etch rate increases monotonically with Cb flow rate
with a saturation point observed for 300 e V Ar ions. The knee of the satura-
tion point is considered to be an indicator of the ideal balance of chemical
and physical etching, producing the most desirable etch profiles with good
anisotropy. Ch CAIBE has been used in the fabrication of InGaAsP arrayed-
waveguide filters. Sample temperature was held between 225 and 250° C and
grassy etched surfaces, which did not seem to affect the quality of subsequent
epitaxial regrowth, were observed.
Use of HCl with an Ar ion-beam results in greater P depletion of the InP
surface compared to C1 2 . Etch rates, even at elevated temperatures, are only
200 to 500nmmin- 1 due to the reduced formation of Cl radicals. Good etch
morphology is seen, but with a strong tendency to form trenches at sidewalls
for temperatures greater than 100°C, with 300°C needed to give morphology
similar to that obtained with C1 2 at 250°C. Significant hydrogen diffusion
into the InP resulting from the etch has been measured.
Iodine CAIBE with an Ar ion-beam has been used to eliminate differential
removal of In and P at near room temperature [111]. Using a relatively high
3 keV beam energy, etch rates of 200 to 1500 nm min -1 were seen. The etch
rate, apparently limited by I reaction product removal, improves with I flux
but saturates at high flux. Smooth surfaces were obtained without evidence
of In island formation. At lower Ar ion energy, between 300 and 500 e V, etch
rates with I are only 10% greater than for Ar IBE but the surface quality is
much smoother [112]. At these low energies, surface analysis shows no residual
534 G.A. Vawter

I and an In/P concentration ratio similar to that obtained with wet-chemical


etching whereas Ar IBE results in a P-depleted surface.
Since In-iodides and bromides are more volatile than In-chlorides at low
temperatures, IBr3 has also been used as an InP etch. Smooth surfaces are
obtained at room temperature using 300 to 500 eV energies with roughening
at higher temperature [109]. InGaAsP etched facet lasers have been fabricated
with very good facet quality using 450eV Ar ions and a 45° sample tilt
(needed to produce vertical facets.) [108,113] In a similar effort to improve
etch-product volatility, CH31 has been used as an etch gas but etch rates were
only 10 to 15% better than Ar IBE and polymer deposition was observed
above 20°C [109] .

12.9.4 CAIBE of AIGalnP and AIGalnAs


Red-emitting AIGaAsP diode lasers with etched facets have been fabricated
using Ch CAIBE with an Ar ion-beam at 500eV [114]. Again, low water-
vapor partial pressure is a requirement for nonselective Cl 2 etching of Al
compounds. Figure 12.11 is an electron micrograph of one of these visible
diode lasers with a curved output facet forming a convex mirror giving addi-
tional control of the optical mode compared to a simple straight facet. Such
a curved facet as this can only be fabricated by highly anisotropic dry-etch
methods. InAIAs and GalnAs have also been to reported to etch well by Cl 2
CAIBE [109].

Fig. 12.11. Electron micrograph of curved output facet of a red-emitting AIGaAsP


diode lasers fabricated using Cb CAIBE with an Ar ion-beam at 500eV. (After
Unger, [1l4])
12 Ion Beam Etching of Compound Semiconductors 535

12.9.5 CAIBE of (AI,Ga)Sb and InSb


In a fashion similar to AIGaAs, CAIBE of GaSb and AIGaSb has been
achieved with both Ch and 1. Cl 2 CAIBE with a 500 e V Ar ion-beam has
demonstrated 400 nm min ~ 1 etch rates, vertical walls, and smooth surfaces
[115]. In the case of I CAIBE with a 3 ke V Ar ion-beam, high ion current
density resulted in an etch rate increasing monotonically with I partial pres-
sure while the lowest current density tested showed saturation and reduction
of the etch rate at the highest I pressures. The general trends of I etching are
consistent with the etch models of other I II-V materials where ion bombard-
ment mixes the top 10 to 30 A, substrate-to-I atomic bonds are created, and
the surface escape barrier is reduced [97].
InSb has also been etched with I and 3 ke V Ar ions [111]. Etch rates
between 200 and 1500 nm min -1 were measured and smooth surfaces observed
without undesirable formation of In islands.

12.9.6 CAIBE of (AI,Ga)N


The wide-band gap nitrides, GaN and AIGaN have been etched using Ch,
HCI, and C1 2/H 2 CAIBE. Use of Ch with an Arion-beam gave smooth-
etched surfaces and vertical sidewalls at 500 e V provided that the sample was
heated to 200°C [116]. In separate work [117], the etch rate of AlxGa1~xN
was observed to decrease monotonically with Al content with GaN etching
at twice the rate of AIN. This reduction in the AIGaN etch rate was not
due to oxidation but is probably related to the greater bond strength of AIN
compared to GaN as evidenced by a similarly reduced sputter etch rate of
AIN. The best GaN etch rate was 140nmmin~1 at 600eV with anisotropic
etching and smooth profiles were observed.
Etch rates up to 220nmmin~1 at 300°C were obtained for HCI CAIBE
of GaN with Ar ions at 600 e V [118]. Etch rates for HCI are generally faster
than for Cl 2 at 300°C, however, the situation is reversed at room temperature
with Cl 2 offering the higher etch rate. Mixtures of Ch and H2 etched much
like Cl 2 alone up to 40% H 2, the highest concentration tested. This disparity
between HCI CAlBE and H 2 /Ch CAIBE is illustrative of the impact of gas
selection on the etch process. In this case, the introduction of molecular Cl 2
and H2 shifts the etch mechanism such that either the etch is dominated by
Ga-CI reactions or that the energy supplied by the ion-beam is insufficient to
generate a significant number of H radicals. On the other hand HCI, under
ion impingement, is thought to generate substantially more H radicals which
may contribute to the etch process.

12.10 Endpoint Detection


Endpoint detection, identification of the desired etch depth during the etch
process, is an important aspect of both RIBE and CAIBE due to the gen-
536 G.A. Vawter

eral tendency of these etches to be nonselective. Time-resolved optical re-


flectance has emerged as a powerful yet simple method of measuring etch
depth within a compound semiconductor multilayer structure. Simply put,
the layered structure forms a series of reflecting interfaces creating an overall
reflectivity of the etched sample which is a sensitive function of etch depth.
The wavelength of light used may be selected in either of two regimes, trans-
parent or absorbing. In the transparent regime [65,119] multiple layer inter-
faces are sampled by the optical beam reSUlting in a continuous oscillatory
reflectance versus etch-depth signal. In the absorbing regime [120] only the
substrate surface and a very few interfaces near the surface influence the re-
flected signal giving a constant reflectance value until the etch process brings
a layer interface near the surface.
Etch endpoint accuracy of ±1O nm has been demonstrated with Ch RIBE
of AIGaAs where a periodic set of marker layers were included in the device
design of a waveguide directional coupler [65]. The set of marker layers gave
accurate etch-depth information throughout the etch process allowing for a
continuously variable endpoint selection without loss of etch-depth precision.
This technique was further enhanced through the use of optically resonant
periodic markers wherein a very small real change in material composition
placed at quarter-wavelength intervals within the region of interest gives a
large modulation of the reflectance versus etch-depth function. This method
was used to demonstrate a ±8 nm endpoint accuracy with only 5% varia-
tion of Al content of the etched AIGaAs layers [119]. Such small changes
in Al content, in this case a series of Alo.2Gao.sAs and Alo.2sGao.7sAs lay-
ers, frequently have little effect on the electrical or optical properties of the
devices but can dramatically improve the accuracy and repeatability of the
etch process. Figure 12.12 shows reflectance versus etch-depth data for an
AIGaAs heterostructure waveguide with a resonant periodic layer structure
in the upper waveguide cladding material. The lower curve is a simulation
of the expected reflectance modulation while the upper curve is the actual
data collected during the etch. The observed continuous oscillatory behavior
permits quite accurate selection of an endpoint anywhere within the device
structure.
Shown in Figure 12.13 is an electron micrograph of a two~dimensional
AIGaAs VeSEL array where GaAs "half-wave plates" have been left in
place on alternating laser elements [121]. These half-wave plates shift the
emission of neighboring elements in the array to become in-phase, thus es-
tablishing on-axis far-field emission of the array laser. This device required
very smooth nonselective dryetching of the grooves separating the array el-
ements, the outer perimeter trench, and the VCSEL mirror surface exposed
by the half-wave plate etch. All etching was Ch RIBE at 300eV. Accuracy
of the half-wave plate etch was a critical factor in determining the final ar-
ray performance. Highly accurate endpoint detection was achieved through
12 Ion Beam Etching of Compound Semiconductors 537

Etch Time (min.)


o 10 20 30 40 50 60

Measured Data
I

Alo.4Gao.sAs

!
GaAs

0.75 1.50 2.25 3.00 3.75 4.50


Etch Depth (IJm)
Fig. 12. 12. Reflectance versus etch-depth data for an AIGaAs heterostructure
waveguide with a resonant periodic layer structure in the upper waveguide cladding
material. The lower curve is a simulation of the expected reflectance modulation
while the upper curve is the actual data collected during the etch. The observed
continuous oscillatory behavior permits quite accurate selection of an endpoint any-
where within the device structure. (After Vawter, et al. [119])

Fig. 12.13. Electron micrograph of a two-dimensional AIGaAs VCSEL array where


GaAs "half-wave plates" have been left in place on alternating laser elements. All
etching was Cb RIBE at 300eV. Accuracy of the half-wave plate etch was a critical
factor in determining the final array performance. Highly accurate endpoint detec-
tion was achieved through time-resolved reflectance monitoring from a HeNe laser
at 40° off-normal incidence. (After Warren, et al. [121])
538 G.A. Vawter

time-resolved reflectance monitoring from a ReNe laser at 40° off-normal


incidence.
Other examples of endpoint detection in nonselective ion-beam etching
have been fabrication of 980 nm wavelength InGaAs-AIGaAs strained quan-
tum-well buried ridge lasers [122] where the technique allowed a very accurate
ridge geometry, resulting in a high-performance laser, and highly anisotropic
etching of InGaAsj AIGaAs air-post VCSELs with low threshold-current den-
sity [123].

12.11 Damage

Ion beam etch-induced damage is an important consideration in selection of


a dry-etch method or specific gas chemistry. Although a complete discussion
of etch-induced damage can be found in Chap. 8 a few highlights pertinent
to damage resulting from RIBE and CAIBE will be discussed here. In gen-
eral, matching the steady state adsorbed layer thickness to the beam energy
is an important part of maximizing the effectiveness of the etching reaction
while minimizing the damage to the host lattice [124]. Too much beam en-
ergy will amorphize the host lattice well beyond the corrosion layer without
significantly increasing etch performance. Accordingly, minimum damage is
expected to occur just at the threshold of maximum sputter yield.
Due to the highly anisotropic nature of the etch process, the extent of
etch-induced damage on the lower surface is often very different than the
damage extent on the etched sidewalls. For example, in Cb RIBE of GaAs,
measurements of Schottky diodes on lower etched surfaces typically exhibit
nonideal characteristics for etches greater than roughly 200 e V although the
damage has, in some instances, been removed by annealing the lattice [125-
127]. On the other hand, measurements of sidewall damage have shown that
RIBE sidewall quality is dramatically better than obtained using RIE and
cannot be distinguished from that of wet chemical etching, even at 400 e V
beam energy [128]. A similar situation exists in CAIBE of GaAs where en-
ergies below 250 eV are required to minimize lower surface damage [93] yet
energies up to 500eV have demonstrated minimal sidewall damage [129].
In situ removal of etch-induced damage has been applied very success-
fully to many compound semiconductor device structures. This is most often
achieved by performing a dry chemical or low-energy ion-beam etch after etch-
ing the bulk of the structure at a higher energy where the desired anisotropy
and profile control can be achieved. Low-loss waveguide switches have been
fabricated using a 400 eV Cl 2 RIBE step to create the necessary rib-waveguide
height and profile while completing the etch with a short 30 e V Cl 2 RIBE step
intended to remove any damaged material which might contribute optical loss
in the waveguide [130]. Use of the low-energy in situ post etch reduced the
waveguide losses by half.
12 Ion Beam Etching of Compound Semiconductors 539

References

l. K. Asakawa and S. Sugata, GaAs and AIGaAs anisotropic fine pattern etching
using a new reactive ion-beam etching system, J. Vac. Sci. Techno!. B 3(1),
402-5 (1985).
2. K. Garno and S. Namba, Ion beam assisted etching and deposition, J. Vac. Sci.
Techno!. B8(6), 1927-31 (1990).
3. P.C. Zalm, Handbook of lon Beam Processing Technology: Principles, Deposi-
tion, Film Modification and Synthesis (Noyes Publications, 1989), 78-11l.
4. T. Jolly, Handbook of Ion Sources (CRC Press, 1995), 209-237.
5. J. Hopwood, Review of inductively coupled plasmas for plasma processing,
Plasma Sources Science and Technology 1, 109-116 (1992).
6. T. Jolly, Oxford Instruments, England, unpublished, (1998).
7. J.W. Coburn and H.F. Winters, Ion- and electron-assisted gas-surface chem-
istry: An important effect in plasma etching, .I. App!. Phys. 50(5), 3189-3196
(1979) .
8. S. Matsui, T. Yamato, H. Aritome, and S. Namba, Microfabrication of LiNb0 3
by reactive ion-beam etching, Jpn. J. App!. Phys. 19(8), L463-5 (1980).
9. M.A. Bosch, L.A. Coldren, and E. Good, Reactive ion-beam etching of InP
with Cb, App!. Phys. Lett. 38(4), 264-6 (1981).
10. J.M.E. Harper, J.J. Cuomo, P.A. Leary, C.M. Summa, H.R. Kaufman, and F.J.
Bresnock, Low energy ion-beam etching . .I. Electrochem. Soc. 128(5), 1077-83
(1981).
11. M.W. Geis, G.A. Lincoln, N. Efremow, and W ..1. Piacentini, A novel anisotropic
dry etching technique, .1. Vac. Sci. Techno!. 19(4), 1:390-3 (1981).
12. R.A. Powell, Reactive ion-beam etching of GaAs in CCI 4 , Jpn . .1. App!. Phys.
Lett. 21(3), L170-2 (1982).
13. S. Matsuo and Y. Adachi, Reactive ion-beam etching using a broad beam ECR
ion source, .1pn. J. App!. Phys. 21(1), L4-6 (1982).
14. N.L. DeMeo, J.P. Donnelly, F.J. O'Donnell, M.W. Geis, and K.J. O'Connor,
Low Power Ion-Beam-Assisted Etching Of Indium Phosphide, (1985).
15 . .1.A. Skidmore, L.A. Coldren, E.L. Hu, .1.L. Merz, and K. Asakawa, Radical
beam/ion-beam etching of GaAs, J. Vac. Sci. Techno!. B6(6), 1885-8 (1988).
16. D. Korzec and .1. Engemann, Optimized eight-inch extraction system for reac-
tive ion-beam etching, .1. Vac. Sci. Techno!. B 7(6), 1448--53 (1989).
17. D. Korzec, K. Schmitz, and J. Engemann, Extraction performance of dual-grid
ion optics: simulation and experiment, .1. Vac. Sci. Techno!. B 6(6), 2095-2099
(1988).
18. D. Korzec, K. Schmitz, and.1. Engemann, Broad ion-beam modeling for extrac-
tion optics optimization and etching process simulation, .1. Vac. Sci. Techno!.
B6(1), 263 (1988).
19. J.V. Hryniewicz, Y . .1. Chen, H. Shih Hsiang, C.H.D. Lee, and G.A. Porkolab,
Ultrahigh vacuum chemically assisted ion-beam etching system with a three
grid ion source, .1. Vac. Sci. Techno!. A 15(3, pt.1), 616-21 (1997).
20. G.A. Lincoln, M.W. Geis, S. Pang, and N.N. Efremow, Large area ion-beam
assisted etching of GaAs with high etch rates and controlled anisotropy, J. Vac.
Sci. Techno!. B 1(4), 1043-6 (1983).
21. G.A. Vawter and W. Zubrzycki, unpublished, (1998).
540 G.A. Vawter

22. M.S. Ameen and T.M. Mayer, Modulated ion-beam studies of product forma-
tion and ejection in ion-induced etching of GaAs by Cb, J. Appl. Phys. 63(4),
1152-7 (1988).
23. G.C. Tyrrell, D. Marshall, J. Beckman, and R.B. Jackman, Chemical routes to
GaAs etching with low-energy ion-beams, J. Phys.: Condens. Matter 3, S179-86
(1991).
24. M. Balooch, D.R. Olander, and W.J. Siekhaus, The thermal and ion-assisted
reactions of GaAs(lOO) with molecular chlorine, J. Vac. Sci. Technol. B4(4),
794-805 (1986).
25. J.H. Ha, E.A. Ogryzlo, and S. Polyhronopoulos, Kinetetics of the reaction of
gallium arsenide with molecular chlorine, J. Chem. Phys. 89(5), 2844-2847
(1988).
26. R.J. Davis and E.D. Wolf, A simple model of the chemically assisted ion-beam
etching yield of GaAs with Cb at medium current densities, J. Vac. Sci. Tech-
nol. B 8(6), 1798-803 (1990).
27. M. Hagberg, B. Jonsson, and A.G. Larsson, Investigation of chemically as-
sisted ion-beam etching for the fabrication of vertical, ultrahigh quality facets
in GaAs, J. Vac. Sci. Technol. B 12(2), 555-66 (1994).
28. T. Tadokoro, F. Koyama, and K. Iga, Classification of etching mechanism in
reactive ion-beam etching, Electronics and Communications in Japan, Part 2
(Electronics) 72(6), 1-6 (1989).
29. C.R. Eddy, O.J. Glembocki, D. Leonhardt, V.A. Shamamian, R.T. Holm, B.D.
Thoms, J.E. Butler, and S.W. Pang, Gallium arsenide surface chemistry and
surface damage in a chlorine high density plasma etch process, J. Electron.
Mater. 26(11), 1320-1325 (1997).
30. F.C.M.J.M. van Delft, Mechanistic framework for dry etching, beam assisted
etching and tribochemical etching, Microelectron. Eng. 30(1-4), 361-4 (1996).
31. S. Sugata and K. Asakawa, Investigation of GaAs surface morphology induced
by Cb gas reactive ion-beam etching, Jpn. J. Appl. Phys. Lett. 22(12), L813-14
(1983).
32. A. Behfar-Rad, S.S. Wong, R.J. Davis, and E.D. Wolf, Masking considera-
tions in chemically assisted ion-beam etching of GaAs/ AIGaAs laser structures,
J. Electrochem. Soc. 136(3), 779-82 (1989).
33. P. Buchmann, H.P. Dietrich, G. Sasso, and P. Vet tiger , Chemically assisted
ion-beam etching process for high quality laser mirrors, Microelectron. Eng.
9(1-4), 485-9 (1989).
34. Y. Uenishi, K. Yanagisawa, and T. Toshima, RIBE of GaAs using single-grid
ion beam etching system, ISIAT'86: Proceedings of the Tenth Symposium on
Ion Sources and Ion Assisted Technology, 493-496 (1986).
35. H. Appelman, J. Levy, M. Pion, D. Krebs, C. Harding, and M. Zediker, Self-
aligned chemically assisted ion-beam-etched GaAs/(AI,Ga)As turning mirrors
for photonic applications, J. Lightwave Technol. 8(1), 39-41 (1990).
36. Z. Xiao and B. Nilsson, Good selectivity between a NiCr mask and GaAs and
AIGaAs by chemically assisted ion-beam etching with Cb gas, Journal of the
Electrochemical Society 138(10), 3085-3089 (1991).
37. R.C. Tiberio, G.A. Porkolab, M.J. Rooks, E.D. Wolf, R.J. Lang, A. Larsson,
S. Forouhar, J. Cody, G.W. Wicks, T. Erdogan, O. King, and D.G. Hall,
Facetless Bragg reflector surface-emitting AIGaAs/GaAs lasers fabricated by
electron-beam lithography and chemically assisted ion-beam etching, J. Vac.
Sci. Technol. B9(6), 2842-5 (1991).
12 Ion Beam Etching of Compound Semiconductors 541

38. M. Hagberg, B. Jonsson, and A. Larsson, Fabrication of ultrahigh quality ver-


tical facets in GaAs using pattern corrected electron beam lithography, J. Vac.
Sci. Technol. B 10(5), 2243~50 (1992).
39. G.A. Vawter, R.E. Smith, H. Hou, and J.R. Wendt, Semiconductor laser with
tapered-rib adiabatic-following fiber coupler for expanded-mode output diam-
eter, IEEE Photon. Technol. Lett. 9, 425~427 (1997).
40. G.A. Vawter, G.R. Hadley, B. Fuchs, J.R. Wendt and J.F. Klem, Integrated
optical XY coupler for phase-sensitive optical power combining and suppression
of radiated light, IEEE Photonics Technol. Lett. 7( 4), 394~396 (1995).
41. G.A. Vawter, R.E. Smith, B. Fuchs, J.R. Wendt, M. Hafich, and G.R. Hadley,
A rib optical waveguide with cut-off mesa isolation, J. Lightwave Technol. 14,
169~172 (1996).
42. G.A. Vawter, C.T. Sullivan, J.R. Wendt, R.E. Smith, H.Q. Hou, and J.F. Klem,
Tapered rib adiabatic following fiber couplers in etched GaAs materials for
monolithic spot-size transformation, IEEE J. Sel. Top. Quantum Electron. 3(6),
13611371 (1997).
43. J.R. Wendt, G.A. Vawter, R.E. Smith, and M.E. Warren, Nanofabrication
of subwavelength, binary, high-efficiency diffractive optical elements in GaAs,
J. Vac. Sci. Technol. B 13(6), 2705~8 (1995).
44. J.R. Wendt, G.A. Vawter, R.E. Smith, and M.E. Warren, Fabrication of sub-
wavelength, binary, antireflection surface-relief structures in the near infrared,
J. Vac. Sci. Technol. B 14(6), 4()96~9 (1996).
45. J.R. Wendt, G.A. Vawter, R.E. Smith, and M.E. Warren, Subwavelength, bi-
nary lenses at infrared wavelengths, J. Vac. Sci. Technol. B 15(6), 2946~2949
(1997).
46. J.R. Wendt, G.A. Vawter, P.L. Gourley, T.M. Brennan and B.E. Hammons,
Nanofabrication of photonic lattice structures in GaAs/ AIGaAs, J. Vac. Sci.
Technol. B 11(6), 2637~40 (199:3).
47. A. Scherer, J.P. Harbison, D.M. Hwang, and E.D. Beebe, In-situ MBE re-
growth of ion-beam etched GaAs/ AIGaAs heterostructures, Proc. SPIE 945,
945 (1988).
48. G.A. Porkolab and E.D. Wolf, Etch masks of semimetallic amorphous carbon
thin films produced by electron-beam sublimation of graphitic carbon, J. Vac.
Sci. Technol. B 10(6),2681-4 (1992).
49. G.A. Porkolab, H. Shih-Hsiang, J.V. Hryniewicz, L. Wenhua, Y.J. Chen, S.
Agarwala, F.G. Johnson, O. King, M. Dagenais, and D.R. Stone, Etch-mask
of pyrolytic-photoresist thin-film for self-aligned fabrication of smooth and
deep faceted three-dimensional microstructures, J. Vac. Sci. Technol. B 14(6),
3650-3 (1996).
50. G.A. Vawter and J.R. Wendt, Chlorine reactive ion-beam etching of InSb
and InAso.15/Sbo.85/InSb strained-layer superlattices, Appl. Phys. Lett. 58(3),
289~91 (1991).
51. M. Sugiyama, T. Yamaizumi, M. Nezuka, Y. Shimogaki, Y. Nakano, K. Tadal,
and H. Komiyama, Simple kinetic model of ECR reactive ion-beam etching re-
actor for the optimization of GaAs etching process, Jpn. J. Appl. Phys. 35(2B),
1235~41 (1996).
52. A. Matsutani, F. Koyama, and K. Iga, Surface temperature increase in reactive
ion-beam etch and improvement of profiles by multistep etching, Jpn. J. Appl.
Phys. 34(4A), 2053~4 (1995).
542 G.A. Vawter

53. S. Sugata and K. Asakawa, GaAS radical etching with a Cb plasma in a reactive
ion-beam etching system, Jpn. J. Appl. Phys. Lett. 23(8), L564-6 (1984).
54. T. Saito, H.I. Fujishiro, T. Ichioka, K. Tanaka, S. Nishi, and Y. Sano,
0.25/.lm gate inverted HEMTs for an ultra-high speed DCFL dynamic fre-
quency divider, in 11th Annual GaAs IC Symposium. Technical Digest 1989
(Cat.No.89CH 2 730-0), (IEEE, New York, NY, USA, San Diego, CA, USA,
1989) 117-20.
55. M.E. Warren, T.C. Du, J.R. Wendt, G.A. Vawter, R.F. Carson, K.L. Lear, S.P.
Kilcoyne, R.P. Schneider, and J.C. Zolper, Integration of diffractive lenses with
addressable vertical-cavity laser arrays, Proc. SPIE 2398, 2398 (1995).
56. M.E. Warren, R.E. Smith, G.A. Vawter and J.R. Wendt, High-efficiency sub-
wavelength diffractive optical element in GaAs for 975 nm, Optics Lett. 20(12),
1441-3 (1995).
57. Y.B. Hahn, J.W. Lee, G.A. Vawter, R.J. Shul, C.R. Abernathy, D. Hays, E.S.
Lambers, and S.J. Peart on , Reactive ion-beam etching of GaAs and related
compounds in an inductively coupled plasma of Cb-Ar mixture, J. Vac. Sci.
Technol. B 17(2), 366-371 (1999).
58. J.M. Villalvilla, C. Santos, and J.A. Valles-Abarca, Temperature dependence
of reactive ion-beam etching of GaAs with CH 4 H 2 , Vacuum 43(5-7), 591-593
(1992).
59. K. Asakawa and S. Sugata, GaAs and GaAIAs equi-rate etching using a new
reactive ion-beam etching system, Jpn. J. Appl. Phys. Lett. 22(10), L653-5
(1983).
60. M. Uchida, S. Ishikawa, N. Takado, and K. Asakawa, An AIGaAs laser with
high-quality dry etched mirrors fabricated using an ultrahigh vacuum in situ
dry etching and deposition processing system, IEEE J. Quantum Electron.
24(11),2170-7 (1988).
61. M. Mannoh, T. Yuasa, K. Asakawa, K. Shinozaki, and M. Ishii, Low-threshold
MBE GaAsj AIGaAs quantum well lasers with dry-etched mirrors, Electron.
Lett. 21(17), 769-70 (1985).
62. T. Yuasa, T. Yamada, K. Asakawa, S. Sugata, M. Ishii, and M. Uchida, Short
cavity GaAsj AIGaAs multiquantum well lasers by dry etching, Appl. Phys.
Lett. 49(16), 1007-9 (1986).
63. N. Hamao, M. Sugimoto, N. Takado, Y. Tashiro, H. Iwata, T. Yuasa, and
K. Asakawa, Surface-emitting GaAsj AIGaAs lasers with dry-etched 45 degrees
total reflection mirrors, Appl. Phys. Lett. 54(24), 2389-91 (1989).
64. G.A. Vawter, Processing issues and technologies for optoelectronics-integrated
circuits and devices, Proc. SPIE 1582, 178 (1992).
65. G.A. Vawter, J.F. Klem, G.R. Hadley, and S.H. Kravitz, Highly accurate etch-
ing of ridge-waveguide directional couplers using in situ reflectance monitoring
and periodic multilayers, Appl. Phys. Lett. 62(1), 1-3 (1993).
66. G.A. Vawter, V.M. Hietala, and S.H. Kravitz, Digital optical phase control in
ridge-waveguide phase modulators, IEEE Photon. Technol. Lett. 5(3), 313-15
(1993).
67. B.R. Stallard, R.K. Rowe, A.J. Howard, G.R. Hadley, G.A. Vawter, J.R. Wendt,
and I.J. Fritz, Near-infrared spectroscopy with a dispersive waveguide device,
Appl. Spectros. 51(6), 880-2 (1997).
68. T. Numai, T. Kawakami, T. Yoshikawa, and M. Sugimoto, Record low threshold
current in microcavity surface-emitting laser, Jpn. J. Appl. Phys. Lett. 32(10B),
1533-1534 (1993).
12 Ion Beam Etching of Compound Semiconductors 543

69. H. Kawanishi, T. Morioka, A. Shimonaka, M. Taneya, and A. Suzuki, Chem-


ically assisted ion-beam etching of GaAs/ AIGaAs using chlorine ions, Jpn.
J. App!. Phys. Lett. 35(7B), L880-2 (1996).
70. C. Jung-Hwan and Y. S. Kwon, Longitudinal mode perturbation of laser diodes
with dry etched total internal reflector, IEEE Photon. Techno!. Lett. 9(10),
1310-12 (1997).
71. H. Kinoshita, T. Ishida, and K. Kaminishi, Surface oxidation of GaAs and
AIGaAs in low-energy Arj02 reactive ion-beam etching, App!. Phys. Lett.
49(4),204-6 (1986).
72. T. Tadokoro, F. Koyama, and K. Iga, A study on etching parameters of a
reactive ion-beam etch for GaAs and InP, Jpn. J. App!. Phys. 27(3), 389-92
(1988).
73. T. Yoshikawa, S. Kohmoto, M. Anan, N. Hamao, M. Baba, N. Takado,
Y. Sugimoto, M. Sugimoto, and K. Asakawa, Chlorine-based smooth reactive
ion-beam etching of indium-containing III-V compound semiconductor, Jpn. J.
App!. Phys. 31(12B), 4381-6 (1992).
74. K. Mutoh, M. Nakajima, and M. Mihara, Reactive ion-beam etching of InP
with Cb, Jpn. J. App!. Phys. 29(6), 1022-6 (1990).
75. A. Matsutani, F. Koyama, and K. Iga, Measurement of sidewall roughness of
InP etched by RIBE, in Optoelectronic Materials Growth and Processing LEOS
Summer Topical Meeting 1994, IEEE, Piscataway, N.1, USA, Lake Tahoe, NV,
USA, 1994, 26-27.
76. G.A. Vawter and C.I.H. Ashby, Reactive-ion-beam etching of InP in a chlorine-
hydrogen mixture, J. Vac. Sci. Techno!. B 12(6),3374-7 (1994).
77 . .1.R. Sendra and J. Anguita, Reactive ion-beam etching of indium phosphide
in electron cyclotron resonance plasma using methane/hydrogen/nitrogen mix-
tures, Jpn . .1. App!. Phys. Lett. 33(3A), L390-3 (1994).
78. W. Katzschner, U. Niggebrugge, R. Lomer, and H. Schroter-Janssen, Reactive
ion-beam etching of InP with N2 and N 20 2 mixtures, App!. Phys. Lett. 48(3),
230-2 (1986).
79. S. Arai, M. Tamura, S. Ki-Chul, and S. Tamura, Fabrication of InP-based
quantum-wires and its application to lasers, in Conference Proceedings. Sev-
enth International Conference on [ndium Phosphide and Related Materials
(Cat. No.95CH35720), IEEE, New York, NY, USA, Hokkaido, Japan, 1995,
620-3.
80. N. Bouadma and .1. Semo, 1.3-llmu m GalnAsP /InP buried-ridge-structure
laser and its monolithic integration with photo detector using reactive ion-beam
etching, .1. Lightwave Techno!. 12(5), 742-8 (1994).
81. S. Dzioba, J.P.D. Cook, T.V. Herak, S. Livermore, M. Young, R. Rousina,
S . .1atar, and F.R. Shepherd, Wafer scale processing of InGaAsP/InP lasers,
.1. Vac. Sci. Techno!. B 12(4), 2848-51 (1994).
82. T. Baba, N. Kamizawa, and M. Ikeda, Nanofabrication of GaInAsP /InP
2-dimensional photonic crystals by a methane-based reactive ion-beam etch-
ing, Physica B 227(1-4),415-18 (1996).
83. P. Boury and G. Landgren, Versatile reactive ion-beam etching (RIBE) of
InP-based material using CH 4 /H2/ Ar chemistry, in IPRM 1996. Eighth In-
ternational Conference on Indium Phosphide and Related Materials (Cat.
No.96CH35930), IEEE, New York, NY, USA, Schwabisch-Gmund, Germany,
1996, 119-20.
544 G.A. Vawter

84. J.L. Peyre, E. Gaumont, C. Labourie, A. Pinquier, P. Jarry, and J.L. Gen-
tner, CH 4 H 2 /N 2 reactive ion-beam etching for InP based photonic devices, in
IPRM 1996. Eighth International Conference on Indium Phosphide and Related
Materials (Cat. No.96CH35930), IEEE, New York, NY, USA, Schwabisch-
Gmund, Germany, 1996, 125-8.
85. B. Kempf, R. Goebel, H.W. Dinges, and H. Burkhard, N 2 /H 2 /0 2 : A new gas
mixture for deep groove ion-beam etching of long wavelength quaternary mush-
room type laser structures, (1990).
86. T. Yoshikawa, Y. Sugimoto, H. Yoshii, H. Kawano, S. Kohmoto, and
K. Asakawa, Smooth vertical etching of AlGaInP by Clz reactive ion-beam
etching, Electron. Lett. 29(2), 190-2 (1993).
87. T. Yoshikawa, Y. Sugimoto, H. Hott, K. Tada, H. Kobayashi, H. Yoshi,
H. Kawano, S. Kohmoto, and K. Asakawa, GalnP / AlGalnP index waveguide-
type visible laser diodes with dry-etched mesa stripes, Electron. Lett. 29(19),
1690-1691 (1993).
88. I. Kidoguchi, H. Adachi, K. Tanaka, T. Fukuhisa, M. Mannoh, and A. Takamori,
High-power 650-nm-band AlGaInP visible laser diodes fabricated by reactive
ion-beam etching using CIz/N 2 mixture, Jpn. J. App!. Phys. 36(3B), 1892-5
(1997).
89. G.A. Vawter, I.J. Fritz, T.J. Drummond, S.R. Lee, M.J. Hafich, A.J. Howard,
R.D. Briggs, S.A. Casalnuovo, L. Griego, F. Ren, S.J. Pearton, S.N.G. Chu,
R.J. Shul, W. Pletschen, and T. Kamijoh, CIz+Ar reactive ion-beam etching of
InGaAlAs for smooth low-damage definition of asymmetric Fabry-Perot optical
modulation transmission modulators, in Proc. Twenty-Fourth State-Of-The-
Art-Program on Compound Semiconductors, (Electrochem. Soc, Pennington,
NJ, USA, Los Angeles, CA, USA, 1996), 221-5.
90. J.R. Sendra, J. Anguita, J.J. Perez-Camacho, and F. Briones, Reactive ion-
beam etching of aluminum indium antimonide, gallium indium antimonide
heterostructures in electron cyclotron resonance methane/hydrogen/nitrogen/
silicon tetrachloride discharges at room temperature, App!. Phys. Lett. 67(22),
3289-91 (1995).
91. J.W. Lee, H.S. Park, Y.J. Park, M.C. Yoo, T.I. Kim, H.S. Kim, and G.Y.
Yeom, Dry etching of GaN using reactive ion-beam etching and chemically
assisted reactive ion-beam etching, in Gallium Nitride and Related Materi-
als II Materials Research Society Symposium Proceedings 468, 1997, (Mate-
rials Research Society, Pittsburgh, PA, USA, San Francisco, CA, USA, 1997),
373-377.
92. K. Saotome, A. Matsutani, T. Shirasawa, M. Mori, T. Honda, T. Sakaguchi,
F. Koyama, and K. Iga, Reactive ion-beam etching of GaN grown by MOVPE,
in 111-V Nitrides Materials Research Society Symposium Proceedings 449,
1997, (Materials Research Society, Pittsburgh, PA, USA, Boston, MA, USA,
1997), 1029-1033.
93. S.W. Pang, M.W. Geis, N.N. Efremow, and G.A. Lincoln, Effects of ion species
and adsorbed gas on dry etching induced damage in GaAs, J. Vac. Sci. Techno!.
B 3(1), 398-401 (1985).
94. W.J. Grande, J.E. Johnson, and C.L. Tang, Characterization of etch rate and
anisotropy in the temperature-controlled chemically assisted ion-beam etching
of GaAs, J. Vac. Sci. Techno!. B 8(5), 1075-9 (1990).
12 Ion Beam Etching of Compound Semiconductors 545

95. T.A. Strand, B.J. Thibeault, D.S.L. Mui, L.A. Coldren, P.M. Petroff, and
E.L. Hu, Low regrowth-interface recombination rates in InGaAs-GaAs buried
ridge lasers fabricated by in situ processing, App!. Phys. Lett. 66(15), 1966~8
(1995).
96. J.D. Chinn, A. Fernandez, 1. Adesida, and E.D. Wolf, Chemically assisted ion-
beam etching of GaAs, Ti, and Mo, J. Vac. Sci. Techno!. A 1(2, pt.1), 701-4
(1983).
97. L.M. Bharadwaj, P. Bonhomme, J. Faure, G. Balossier, and R.P. Bajpai,
Chemically assisted ion-beam etching on InP and InSb using reactive flux
of iodine and Ar+ beam, .1. Vac. Sci. Techno!. B9(3), 1440~5 (1991).
98. D. Marshall and R.B. Jackman, Novel precursors for chemically assisted ion-
beam etching: reactions of dichloroethane on GaAs(100), Vacuum 44(3~4),
249~56 (1993).
99. P. Vettiger, M.K. Benedict, G.L. Bona, P. Buchmann, E.C. Cahoon, K.
Datwyler, H.P. Dietrich, A. Moser, H.K. Seitz, O. Voegeli, D.J. Webb, and
P. Wolf, Full-wafer technology-A new approach to large-scale laser fabrication
and integration, IEEE J. Quantum Electron. 27(6), 1319-31 (1991).
100. O. Voegeli, M.K. Benedict, G.L. Bona, P. Buchmann, N. Cahoon, K. Datwyler,
H.P. Dietrich, A. Moser, G. Sasso, H.K. Seitz, P. Vettiger, D.J. Webb, and
P. Wolf, Full-water technology for large-scale laser processing and testing,
J. Vac. Sci. Techno!. B 9(6), 2886~92 (1991).
101. A. Behfar-Rad and S.S. Wong, Monolithic AlGaAs-GaAs single quantum-well
ridge lasers fabricated with dry-etched facets and ridges, IEEE J. Quantum
Electron. 28(5), 1227-31 (1992).
102. J.J. Liang and J.M. Ballantyne, Self-aligned dry-etching process for waveguide
diode ring lasers, J. Vac. Sci. Techno!. B 12(5), 2929~32 (1994).
103. W.J. Grande, J.E. Johnson, and C.L. Tang, GaAs/ AlGaAs photonic inte-
grated circuits fabricated using chemically assisted ion-beam etching, App!.
Phys. Lett. 57(24), 2537~9 (1990).
104. W.D. Goodhue, K. Rauschenbach, C.A. Wang, J.P. Donnelly, R.J. Bailey
and G.D. Johnson, Monolithic two-dimensional GaAs/ AIGaAs laser arrays
fabricated by chlorine ion-beam-assisted micromachining, J. Electron. Mater.
19(5), 463~9 (1990).
105. A. Scherer, J.L. Jewell, J.P. Harbison, L.T. Florez, Y.H. Lee, and C.J. San-
droff, Fabrication of low threshold CW electrically pumped surface emitting
microlasers, Lasers and Electro-Optics Society Annual Meeting. LEOS '89,
IEEE Proceedings p. 121 (1989).
106. H.E. Shin, Y.G. Ju, J.H. Shin, J.H. Ser, T. Kim, E.K. Lee, 1. Kim,
and Y.H. Lee, 780 nm oxidised vertical-cavity surface-emitting lasers with
Alo.l1Gao.89As quantum wells, Electron. Lett. 32(14), 1287~1288 (1996).
107. M. Hagberg, N. Eriksson, T. Kjellberg, and A. Larsson, Fabrication of gratings
for integrated optoelectronics, Microelectron. Eng. 27(1-4), 435~8 (1995).
108. R.E. Sah, J.D. Ralston, J. Daleiden, E.C. Larkins, S. Weisser, J. Fleissner,
and W. Benz, Fabrication of dry-etched mirrors in GaAs-based and InP-based
lasers using chemically assisted ion-beam etching at low temperatures, J. Elec-
tron. Mat. 25(9), 1446~50 (1996).
109. K.M. Eisele, J. Daleiden, and J. Ralston, Low temperature chemically assisted
ion-beam etching processes using Cb, CH 3 I, and IBr3 to etch InP optoelec-
tronic devices, J. Vac. Sci. Techno!. B14(3), 1780~1783 (1996).
546 G.A. Vawter

110. C. Youtsey, R. Grundbacher, R. Panepucci, I. Adesida, and C. Caneau, Char-


acterization of chemically assisted ion-beam etching of InP, J. Vac. Sci. Tech-
no!. B 12(6), 3317-21 (1994).
111. L.M. Bharadwaj, P. Bonhomme, J. Faure, G. Balossier, and R.P. Bajpai,
Chemically assisted ion-beam etching of GaAs and GaSb using reactive flux
of iodine and Ar+ beam, Proc. SPIE 1593, 185-92 (1992).
112. G.F. Doughty, S. Thoms, and C.D.W. Wilkinson, Dry etching of indium phos-
phide, Vacuum 36(11-12), 803-6 (1986).
113. J. Daleiden, K. Eisele, R.E. Sah, K.H. Schmidt, and J.D. Ralston, Chemical
analysis of a Cb/BCb/IBr3 chemically assisted ion-beam etching process for
GaAs and InP laser-mirror fabrication under cryo-pumped ultrahigh vacuum
conditions, J. Vac. Sci. Techno!. B 13(5),2022-4 (1995).
114. P. Unger, V. Boegli, P. Buchmann, and R. Germann, High-resolution electron-
beam lithography for fabricating visible semiconductor lasers with curved mir-
rors and integrated holograms, Microelectron. Eng. 23(1-4), 461-4 (1994).
115. M. Arafa, C. Youtsey, R. Grundbacher, I. Adesida, and J. Klem, Fabrica-
tion of nanostructures in AIGaSb/InAs using electron-beam lithography and
chemically assisted ion-beam etching, J. Vac. Sci. Techno!. B 12(6), 3623-5
(1994).
116. I. Adesida, A.T. Ping, C. Youtsey, T. Dow, M. Asif Khan, D.T. Olson, and
J.N. Kuznia, Characteristics of chemically assisted ion-beam etching of gallium
nitride, App!. Phys. Lett. 65(7), 889-91 (1994).
117. A.T. Ping, M. Asif Khan, and I. Adesida, Dry etching of AlxGal-xN using
chemically assisted ion-beam etching, Semicond. Sci. Techno!. 12(1), 133-135
(1997).
118. A.T. Ping, A.C. Schmitz, M.A. Khan, and I. Adesida, Dry etching of GaN
using chemically assisted ion-beam etching with HCI and H 2 /Cb, J. Electron.
Mat. 25(5), 825-9 (1996).
119. G.A. Vawter, J.F. Klem, and R.E. Leibenguth, Improved epitaxial layer design
for real-time monitoring of dry etching in Ill-V compound heterostructures
with depth accuracy of ±8nm, J. Vac. Sci. Techno!. A 12(4, pt.2), 1973-7
(1994).
120. M. Jost, G.L. Bona, P. Buchmann, G. Sasso, P. Vettiger, and D. Webb, Ridge
formation for AIGaAs GRINSCH Lasers by Cb Reactive Ion Etching, IEEE
Photon. Techno!. Lett. 2(10), 697-698 (1990).
121. M.E. Warren, P.L. Gourley, G.R. Hadley, G.A. Vawter, and T.M. Brennan,
On-axis far-field emission from two-dimensional phase-locked vertical cavity
surface-emitting laser arrays with an integrated phase-corrector, App!. Phys.
Lett. 61(13), 1484-1486 (1992).
122. K. Hamamoto, H. Chida, T. Miyazaki, and S. Ishikawa, High-power 0.98-l1m
strained quantum-well lasers fabricated using in situ monitored reactive ion-
beam etching, IEEE Photon. Techno!. Lett. 7(6), 602-4 (1995).
123. J.Y. Yoo, J.H. Shin, Y.H. Lee, H.H. Park, and B.S. Yoo, Precise nonselective
chemically assisted ion-beam etching of AIGaAs/GaAs Bragg reflectors by in
situ laser reflectometry, Optical and Quantum Electron. 27(5), 421-5 (1995).
124. R.B. Jackman, Ion beam-assisted etching of semiconductors: surface chemistry
vs. surface physics, Vacuum 44(3-4), 239-43 (1993).
125. Y. Yamane, K. Yamasaki, and T. Mizutani, Annealing behavior of damage
introduced in GaAs by reactive ion-beam etching, Jpn. J. App!. Phys. Lett.
21(9), L537-8 (1982).
12 Ion Beam Etching of Compound Semiconductors 547

126. S. Sugata and K. Asakawa, Characterization of damage on GaAs in a reactive


ion-beam etching system using Schottky diodes, J. Vac. Sci. Technol. B 6(3),
876-9 (1988).
127. K. Asakawa and S. Sugata, Damage and contamination-free GaAs and AlGaAs
etching using a novel ultrahigh-vacuum reactive ion-beam etching system with
etched surface monitoring and cleaning method, J. Vac. Sci. Technol. A 4
(3, pt.1), 677-80 (1986).
128. R.J. Shul, M.L. Lovejoy, D.L. Hetherington, D.J. Rieger, G.A. Vawter, J.F.
Klem, and M.R. Melloch, Investigation of plasma etch induced damage in
compound semiconductor devices, J. Vac. Sci. Technol. A 12(4, pt.l), 1351-5
(1994).
129. S.W. Pang, W.D. Goodhue, T.M. Lyszczarz, D.J. Ehrlich, R.B. Goodman,
and G.D. Johnson, Dry etching induced damage on vertical sidewalls of GaAs
channels, J. Vac. Sci. Technol. B 6(6), 1916-20 (1988).
130. K. Hamamoto, S. Sugou, K. Komatsu, and M. Kitamura, Extremely low loss
4 x 4 GaAs/ AIGaAs optical matrix switch, Electron. Lett. 29(17), 1580--1581
(1993).
13 Dry Etching of InP Vias

S. Thomas III and J.J. Brown

13.1 Introduction
Advanced monolithic millimeter-wave integrated circuits (MMICs) benefit by
having through-wafer connections (known as via holes) from the frontside to
the backside of the wafer [1-5]. MMICs are commonly fabricated on GaAs or
lnP substrates as these materials have advantages for high speed operation.
Figure 13.1 shows a typical via hole structure for backside wafer contacts.
These vias provide a common ground and a low inductance path from the
source contact of a field effect transistor (FET) (or other frontside contacts)
to the backside ground plane. When developing a process for via hole etching,
a high etch rate and selectivity, and a nearly vertical profile are desired. For
MMlC applications, via etch depths can be 50 to 100/-lm. Due to the large
etch depth , etch rates of several/-lmmin- 1 are desired for high throughput.
Devices or structures such as via holes that have high aspect ratios require
a directional etch to maintain linewidth control. This is not available with
wet-etching, which is generally either isotropic or crystallographic-orientation
dependent [6-9]. Crystallographic-orientation dependent etching can be self-
limiting, or provide an undercut profile along one edge of the device and an

ACTIVE DEVICE FRONTSIDE METAL


LAYERS CONTACT

SUI8TRAT!

+ + + + VIA HOLES
Fig. 13.1. Schematic of via hole structure used to provide interconnection between
front and back of wafer. Via holes are plated with metal after etching.

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
550 S. Thomas III and J.J. Brown

WET CHEMICAL DRY PLASMA ETCHING


ETCHING

SLOPED MASK

VERTICAL MASK

VERTICAL
PROFILE
NOT POSSIBLE

Fig. 13.2. Examples of vias formed using wet chemical etching (left) and dry-
etching (right). (From [1])

overcut profile along another. Figure 13.2 shows an example of a via profile
obtained from wet chemical etching [1]. The sidewall has a considerable slope
causing the lateral dimension of the via to be much larger at the surface,
or backside of the wafer, as compared to the bottom of the via where it is
required to make contact to the frontside.
With dry etching, anisotropic etching due to directional ions can be com-
bined with isotropic etching due to reactive species, such that deeper struc-
tures can be etched and the amount of sidewall etching can be controlled.
Figure 13.2 also shows two examples of dry-etched vias. In the top right
photo, a sloped photoresist mask was used to etch the via. Due to mask
erosion, a sloped profile is obtained similar to the wet-etched via. However,
by changing to a mask with a more vertical sidewall (such as polyimide or
other dielectrics), a vertical profile can be obtained. More discussion of mask
options for via etching will be given later in the chapter.
Clearly, to reduce device dimensions and die size, dry-etching is preferred
for via patterning. For isotropic wet-etches, a 20 flm diameter pattern can
open up to be over 100 flm after etching. This severely limits the packing
density and requires design rules with large via-to-via spacing. Dry etching
of the vias improves the profile control, allowing nearly vertical vias to be
etched. This allows more aggressive design rules to improve packing density
and to reduce the cost per die by shrinking the circuit area. Additionally,
with improved linewidth control, one can make use of slot vias to individually
ground each source pad of a multifinger FET device.
13 Dry Etching of InP Vias 551

Dry etch via processing is rather mature for GaAs technology, as com-
pared to InP technology. This is due to the relative ease of etching GaAs
with a Cl 2 -containing plasma at room temperature. Etch rates in excess of
21-Ull min -1 are readily obtained using process tools such as a reactive ion
etcher. Even though MMICs fabricated on InP substrates have better fre-
quency performance than GaAs MMICs, InP via etching has not been devel-
oped to quite the same extent. Part of this is due to the larger commercial
market for GaAs-based microwave power IC products and the higher cost of
the InP substrate material. The major difficulty in developing InP via tech-
nology has been the inability to etch InP at a reasonable etch rate using the
traditional methods. A dry-etch process for GaAs based on a Cl-containing
etch gas yields etch rates below 0.1 11m min- 1 when used to etch InP. Obvi-
ously, this is not acceptable for a 100 11m deep via process. It would take over
16 h to finish etching one 100 11m thick piece at that rate, provided one could
find an etch mask that would hold up that long.
As different methods to etch the vias are discussed, it should be kept
in mind that the etching must be compatible with the rest of the process
steps. Therefore, a brief discussion of a typical via process is described here.
Figure 13.3 gives a process flow diagram for the backside process steps. The
etching must also be compatible with the frontside process requirements. The
first step is to take the wafer that has finished device processing and mount
it frontside down for thinning. Generally, a protective coating such as pho-

MOUNT WAFER AND THIN TO


2-4 MIL

VIA PATTERN DEFINITION

ETCH VIAS

DEPOSIT PLAl1NG BASE AND


PLATE VIAS

PATTERN AND ETCH


STREETS

DEMOUNT WAFER AND


CLEAN FRONTSIDE

SCRIBE AND PICK Fig. 13.3. Typical process flow diagram for
INDIVIDUAL DIE
backside fabrication steps.
552 S. Thomas III and J.J. Brown

toresist is spun on the frontside to prevent air bridges from being crushed
or other damage to the circuit. The lnP wafer is then wax mounted to a
carrier wafer and thinned. Next, the vias are patterned with a photolitho-
graphically defined etch mask and are subsequently etched. For patterning,
the vias are aligned to the frontside contacts using infrared radiation that
is transparent to the substrate. After etching, the mask is removed and the
vias are plated with thick metal. Finally, the wafer is demounted and scribed
into discrete parts. The portions of this process flow that cause concern for
etch-compatibility are the mounting material and mask material that must
stand up to the high temperature etch and then be removed cleanly.
This chapter will discuss previous efforts at improving the etch rate and
selectivity of InP for via fabrication. The effects of etch temperature, applied
bias voltage, and gas chemistry on the lnP etch rate will be presented. These
early efforts, though promising, still yielded only limited results. It was only
when high density plasma sources, developed in the late 1980s and early
1990s, were used to etch lnP that the etch rate limitation was finally over-
come. The first high-density source that achieved some success etching InP
vias used electron cyclotron resonance (ECR) to generate the plasma [10-
13]. For these etch systems, generally called ECR sources, microwave power
at 2.45 GHz is launched from a waveguide into a chamber. The chamber is
surrounded by magnets that generate a field of 975 G. At these values the
electron resonates and is highly efficient at absorbing power. This increase
in electron energy results in an increase in density of excited species in the
plasma. Another source that has recently been applied to lnP via etching is
called an inductively coupled plasma (ICP) source. This source essentially
replaces the magnetic field and microwave power supply of an ECR source
with an rf power supply. Both sources assume the role of generating the high
ion density that is typically done by the stage rf power supply in a conven-
tional system. This allows one to minimize the bias applied to the stage to
prevent degradation.
With the advent of high-density power supplies, a new concern had to be
addressed when etching. Due to the high-density of energetic particles, the
wafer surface is heated by the plasma. This provides an advantage in that
high etch rates can be obtained even at low stage temperatures. However,
heating of the wafer can be so extreme that the device characteristics are
adversely affected. Additionally, measures must be taken to control the heat-
ing to provide run-to-run reproducibility. The effects of wafer temperature
on lnP etch characteristics (mainly the etch rate) will be discussed in detail.
Finally, recent demonstrations of InP via holes etched by ECR and lCP
sources will be discussed. This will include discussion of endpoint detection
of the etch step that is desired to prevent overetching of the frontside contact
metal. Several reports exist of using optical emission spectroscopy for via
etching endpoint detection [2,8]. The etch rate is generally very fast, and
nonuniform etching or nonuniform substrate thinning prior to etching, can
13 Dry Etching of InP Vias 553

cause low yield thereby requiring overetching to clear all vias. For achieving
high yields, it is critical that the frontside contacts not be etched through
during this overetch time. All of these factors provide a difficult challenge for
an effective etch-stop mechanism.

13.2 Past Difficulties


in Obtaining High Rate Etching for InP

Two technologies that were commonly used by the manufacturing community


for processing IIl-V materials were reactive ion etching (RIE) and ion beam
assisted etching (IBAE). The drawback to conventional RIE or IBAE is the
large self-induced dc bias voltage (lVdcl) or ion energy that develops. As ions
are accelerated by the large electric field, they gain substantial energy. This
energy is transferred to the wafer as the ions collide with the surface. Damage
is created in the material that increases with ion energy [14-16]. This damage
can affect the electrical properties of the material and degrade device perfor-
mance. Additionally, the ion density generated by these conventional sources
is low compared to newer sources, such that etch processes that depend on
ion-assisted etching are usually quite slow [17]. The next two sections will de-
scribe methods that were used for RIE of InP: high bias CH4-based etching;
and elevated temperature Cl-based etching.

13.2.1 High Bias CH 4 -based Etching of InP


One device process that benefits from high rate etching of InP is the formation
of InP /lnGaAsP heterostructure lasers. The cladding material for these de-
vices is InP and can be 2 to 4 ~m thick. This is much less than the typical InP
via hole; however, it still requires a substantial etch depth for a room temper-
ature Ch etch process. Niggebrugge proposed using CH 4/H 2 to etch InP as
an alternative to CI [18]. Two advantages of the CH 4/H 2 process include: the
cathode temperature does not need to be elevated to increase the etch rate,
and that during the process an etch resistant film is deposited on either an
Si0 2 mask or a photoresist mask. Etch rates of 40nmmin- 1 are obtained at
temperatures as low as lOO°C with infinite selectivity to the mask. The etch
rate is only slightly lower at room temperature. A drawback to etching with
CH 4/H 2 is the deposition of material on the chamber that requires frequent
cleaning and seasoning runs to maintain the system and ensure run-to-run
reproducibility. Additionally, to achieve high etch rates the ion energy needs
to be increased. At high etch rates, the protective polymer deposited on the
mask is then removed by the incoming ions and the selectivity decreases.
When etching InP with CH 4/H 2, the H2 is used to form the volatile etch
product PH3 , thereby removing P from the surface, while the methane readily
forms methyl radicals with the In on the surface. By balancing the gas flows,
one can remove In and P at equivalent rates, leaving a smooth, stoichiometric
554 S. Thomas III and J.J. Brown

etched surface. This was the primary advantage of the CH 4 /H 2 approach, as


the etch rate was still not acceptable for via etching. The CH 4 /H 2 chemistry
became a standard process for applications such as waveguide lasers and
other devices fabricated on InP. For processes such as through-substrate vias,
CH4 /H 2 chemistry was not successful so efforts continued to target higher
InP etch rates.

13.2.2 Elevated Temperature Cl-based Etching of InP


It should be remembered that methane-based chemistries were used as a low
temperature alternative to Cl-based chemistries for InP etching. It was well
known that InP could be etched by a Cl-containing plasma provided one
elevated the substrate temperature. As far back as 1980, Burton et al. [19J
used a CCl4 :0 2 plasma to etch 751lm of InP in 2 h, for an etch rate greater
than 0.6 Ilm min-l [19J. A 0.51lm Si0 2 mask was used that has excellent etch
resistance to the plasma. The wafers were etched at 150 mTorr and 300°C. For
processes that use refractory metal contacts, exposure to this temperature for
2 h should not be of too great a concern. However, typical alloyed contacts
will normally begin to degrade after only minimal exposures to such elevated
temperatures. This motivated the efforts investigating alternative chemistries
and plasma reactors.
For through-wafer etching that Burton described, surface morphology is
not an important consideration. However, for other applications where fur-
ther processing may be required, a smooth morphology is desired. This topic
received much attention in reports on elevated temperature, Cl-based etch-
ing of InP. Vawter and Ashby [20) for example, detailed the dependence of
InP morphology on H2 content during Cl-based reactive-ion-beam etching.
At 250°C, etching with between 53 and 73% Cb in H2 resulted in a smooth
etched surface. This shows that a balance must occur between the removal
rates of In and P for a smooth surface to be obtained.

13.3 High Density Plasma Sources


for High InP Etch Rate

As mentioned in the previous section, the drawback to conventional RIE is


that the etch rate can, generally speaking, only be increased by increasing the
rf power (once the gas chemistry and process pressure have been optimized.)
This rf power applied to the stage develops a bias on the stage that accelerates
ions into the wafer. Increasing the power to increase the plasma density and
etch rate causes the magnitude of the dc bias voltage to increase. The ion
energy increases with bias voltage and can lead to significant damage when
in excess of 100-200V. This can lead to rough surface morphology, and/or
electrical degradation of the device or circuit. For via hole etching, the active
layers of the sample are not exposed to the plasma, however, the high bias
13 Dry Etching of InP Vias 555

can cause the ions to sputter through the frontside contacts on which the
etch is desired to stop.
High-density sources, such as ECR or ICP, have a second power supply
useful for generating a high ion density. The power applied to the stage can
then be used primarily to control the bias or ion energy. It should be noted
that changing the power of the second supply - microwave power for ECR
sources or rf power for ICP sources - does have an effect on the dc bias.
However, the effect is usually small and one can think of the stage rf power
as controlling the bias and the microwave power or inductively coupled rf
power as controlling the ion density.

13.3.1 Reduced Bias CH 4 -Based ECR Etching of InP


One of the driving forces behind the development of high-density plasma
sources was to minimize the bias while maintaining high etch rates. Several
studies have reported on ECR etching of III-V materials with a focus on
reducing ion-induced damage [21-25]. ECR etch processes usually operate
with 50 to 150V IVdcl, much lower than the 300 to 400V typical of RIE
processes. Another advantage of using a high-density plasma source is that
it provides additional process flexibility, allowing a wider variety of etching
requirements to be met. Besides the addition of a separate power source to
generate high ion density, a wider range of process pressures can be used. This
is especially true at lower pressure where the ECR source is more efficient at
generating a plasma.
Some of the first attempts at etching InP vias with ECR sources used the
familiar CH4/Hd Ar chemistry. Not surprisingly, it was found that increasing
the microwave power of the ECR source has a positive effect on the etch
rate [22]. By providing an increase in the active species concentration in the
discharge, etch rates as high as 25 nm min- 1 were obtained and reported.
(Etch rates in excess of this were measured, but the surface morphology had
degraded significantly so the rates were not reported.) In that work, PCla
was added to the gas chemistry in an attempt to supply a P-over pressure
and prevent preferential loss of P from the surface. This was presented as the
cause for the surface morphology degradation. They found that adding PCla
did, in fact, improve the morphology allowing microwave power up to 200 W
to be used. The microwave power was limited to values where one would not
expect significant plasma heating, as we will see in the next sections, and
so the benefits of etching with a Cl-containing gas at elevated temperatures
were not realized.
Other approaches to increase the etch rate were to use other halides, such
as iodine or bromine containing gases. The work with HI was inspired by work
done on 12 reactive ion beam etching of InP for TEM sample preparation.
Etch rates in the range of 1-2 flm min -1 could be obtained with a HI/Hd Ar
plasma. The typical etch rates reported, however, were still in the 100 to
400nmmin- 1 range [26]. These etch rates occur at dc bias values ",,100 V,
556 S. Thomas III and J.J. Brown

such that mask erosion (found to be a problem for CH 4 /H 2 etching where dc


bias values sometimes exceed 300 V) was no longer a severe limitation and
high selectivities could be obtained.

13.3.2 Addition of CI to CH 4 -Based ECR Etching of InP


Other work with ECR sources maintained the elevated temperature etching
with CI 2 /CH 4 /H 2 / Ar chemistries that was common for RIE processes. Rea-
sonable etch rates were obtained allowing vias to be demonstrated. One such
paper considered the mask material in detail [1]. One mask consisted of two
coats of photoresist spun to a total thickness of 151lm. The thick resist is
needed due to the low selectivity of InP to resist for typical Cl-based plasma
processes. Etch selectivity of 5: 1 was measured for ",54 x 541lm2 vias. (It is
important to mention the dimensions when discussing via etching since this
can have a large impact on the rate and selectivity due to the microloading
effect.) After developing the resist, it is baked to allow it to flow and have a
tapered profile. The tapered profile is transferred from the resist to the InP
material through mask erosion and is beneficial for metal plating the vias.
The mask erosion causes the opening to be larger at the top of the via and
can be substantial in dimension. This needs to be considered when developing
mask layout rules.
Another mask presented consists of a photosensitive polyimide. This has
the advantage of using typical lithographic processes to pattern the via. The
amount of reflow can be controlled such that a more vertical profile is ob-
tained and features can be placed closer together. This saves layout space
and reduces the cost per chip. The limitations of this mask were the etch
selectivity (only ",3:1) and the difficulty in defining small features in the
thick polyimide. The authors did extend the demonstration to thinned InP
wafers. One consideration taken as they moved from thick InP substrates to
2 mil thick samples was to lower the stage temperature. This was required
since the thin pieces had to be mounted with wax for lapping, while the thick
pieces could be mounted with a Si-based grease that was able to withstand
a higher temperature. The etch rate was reduced at the lower temperature,
but the square vias were still etched, plated, and checked for continuity to
the frontside. The paper reports excellent via yield; however, no device mea-
surements were given. Examples of the via profiles obtained are shown in
Fig. 13.2.

13.3.3 Low Temperature CI-Based Etching


The next step in the development of InP dry-etched vias was to simplify
the chemistry and lower the stage temperature. Initially, it was thought
that increasing the ion density to such a high level could improve the etch
rate through the ion-assisted etch process. In situ monitoring was applied
to the process which showed a definite time lag between when the plasma
13 Dry Etching of InP Vias 557

was started and when the etch products were detected. This time lag was
dependent upon the ion energy and so was proposed to be an oxide removal
time, similar to GaAs etching. However, it was also suggested that the delay
could be caused by the time required for the plasma to heat the wafer to
a temperature where the etch rate increases. The wafer heating occurs due
to the high energy bombardment and low pressure operation that prevents
cooling by the gas species. A discussion of plasma heating during InP etching
is given in [27] and is the subject of the next section.

13.4 Measurement of Plasma Heating for InP Etching


One method to monitor the wafer temperature during etching is in situ fiber
optic thermometry. The ability to measure the wafer temperature during
etching is important since etch characteristics such as rate, morphology, and
profile often depend on the temperature. These characteristics have been
shown to be dependent upon substrate temperature in Cb-based plasma
etching of InP [28-30]. Elevated etch rates, smoother morphologies, and in-
creased sidewall etching have been reported for higher wafer temperatures
during RIE and IBAE. However, little work had been done relating wafer
temperature to the etch characteristics in high-density plasma sources. The
high ion density plasma generated by an ECR source increases wafer heating
substantially. Therefore, control of the wafer temperature during etching is
critical for maintaining reproducible etch characteristics.
As discussed in the previous sections, the etch rate of InP in a Cl-contain-
ing plasma is temperature dependent. The etch rate is very low for temper-
atures <130°C due to the involatility of the InCl 3 etch products [31,32]. At
temperatures >150°C and pressure <0.9 mTorr, the etch rate increases and
is limited by the Cb-InP surface reaction rate [28]. The increase in etch rate
occurs at different temperatures for different pressures, and would appear to
be dependent on the temperature dependent vapor pressure of InCI [33]. Dis-
cussions of the surface chemistry and etch mechanisms for the InP-CI system
can be found in [34,35]. Essentially, as the temperature is increased, the etch
products residing on the surface change from InCl 3 to a mixture of InCI 2 ,
InCI and InxCl y halides that desorb more easily than InCI3 . Additionally,
sidewall etching increases as a result of the increased volatility of InCl 3 and
its subhalides at higher temperatures [31].

13.4.1 Wafer Heating During High-Density Plasma Etching


Using in situ fiber optic thermometry, a direct measure of the wafer surface
can be made [36]. In one study, a Si surface temperature was measured using
fiuoroptic thermometry, and it increased by 60 to 100°C during rf sputtering
at high bias voltage [37]. An optical temperature measurement is preferred
since there is less disturbance to the plasma and it is immune to rf interfer-
ence [30]. A fiber optic probe was used for in situ temperature measurements
558 S. Thomas III and J.J. Brown

during etching with ECR generated plasmas of Ar and Chi Ar chemistries.


The microwave power, rf power, chamber pressure, and gas flow parameters
were investigated to determine their respective effects on wafer temperature
during etching. Control of the stage temperature using liquid N2 and backside
He cooling was also studied. Time-dependent InP etch rates, morphologies,
and profiles can be correlated with the InP surface temperature during etch-
ing. The InP etch rate increases, the sidewall begins to etch, and the surface
morphology improves at higher temperatures.
Temperature measurements were performed in situ by mounting a fiber
optic thermometer onto a Si wafer or an InP chip on top of a Si carrier wafer.
The experimental details can be found in [27]. The temperature probe con-
sisted of an optical fiber with a GaAs chip and a dielectric mirror mounted
at the end of the fiber. Light from the fiber is partially absorbed by the GaAs
chip. It is reflected by the mirror, and travels back through the GaAs and
the fiber to an optical detector. Since light absorption in GaAs is temper-
ature dependent, the ratio of the incident and reflected light can be used
to determine wafer temperature. A schematic of the test setup is shown in
Fig. 13.4.

OPTICAL FIBER
FEEDTHROUGH

LIGHT

~---.
GaAs CHIP WITH
DIELECTRIC MIRROR
Fig. 13.4. Experimental setup for in situ wafer temperature measurements using
a fiber optic probe.

For the experiments discussed here, the wafer temperature was measured
with the stage water-cooled, but He was not flowed at the backside of the
wafer. Both of the typically mentioned advantages of high-density power
sources (ability to generate a high ion flux and low pressure operation) cause
the surface temperature to increase. Figure 13.5 shows the effects of increasing
either the microwave power (left) or the rf power (right) on the temperature
of a Si wafer. For an increase in microwave power from 50 to 500 W, the
13 Dry Etching of InP Vias 559

200

... ......--
""
150
..........
,
..... 300W

I..-........-.-..
1SO ",,+ -~ ~
~
w
, It'soow U
::"'100
II: w 100W

i
II:
100 ::;)

~
w
A. 15A. 50W
:Ii 50
...w 50
sow m
0
2 4 6 8 10 12 0 5 10 15 20
ETCH TIME (min) ETCH TIME (min)

Fig. 13.5. Effect of microwave power (left) and rf power (right) on wafer tempera-
ture when no temperature control of the stage is used.

temperature after 12 min of etching had increased from 62 to 186°C. This


dramatic increase in the wafer temperature was mainly caused by the in-
crease in ion density with increased microwave power. The higher ion flux at
the surface increased the energy transferred to the wafer by increasing the
number of ion collisions with the surface. With the rf power set at 50, 100,
and 300W, the surface temperature increased from 25 to 62,80, and 145°C,
respectively. Increases in rf power caused IVdc I to increase and the incident
ions became more energetic. At 50W rf power, IVdcl was only nov, while
at 300W rf power, IVdcl increased to 315V. More energy was transferred to
the wafer at high rf power during these collisions since the ion energy was
greater.
Chamber pressure also has an effect on wafer temperature. For 50 W of
microwave power, 50 W of rf power, and 10 sccm Ar gas flow, the pressure
was varied from 0.5 to 10 mTorr. When the pressure was increased from 0.5
to 2 mTorr, the saturated wafer temperature increased from 59 to 62°C. This
is probably caused by an increase in ion density since more Ar is available for
ionization. However, as the pressure continued to increase from 2 to 10 mTorr,
the temperature decreased from 62 to 46°C. This temperature decrease with
increasing chamber pressure could be due to two effects. First, the larger
density of molecules at higher pressure allows more heat to be removed from
the surface as a result of collisions. Secondly, the efficiency of the plasma is
reduced at higher pressures. Recombination becomes more likely due to the
increased number of particles. Therefore, the ion density tends to decrease
at the wafer surface for higher pressure and the wafer heating is reduced.
The effect of pressure on wafer heating is an important consideration when
etching with a high-density plasma source as process pressures are typically
kept below 10 mTorr.
The effectiveness of He flowing at the backside of the wafer to control wafer
temperature has been shown for RIE [30]. For wafers etched by plasma gen-
560 S. Thomas III and J.J. Brown

erated with an ECR source, the wafer temperature can increase dramatically
due to the high ion flux at the surface. The use of backside He cooling can
reduce wafer heating. For etch conditions of 50W microwave power, 50W
rf power, 1 mTorr pressure, and a stage temperature of 20°C, the surface
temperature increased from 20 to 62°C in 12 min when no He was used. By
setting the backside He pressure to 3 Torr, the temperature increased only
9°C in 12 min to 29°C. Using higher He backside pressure improves thermal
conductance and allows for efficient cooling of the wafer [38]. The backside
He pressure was limited to prevent a He leak into the chamber.

13.4.2 Impact of Plasma Heating for InP Etching


For etching of InP using a Cl 2 plasma, the etch rate, morphology, and pro-
file have been shown to depend on temperature [39-41]. In the work cited
in [39-41], wafer temperature was increased by heating the stage supporting
the wafer and only the stage temperature was measured. In [27], the wafer
was heated by the plasma and/or stage heating during the etch. The temper-
ature of the wafer was measured directly on the wafer surface by a fiber optic
thermometer. The effects of wafer temperature on InP etch rate were investi-
gated as a function of time. Etch parameters were 100 W rf power, chamber
pressure of 2mTorr, and gas flow of CI 2 /Ar at lO/lOsccm. Microwave power
of 100 and 500W were used for InP etching. For 100W microwave power,
samples were etched for 1 to 10 min in 1 min increments (Le., sample 1 was
etched for 1 min while sample 7 was etched for 7 min) and the etch depth,
morphology, and profile were examined for each sample. For 500 W microwave
power, samples were etched for 0.5 to 4 min in 30s increments.
Figure 13.6 shows the time dependent local average etch rate for InP
when 100 W microwave power was used. The local average etch rate from
5 to 6 min, for example, was calculated by subtracting the etch depth after
5 min from the etch depth after 6 min. This yields an etch rate that can be
related to the temperature at that time during the etch. For etch times under
4 min, the etch rate was slow and remained at 100 nm min-I. Once the surface
temperature reached ",150°C after 6 min of etching, the etch rate increased
rapidly. The local average etch rate doubled from 0.2)lmmin- 1 at 5min to
0.4)lmmin- 1 at 6 min. During the 10th minute of etching, the local average
etch rate reached 2.5)lm min-I, indicating that the etch product volatility
had increased dramatically at the higher temperature.
When the microwave power was increased to 500 W, it appeared that the
etch rate had increased significantly before the wafer temperature reached
150°C. Figure 13.7 shows the local average etch rate as a function of time.
In the first 30s, the average etch rate was only 0.2)lmmin- 1 . This is low
due to the low surface temperature at the start of the etch and possibly the
presence of surface oxide. After 1 min of etch time, the local average etch rate
increased to 2.0)lmmin- 1 even though the temperature is still only 110°C
after 1 min of etching. This high etch rate could be attributed to the high ion
13 Dry Etching of InP Vias 561

3.0
C
i 2.5
~~~-----~--- -
...-'---i~~
::t 150
2.0
w
l-
e ,.'
1.5 100

,-
II:
~'
,,
:z:
0
I-
w 1.0
...J
e0 , 50
0 0.5
...J

0
0 2 4 6 8
TIME (min)

Fig. 13.6. The InP local etch rate increases once the wafer temperature exceeds
150°C. The microwave and rf power were each set to 100W.

5 250
C
i::t
4 200 -i
m
~
'1:1
~ m
W
I-
3 150 :D
eII: ~
c:
:z: 2 100 :D
0 m
I-
w
-9
~

...J
e0 50
0
...J

0
2 3
TIME (min)

Fig. 13.7. InP local etch rate and wafer temperature plotted versus time for 500 W
microwave power and 100 W rf power.

density plasma generated under this condition, or a lag in the response of the
sensor to the change in wafer temperature. Due to the very dense plasma,
the etch rate was 2.9Ilmmin-l by the time the wafer temperature reached
150°C.
Backside He flow and liquid N2 cooling of the stage were used to keep
the wafer temperature from reaching 150°C for the 100 W microwave power
condition. Figure 13.8 shows the etch depth as a function of time for the un-
controlled and the controlled wafer temperatures. For the uncontrolled case,
the slope of etch depth versus etch time varies due to changes in etch rate.
However, when the stage was set to -100°C and the backside He pressure
was 3 Torr, the etch depth increased steadily with time and the etch rate was
562 S. Thomas III and J .J . Brown

6
E
~ 5 NO TEMPERATURE
CONTROL
:l: 4
~
~
w
0 3
:l:
(,)
~ 2
w

12

TIME (min)

Fig. 13.8. InP etch depth as a function of time with and without temperature
control of the stage. The etch conditions were the same as in Fig. 13.6.

constant. The final etch depth is lower than the uncontrolled case since the
wafer temperature is reduced.
Etch profiles for InP etched with and without temperature control are
shown in Fig. 13.9. The samples were etched using 100 W of microwave power
to an etch depth of ",4 )lm. The etch times were adjusted (15 min with tem-
perature control and 8 min without temperature control) such that a similar
etch depth could be obtained. On the left is the case where no temperature
control was used, and a sidewall etch depth of '" 1 )lm can be seen. Since the
wafer temperature increases with etch time when the temperature is not con-
trolled, the profile is due to the increased volatility of etch products above
150°C that leads to isotropic etching. On the right is shown the profile ob-

H
11l m
Fig. 13.9. The left micrograph shows the etched InP sidewall obtained when no
temperature control is used. The right micrograph shows a nearly vertical profile
of InP when the stage temperature is held at -100° C with 3 Torr He backside
pressure. The etch conditions were the same as in Fig. 13.6.
13 Dry Etching of InP Vias 563

tained when temperature control is used. The profile is slightly tapered out,
suggesting redeposition of material on the sidewall and suppression of sidewall
etching.
A study of the effect of etch temperature on InP morphology is presented
in [42]. It is shown that a smoother-etched surface can be obtained by increas-
ing the stage temperature. We have found that by allowing plasma heating to
increase the wafer temperature, smooth surfaces can still be obtained with-
out the need for stage heating. For the case of 100 W microwave power just
discussed, the morphology is slightly rough initially, and it becomes smooth
after etching for 6 min and the wafer temperature reaches 150°C.

13.4.3 Effects of Chamber Pressure


and Wafer Temperature on Etch Rate
Another approach to estimating the InP wafer temperature during etching
is described by Sabin [33]. Various power levels were applied to the stage
heater and the change in chuck temperature was measured. These values
were compared to increases in the chuck temperature when the plasma was
ignited. By additionally measuring the effects of: He cooling; the presence
of the wafer; and the thermal conductivity of the carrier, grease, and wafer,
the wafer chip temperature was determined. One conclusion from this study
was that even with He cooling, for high microwave and rf power levels, the
increase in the wafer temperature above the chuck temperature could be
as high as 75°C. Another finding was that the etch rate as a function of
temperature has two distinct activation energies. At temperatures where the
InCI vapor pressure is less than the chamber pressure, the activation energy
was 0.45 eV, while at temperatures where the InCI vapor pressure is greater
than the chamber pressure, the activation energy is much less.
This means that the etch rate changes much less with temperature once
a given temperature is reached. This is an important consideration because
there is a tradeoff involved with etching InP at higher temperatures. Even
though the etch rate increases with increasing temperature, a low tempera-
ture is desired to maintain the integrity of the process. The mounting material
used and the photoresist mask are sensitive to elevated temperatures and can
show degradation. Additionally, the device can degrade if it is held at an ele-
vated temperature for too long. For these reasons, it is desired to maintain a
wafer temperature of less than 170°C. One can see from Fig. 13.10 that the
vapor pressure at 175°C is approximately 5 mTorr. This is a typical process
pressure used for high-density sources. If one can lower the chamber pressure
to 2 mTorr, the temperature where the vapor pressure is larger than this is
only 160°C and the process window can be enlarged. Maintaining the wafer
temperature at this level is better for demounting and clearly prevents device
or contact degradation.
This figure also points to a major advantage of high-density sources over
traditional etch systems. By using an additional power supply, the plasma can
564 S. Thomas III and J.J. Brown

.
'C'
30

-~ 25

20
CONVENTIONAL
PROCESS PRESSURES

15

10
HIGH DENSITY PLASMA
PROCESS PRESSURES
5 --"""""":---1--
oL-~~--~~~~L-~
80 100 120 140 160 180 200 220
TEMPERATURE rC)

Fig. 13.10. Indium Chloride vapor pressure as a function of temperature.

be ignited and kept stable at much lower pressures than traditional systems.
A typical RIE system may operate in the pressure range of 10-100 mTorr.
Very low etch rates are obtained at the lower pressures (10-20mTorr) due to
low ion density. At pressures of 25 mTorr, the temperature at which the InP
vapor pressure is greater than the chamber pressure (and so the temperature
at which the InP etch rate is expected to increase dramatically) is well above
200°C. This can have severe consequences for the rest of the process, such as
demounting, and can degrade device performance.
A chamber pressure of 2 mTorr was used by Ko and Pang [43] for ECR
etching of InP vias. Berg has continued the work of Ko using the same process
chamber, although the ECR source was replaced with an ICP source. The
chamber pressure for etching the vias was set at 0.25 mTorr. These samples
were etched with 1000W ICP power and 60W rf stage power for a IVdcl of
75 V. The etch rate in open areas (where microloading was not a concern)
was 2.3/J.mmin- 1 . It can be seen from these conditions that one need not use
a high rf stage power to generate fast etch rates. In fact, with this low IVdcl
the selectivity to the evaporated Ni mask was almost 200.

13.5 Application to Via Hole Etching


There are several reports of deep vias etched into InP [1,43-45]. Vias with
highly vertical sidewalls that allow for close via-to-via spacing have been
reported [43]. Vias etched under individual source pads minimize the source
inductance and increase the device gain of a power transistor [46]. These
types of vias are known as slot vias and are typically long and narrow. A
schematic of a FET layout implementing slot vias is shown in Fig. 13.11.
Eight gate fingers are shown in the figure. The gate and drain contacts can
13 Dry Etching of InP Vias 565

Fig. 13.11. Multi-finger FET layout for slot


via fabrication.

b e interconnected by frontside metal. For large square vias, only the two
end source contacts have vias and the inner two source contacts would need
air bridge connections to the outer source contacts. By implementing slot
vias, the air bridges can be removed and each source pad can be individually
grounded to the backside of the wafer.
Various plasma chemistries have been used to achieve high InP etch rates ,
including CH 4 /Hd Ar/Ch , CI 2 /HBr/BCb/ Ar, and Cld Ar. This section de-
scribes C1 2 / Ar etching with the assumption that a simple plasma chemistry
will lead to a more robust process. Others who have reported results us-
ing Chi Ar employed plated Ni as the etch mask. Even though narrow via
dimensions can b e maintained with this mask, it may be undesirable in a
manufacturing environment, and so use of both metal masks and photoresist
masks will be discussed.

13.5.1 Etch Mask and Etch Characteristics


Figure 13.12 shows the process steps required for the different masking tech-
niques. It can be seen that the photoresist mask is relatively simple, requiring
only the standard lithography steps of exposure and development. The plated-
Ni mask on the other hand, requires that one first deposit a thin plating base.
Then, the vias are patterned using image-reversal lithography and the mask
is plated up. Typically, 21lm of Ni is used for a 100 Ilm via etch depth because
of the excellent selectivity of InP to Ni (at elevated temperatures, of course.)
After plating, the resist is stripped and the plating base is milled away.
The vias formed by using Ni etch masks are different from those formed
by photoresist masks in at least one regard. The photoresist will generally
etch laterally as well as vertically, and so a tapered profile develops in the InP.
Whereas, with a Ni etch mask only sputtering of the mask occurs. There is
little or no lateral etching of the mask and the vias maintain a highly vertical
profile. Although this is desirable for chip compaction, it causes complications
for the next step, when the etched via is plated with a thick Au layer that
serves as the ground plane. Figure 13.13 shows 10 Ilm wide, 70 Ilm deep vias
etched using a Ni mask. The vertical etch profile evident from the figure
allows the vias to be placed only 10 Ilm apart.
Another concern when using a metal mask is that the aspect ratio of
the feature increases as the via is etched since the lateral dimension does not
increase. Several authors have discussed this aspect ratio dependent etch rate
phenomena. In one study, vias with diameter ranging from 5 to 500 Ilm were
566 S. Thomas III and J.J. Brown

Metal Mask
1. Deposit
InP plating base

Photoresist Mask
Align, Expose, Develop 2. Pattern for
plating

j InP lt~·m 3. Plate Ni


mask

4. Strip resist,
ion mill
....liil.liil• •" plating base

Fig. 13.12. Process steps required for different masking techniques. Use of a pho-
toresist mask is relatively simple, while a plated mask requires several a dditional
steps.

Fig. 13. 13. Array of lO~m


wide, 70 ~m deep vias etched us-
ing a Ni mask. The separation
between vias is 10 ~m . (Photo
courtesy of S.W . Pang and E .W .
Berg.)

etched. Figure 13.14 shows how the via etch depth increased with etch time.
The largest via was etched over 150!lm deep in only 40 min. In the same
time, the smallest via was etched less than 40!lm deep. The aspect ratio of
the largest hole after etching was still less than 1, while for the smallest hole
it was almost 8.
As the aspect ratio increases above 1, it has been suggested that the
etch rate begins to be limited by transport of etch gases into the hole and
of etch products out of the hole. For an extreme case where one had both
500!lm diameter vias and 5!lm diameter vias, the larger vias would have to
13 Dry Etching of InP Vias 567

160

--
140

E 120
::1
::c 100

w
Ii: 80
C
::c 60
....(,)w
40

20

10 20 30 40 50 60
ETCH TIME (min)
Fig. 13.14. Effect of via dimensions on etch depth. (Courtesy of S.W. Pang and
E.W. Berg.)

be severely overetched to enable clearing of the smaller via. Therefore, all


vias on a given mask layout will typically have the same dimensions to avoid
this problem. Additionally, mixing of slot vias with square vias is strongly
discouraged. It should be noted that this is not as much a concern for etching
with a photoresist mask, as mask erosion increases the lateral dimension as
the etch depth increases, thereby minimizing increases in the aspect ratio.
However, larger features will still etch faster than smaller ones.

13.5.2 Etching Slot Vias Using a Photoresist Mask

A different problem occurs when etching slot vias with a photoresist mask.
Due to mask erosion, the feature size increases which limits the proximity
of nearby vias. This is critically important for slot vias where the via-to-via
spacing sets the finger-to-finger or gate-to-gate spacing.
InP wafers were mounted to a carrier wafer and thinned to 50 ~m prior to
patterning the vias with photoresist. The backside vias were etched using a
Chi Ar plasma generated by an electron cyclotron resonance (ECR) source.
The wafer temperature increases as a result of plasma heating, which allows
the etch rate to increase even for low stage temperatures [27]. This is a concern
when using a photoresist mask, as the high temperature can make removal
of the mask material difficult. Additionally, the wafer temperature was kept
sufficiently low to facilitate demounting of the InP wafer from the Si carrier
wafer.
Previous efforts have either used a temperature-controlled stage set at
130-170°C [1,45], or at 25°C with no backside He cooling [43]. In an attempt
to limit the temperature and facilitate demounting, the stage temperature
568 S. Thomas III and J.J. Brown

was set at 80°C. Backside He flow was used to limit the increase in the
wafer carrier temperature above this. Plasma heating was used to increase
the etched surface temperature above ",150°C and improve the etch rate.
Figure 13.15 shows a cross sectional scanning electron micrograph of a pair
of slot vias. The slot via layout defined 20/lm wide vias with 27/lm spaces
between adjacent vias. The image in Fig. 13.15 shows that mask erosion has
increased the slot via width to 38/lm. Etching was stopped just prior to
reaching the fronts ide of the wafer. The rough surface of the etched sidewall
provides excellent adhesion for the plating base that is sputtered on the vias
after etching.

Fig. 13.15. Slot vias etched in InP to a depth


of 50/lm. The vias are 38/lm wide and are sep-
arated by 9 /lm.

Test patterns were written to study slot via etching with a photoresist
mask. The test pattern included arrays of slot vias with varying via-to-via
spacing. Additionally, the slot via width was varied to determine the minimum
dimension that could be patterned and etched. The test mask consisted of
arrays of from two to six slot vias in parallel. The via width was either 8,
10, or 20/lm, and the spacing between vias was varied from 30 to 50/lm. An
example of etched vias obtained from the mask set is shown in Fig. 13.16.
An array of six slot vias is shown that are etched to the frontside. These vias
maintain adequate separation allowing sufficient spacing for the gate and
drain contacts. For 8/lm wide vias and 22/lm source-to-source separation, it
was determined that the via-to-via separation should be at least 45/lm to
allow for alignment errors and to prevent the vias from shorting the gates.
This is much improved over typical wet-etch via design rules that require via
separation of hundreds of micrometers.
13 Dry Etching of InP Vias 569

Fig. 13.16. SEM photograph of a six slot via array that is etched to the fronts ide
of the wafer.

13.5.3 DES for Endpoint

Fabrication of via holes can also be improved by the use of in situ diagnostics.
As mentioned previously, one of the challenges of via hole etching is to etch
50- 100 J.lm of substrate material at a fast rate and still be able to stop once
the substrate is removed and the thin metal contact (typically 0.1 to 2J.lm) is
exposed. A wet-etch with high selectivity can prevent removal of the metal,
but the isotropic etching greatly increases the lateral dimensions of the via
hole. Nonuniform wet-etching of these deep via holes also causes substantial
yield loss. Therefore, it is highly desirable to fabricate these via holes by a
controllable and vertical dry-etch.
The simplest approach to endpoint detection is to etch the vias in stages
and use optical microscopy to look down into the via. The metal frontside
contact that is being etched to can be seen once the substrate is removed. The
drawback to this technique is, of course, that it is tedious and may require
several etch steps before the vias are opened and the metal can be seen.
Another approach is to make use of mass spectrometry or optical emission
spectroscopy. For example, when etching GaAs with C1 2 , the etch product
145AsCli can be detected by mass spectrometry. Ga atoms etched from the
surface and excited by the plasma give off optical emission at a wavelength
of 417.2 nm. This light emission can be collected by placing an optical fiber
against a chamber viewport. Likewise, for InP etching with Ch, 101 PClt is de-
tected by MS and In emission is detected at 410.2 nm. All four signals can be
related to changes in the etch rate and/or layer etched. For a via hole consist-
ing of an InP substrate, GalnAs active layer, and a Ti/ Au frontside contact,
several signals can be used to indicate endpoint. Figure 13.17 shows how the
In emission at 410.2 nm, and the partial pressures of 101 PClt, 145 AsCIi, and
155TiClt respond as the interfaces are etched through. The endpoint is arbi-
trarily defined as the time when the 145 AsCIi signal has decreased to 50% of
its maximum value. This is done to make sure the GalnAs layer is completely
etched through. The 145 AsCIi signal decreased from 1.6 to 0.8 x 10- 8 Torr
570 S. Thomas III and J.J. Brown

4 20
'V
:r-
:tI
::!
3
., 15 :r-
r-
'V

.
:tI
i m
1/1
I 1/1
2 10 c:
:tI
m
)(
15i"iCI; (x100) ....
0
-----+- 5
~
...
0
"
~

UU~UU~WU~WU~UU~UU~LU~LUUWUO

2 3 4 5 6 7 8

TIME (min)

Fig. 13.17. Endpoint detection for InP via hole etching.

in 17 s. During this time, rv 18 nm of Ti was removed since the Ti etch rate


was 63 nm min- 1 under these etch conditions. The 155TiCI! signal started
increasing before the 145 AsCI! signal began to decrease. This may be due
to a faster etch rate at the edge of the wafer, or a slight nonuniformity of
the substrate thickness. Accounting for the time the Ti signal was detected
before the decrease in 145 AsCI!, a maximum of 110 nm of Ti is removed.
Monitoring the 145 AsCI! etch product allows tailoring of the etch process.
Conceivably, once 145 AsCI! is detected the etch conditions could be changed
to allow for higher selectivity of GaInAs to Ti, thereby reducing the amount
of Ti etched.

13.6 Summary

Conventional approaches to InP via etching have had numerous difficulties.


CH 4:H 2 etching is too slow to be practical. High pressure, Cl 2 -based etching
requires elevated temperatures that are not compatible with the rest of the
backside process. High density plasma sources have allowed lower pressure,
Cb-based etching to be successful by decreasing the temperature necessary
for high-rate etching to occur. However, care must be taken when developing
a process as the wafer surface temperature can increase dramatically when
etching. Additionally, the use of a low pressure discharge also increases the
wafer temperature. This can have adverse affects on run-to-run repeatability,
especially for etching In-containing compounds due to the strong tempera-
ture dependence of the volatility of the InCl x etch product. By controlling
the stage temperature and using backside He cooling of the wafer, the heating
13 Dry Etching of InP Vias 571

can be reduced leading to more reproducible results. Slot via etching utiliz-
ing optimized temperature control has been presented. The slot dimensions
allowed individual source pads to have via connections to ground, minimizing
source inductance and reducing the required chip size.

References
1. R. Khare, J. Brown, M. Hu, D. Pierson, and M. Melendes, "CH 4 /H 2 /Ar/Ch
Electron Cyclotron Resonance Plasma Etching of Via Holes for InP-Based
Microwave Devices," J. Vac. Sci. Techno!. B 12, 2947 (1994).
2. S.J. Pearton, F. Ren, C.R. Abernathy, and C. Constantine, "Optical Emission
End Point Detection for Via Hole Etching in InP and GaAs Power Device
Structures," Mater. Sci. Eng. B 23, 36 (1994).
3. L.G. Hipwood and P.N. Wood, "Dry Etching of Through Substrate Via Holes
for GaAs MMIC's," J. Vac. Sci. Techno!. B 3, 395 (1985).
4. A.E. Geissberger and P.R. Claytor, "Application of Plasma Etching to Via Hole
Fabrication in Thick GaAs Substrates," J. Vac. Sci. Techno!. A3, 863 (1985).
5. S. Salimian, C.B. Cooper III, and M.E. Day, "Dry Etching of Via Connections
for GaAs Monolithic Microwave Integrated Circuits Fabrication," J. Vac. Sci.
Techno!. B 5, 1606 (1987).
6. T.R. Fullowan, S.J. Pearton, K.F. Kopf, and P.R. Smith, "AlInAs/lnGaAs
Based Heterojunction Bipolar Transistors Fabricated by Electron Cyclotron
Resonance Etch," J. Vac. Sci. Techno!. B9, 1445 (1991).
7. N.J. Sauer and K.B. Chough, "A Selective Etch for InAlAs over InGaAs and
for Different InGaAlAs Quaternaries," J. Electrochem. Soc. 139, LI0 (1992).
8. S. Thomas III, K.K. Ko, and S.W. Pang, "Monitoring InP and GaAs Etched
in Chi Ar Using Optical Emission Spectroscopy and Mass Spectrometry,"
J. Vac. Sci. Techno!. A 13, 894 (1995).
9. W.H. Juan and S.W. Pang, "High-Aspect-Ratio Si Etching for Microsensor
Fabrication," J. Vac. Sci. Techno!. A 13, 834 (1995).
10. M. Pichot, A. Durandet, J. Pelletier, Y. Arnal, and L. Vallier, "Microwave Mul-
tipolar Plasmas Excited by Distributed Electron Cyclotron Resonance: Concept
and Performance," Rev. Sci. Instrum. 59, 1072 (1988).
11. J. Hopwood and J. Asmussen, "Neutral Gas Temperatures in a Multipolar
Electron Cyclotron Resonance Plasma," App. Phys. Lett. 58, 2473 (1991).
12. G. King, F.C. Sze, P. Mak, T.A. Grotjohn, and J. Asmussen, "Ion and Neu-
tral Energies in a Multipolar Electron Cyclotron Resonance Plasma Source,"
J. Vac. Sci. Techno!. A 10, 1265 (1992).
13. E.S. Aydil, J.A. Gregus, and R.A. Gottscho, "Multiple Steady States in Elec-
tron Cyclotron Resonance Plasma Reactors," J. Vac. Sci. Techno!' All, 2883
(1993).
14. J.L. Benton, B.E. Weir, D.J. Eaglesham, R.A. Gottscho, J. Michel, and L.C.
Kimerling, "Measurement of Defect Profiles in Reactive Ion Etched Silicon,"
J. Vac. Sci. Techno!. B 10, 540 (1992).
15. L. He and W.A. Anderson, "A Study of Defects Induced in GaAs by Plasma
Etching," Solid-State Electronics 35, 151 (1992).
16. N.G. Stoffel, "Molecular Dynamics Simulations of Deep Penetration by Chan-
neled Ions During Low-Energy Ion Bombardment of III-V Semiconductors,"
J. Vac. Sci. Techno!. B 10, 651 (1992).
572 S. Thomas III and J.J. Brown

17. S.J. Pearton, U.K. Chakrabarti, W.S. Hobson, and A.P. Perley, "Cb and SiC1 4
Reactive Ion Etching of In-based III-V Semiconductors," J. Electrochem. Soc.
137, 3188 (1990).
18. U. Niggebrugge, M. Klug, and G. Garus, "A Novel Process for Reactive Ion
Etching on InP, Using CH 4 /H 2 ," Inst. Phys. Conf. Ser. 79, 367 (1985).
19. R.H. Burton, H. Temkin, and V.G. Keramidas, "Plasma Separation of
InGaAsP /lnP Light-Emitting Diodes," Appl. Phys. Lett. 37, 411 (1980).
20. G.A. Vawter and C.I.H. Ashby, "Reactive-Ion-Beam Etching of InP in a
Chlorine-Hydrogen Mixture," J. Vac. Sci. Technol. B 12, 3374 (1994).
21. S.K. Noh, K. Ishibashi, Y. Aoyagi, and S. Namba, "Effects of radio-frequency
Bias on GaAs Surfaces Etched by Ar-Electron-Cyclotron-Resonance Plasma,"
J. Appl. Phys. 67, 2591 (1990).
22. S.J. Pearton, U.K. Chakrabarti, A.P. Perley, C. Constantine, and D. John-
son, "Degradation-Free Electron Cyclotron Resonance Plasma Etching of InP,"
Semicond. Sci. Technol. 6, 929 (1991).
23. F. Ren, T.R. Fullowan, S.J. Pearton, J.R. Lothian, R. Esagui, C.R. Abernathy,
and W.S. Hobson, "Damage Introduction in GaAs/ AlGaAs and InGaAs/lnP
Heterojunction Bipolar Transistor Structures During Electron Cyclotron Res-
onance Plasma Processing," J. Vac. Sci. Technol. All, 1768 (1993).
24. T. Bickl, B. Jacobs, J. Straka, and A. Forchel, "Ultralow Damage Depth by
Electron Cyclotron Resonance Plasma Etching of GaAs/lnGaAs Quantum
Wells," Appl. Phys. Lett. 62, 1137 (1993).
25. S. Thomas III and S.W. Pang, "Dependence of Contact Resistivity and Schot-
tky Diode Characteristics on Dry Etching Induced Damage of GalnAs," J. Vac.
Sci. Technol. B 12, 2941 (1994).
26. S.J. Pearton, U.K. Chakrabarti, A. Katz, F. Ren, and T.R. Fullowan, "High-
rate, Anisotropic Dry Etching of InP in HI-based Discharges," Appl. Phys.
Lett. 60, 838 (1992).
27. S. Thomas III, E.W. Berg, and S.W. Pang, "In situ Fiber Optic Thermome-
try of Wafer Surface Etched with an Electron Cyclotron Resonance Source,"
J. Vac. Sci. Technol. B 14, 1807 (1996).
28. V.M. Donnelly, D.L. Flamm, C.W. Tu, and D.E. Ibbotson, "Temperature De-
pendence ofInP and GaAs Etching in a Chlorine Plasma," J. Electrochem Soc.
129, 2533 (1982).
29. V.M. Donnelly, D.L. Flamm, and D.E. Ibbotson, "Plasma Etching of III-V
Compound Semiconductors," J. Vac. Sci. Tech. AI, 626 (1983).
30. R.J. Contolini, "The Temperature Dependence of the Etch Rates of GaAs,
AlGaAs, InP, and Masking Materials in a Boron Trichloride: Chlorine Plasma,"
J. Electrochem. Soc. 135, 929 (1988).
31. N.L. DeMeo, J.P. Donnelly, F.J. O'Donnell, M.W. Geis, and K.J. O'Connor,
"Low Power lon-Beam-Assisted Etching of Indium Phosphide," Nuc. Instr.
Meth. Phys. Res. B 7, 814 (1985).
32. G.F. Doughty, C.L. Dargan, and C.D.W. Wilkinson, "Dry Etching of Indium
Phosphide at Room Temperature," Proc. SPIE 578, 82 (1985).
33. E.W. Sabin, "Estimation of the Activation Energy for Ar/Cb Plasma Etching
of InP Via Holes Using Electron Cyclotron Resonance," J. Vac. Sci. Technol.
B 16, 1841 (1998).
34. P.1. Fedorov and V.N. Fadeev, "Equilibrium Diagram of the In-InCb System,"
Russ. J. Inorg. Chern. 9,207 (1964).
13 Dry Etching of InP Vias 573

35. S.C. McNevin, "Rare Gas Ion-Enhanced Etching of InP by Cb," J. Vac. Sci.
Technol. B 4, 1203 (1986).
36. K. Kyuma, S. Tai, T. Sawada, and M. Nunoshita, "Fiber-Optic Instrument for
Temperature Measurement," IEEE J. Quantum. Electron QE-18, 676 (1982).
37. I. Hussla, K. Enke, H. Grunwald, G. Lorenz, H. Stoll, "In Situ Silicon-Wafer
Temperature Measurements During rf Argon-Ion Plasma Etching Via Fluorop-
tic Thermometry," J. Phys. D: Appl. Phys. 20, 889 (1987).
38. D.R. Wright, D.C. Hartman, U.C. Sridharan, M. Kent, T. Jasinski, and
S. Kang, J. Vac. Sci. Technol. A 10, 1065 (1992).
39. T. Yoshikawa, S. Kohmoto, M. Anan, N. Hamao, M. Baba, N. Takado, Y. Sug-
imoto, M. Sugimoto, and K. Asakawa, "Chlorine-Based Smooth Reactive Ion
Beam Etching of Indium-Containing 111-V Compound Semiconductor," Jpn. J.
Appl. Phys. 31, 4381 (1992).
40. D.G. Yu, E.L. Hu, and G. Hasnain, "Radical Beam Ion-Beam Etching of
InAIAs/InP Using Cb," J. Vac. Sci. Technol. B 12, 3378 (1994).
41. C. Youtsey, R. Grundbacher, R. Panepucci, and I. Adesida, "Characterization
of Chemically Assisted Ion Beam Etching of InP," J. Vac. Sci. Technol. B 12,
3317 (1994).
42. S. Thomas III and S.W. Pang, "Atomic Force Microscopy Study of III-V
Materials Etched Using an Electron Cyclotron Resonance Source," J. Vac. Sci.
Technol. B 13, 2350 (1995).
43. KK Ko and S.W. Pang, "High Aspect Ratio Deep Via Holes in InP Etched
Using Cb/Ar Plasma," J. Electrochem. Soc. 142,3945 (1995).
44. S. Trassaert, B. Boudart, S. Piotrowicz, and Y. Crosnier, "Bromine/methanol
wet chemical etching of via holes for InP microwave devices," J. Vac. Sci. Tech-
nol. B 16, 561 (1998).
45. C. Constantine, C. Barratt, S.J. Pearton, F. Ren, J.R. Lothian, W.S. Hobson,
A. Katz, L.W. Wang, and P.C. Chao, "Dry Etching of Via Connections for InP
Power Devices," Electron. Lett. 29, 984 (1993).
46. KY. Hur, R.A. McTaggart, M.P. Ventresca, R. Wohlert, L.M. Aucoin, and T.E.
Kazior, "High Gain AlInAs/GaInAs/InP HEMT's with Individually Grounded
Source Finger Vias," IEEE Electron Devices. Lett. 16, 390 (1995).
14 Device Damage During Low
Temperature High-Density Plasma
Chemical Vapor Deposition

J. Lee and F. Ren

14.1 Introduction

Considerable attention has been paid over the last few years to understanding
the effects of plasma-induced damage in III-V devices, especially with the
move to high-density plasma reactors [1-21]. Conversely there has been little
work on the effects of ion damage or hydrogen passivation occurring during
high-density plasma deposition [22-26]. There are numerous steps during
III-V device fabrication where either Si0 2 or SiN x is deposited as a mask
or as a final passivation/encapsulation layer. Deposition of dielectric films
by electron cyclotron resonance chemical vapor deposition (ECR-CVD) is
attractive for improving conformbility of step coverage over high aspect-ratio
features [27]. Moreover, a convenient process tool incorporates two chambers,
one for etch and the other for deposition, joined by a central load-lock. This
allows for sequential etch and deposition steps without the need to break
vacuum.
GaAs metal-semiconductor field-effect transistors (MESFETs) might be
expected to be quite sensitive to plasma-induced changes during ECR-CVD
processes because of the thin, relatively lightly doped channel that is right
at the surface and that is directly exposed to the plasma during deposition
[25,26].
There is tremendous interest in I II-V heterojunction bipolar transistor
(HBT) devices and circuits for telecommunications and other high-speed sig-
nal applications [28-40]. Much of the dry etching and deposition for fabrica-
tion of these devices is now being done with high-density plasma reactors [41-
47] but, surprisingly, there has been little work done to understand the effects
of ion bombardment and hydrogen passivation during plasma exposure. Ren
et al. [23,24] previously reported a study of inductively coupled plasma (ICP)
and electron cyclotron resonance (ECR) dry-etch-damage in GaAs/ AlGaAs
HBTs, where H 2-containing plasma were found to have a larger effect on
device performance than H2-free chemistries.
Both SiNx and Si0 2 dielectrics may be deposited during various stages of
HBT fabrication [48]. Since SiH 4 -based mixtures are typically used for these
dielectrics, there is a strong possibility of hydrogen passivation occurring in
the HBT, particularly in the carbon-doped base layer [49]. This can lead to

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
576 J. Lee and F. Ren

current gain instabilities during subsequent device operation [50]. In particu-


lar, high-density plasmas (HDP) are efficient generators of atomic hydrogen,
producing dopant passivation in active layers [21].
GaAs/lnGaP high electron mobility transistors (HEMTs) are attracting
attention for microwave cellular communication systems, low noise ampli-
fiers and power amplifiers [51-59]. There has been a considerable amount of
work understanding the effect of ion-induced dry-etch-damage and the role
of atomic hydrogen passivation of dopants during processing of these devices
[7,60-64]. The structures are also exposed to plasmas during deposition of
SiNx for long-term encapsulation after processing is completed [65]. Most
of the etching and deposition during HEMT fabrication has been performed
using conventional rf-powered, low ion density reactors. However, recently
high-density plasma tools have become popular for II 1-V device processing
[23,24,26,66-69]. The ion fluxes are several orders of magnitude higher in
these systems relative to conventional rf low density tools, and the percent
dissociation is also much higher. Several reports have examined damage in
HEMTs during Electron Cyclotron Resonance (ECR) or Inductively Coupled
Plasma (ICP) etching with H 2 - or Ar-containing chemistries, simulating se-
lective removal of the GaAs contact layer from the underlying InGaP donor
layer [61,70]. There has been no comparable work to understand the effects on
device performance of high-density plasma chemical vapor deposition (HDP-
CVD).
In this chapter we report a detailed study of the effects of plasma depo-
sition of thin Si0 2 or SiN x films using SiH4-based chemistries on the dc de-
vice parameters of GaAs MESFETs, GaAs/ AIGaAs HBTs and GaAs/lnGaP
HEMT, namely gate contact ideality factor, transconductance, breakdown
voltage, threshold voltage, and so on. The experimentally varied parameters
were deposition temperature, ECR source power, chamber pressure, SiH4
percentage and additional Ar flow rate.
The deposition thickness of the Si0 2 and SiNx was kept low enough
(",200 A) that we could leave the films in place, and probe directly through
to the underlying device contacts. Therefore, there was no issue related to
the effects of removing the dielectric.

14.2 Experimental

Depositions were performed in a Plasma-Therm SLR 770 reactor, utilizing a


Wavemat 4400 ECR source operating at 2.45GHz and powers of 150-700W.
A schematic of the ECR plasma reactor is shown in Fig. 14.1. The samples
were thermally bonded to a Si carrier wafer that was mechanically clamped
to the cathode. This cathode was rf-powered (13.56MHz) to provide a nom-
inal -5 V dc bias at the sample position. Mixtures of SiH4/N 2 or SiH4/NH 3
for SiNx deposition, or SiH4/N 2 0 for Si0 2 deposition were directed into the
source through mass flow controllers at a total flow rate of 25 standard cubic
14 Device Damage During Chemical Vapor Deposition 577

GAS
NTRY(I)
~ UPPER
~ MAG ETCOLL
~ VIEW PORT

~
'iiiii~S~AM~P~L~E..;FI====jGAS ENTRY(2)
VACUUM PUMP
ELECTRODE ......_ ........_

C8J
LOWER
HELIUM BACKSIDE MAGNET COIL
COOLING
Fig. 14.1. Schematic of an ECR plasma reactor.

centimeters per minute (sccm). The chuck tempera ture was raised from 25-
120°C. Process pressure was varied from 10-40 mTorr, and in some cases Ar
W&'i added to the plasma chemistry at rates up to 20 sccm. Device character-
istics were measured on a Hewlett-Packard 4145 parameter analyzer before
and after the dielectric deposition.
GaAs MESFETs were fabricated using liftoff TiPtAu gate contacts and
AuGeNi source/drain contacts. Gate length was 111m with a gate width of
30llm [71] . The channel and source/drain doping was created by Si+ im-
plantation followed by 900°C, 30 s rapid thermal annealing of initially semi-
insulating substrates [72]. A scanning electron microscopy photo of the MES-
FET device is shown in Fig. 14.2.
The GaAs/ AlGaAs HBTs were grown by Metal Organic Molecular Beam
Epitaxy (MOMBE), as described previously [73-76]. A schematic of the
HBT device is shown in Fig. 14.3. Briefly, the base layer is 700 A thick,
doped to 7 x 10 19 cm- 3 with carbon. The full structure consisted of 6000 A
of Sn-doped (n = 3 x 10 18 cm -3) GaAs sub-collector, 7000 A of C-doped
(p = 7 X 10 19 cm -3) GaAs base, 800 A of Sn-doped (ND = 8 X 10 18 cm -3)
Alo.3Gao.7As emitter, a 200A grade to 2000A of Sn-doped (n = 1.5 x
10 19 cm- 3) GaAs emitter contact layer, and a 300 A grade to 300 A of Sn-
doped (n = 3 x 10 19 cm- 3) Ino.5Gao. 5As contact layer. Large area (80 11m
diameter) emitter devices were fabricated by wet etching, with nonalloyed
578 J . Lee and F. Ren

Fig. 14.2. SEM micrograph


of a MESFET device.

J Metal I
Contact (n + GaAs) I
I
Emitter (n AIGaAs) I Metal I
I Metal I Base (n+ GaAs) I
Collector (n- GaAs)

Sub-collector (n+ GaAs)

ub Irate ( emi-in ulating GaAs) Fig. 14.3. Schematic of a


HBT structure.

TiPtAu base metallization and AuGeNi for emitter and sub-collector con-
tacts [77- 79].
Thin layers (:::::200 A) of SiNx or Si0 2 were deposited on the exposed
areas of the emitter, base and collector layers by ECR-CVD using SiH4 /NH 3 ,
SiH 4 /N 2 or SiH4 /N 2 0 plasma chemistries. The dielectrics were left in place,
and the devices tested by probing through the dielectrics to the underlying
contacts.
The HEMT structures were grown by either conventional solid-source
molecular beam epitaxy (MBE) or gas-source MBE on semiinsulating GaAs
substrates at ",550°C [80]. A schematic of the HEMT device is shown in
Fig. 14.4. To reduce impurities and defects in the active layers , a thick
(",0.5Ilm) GaAs buffer was deposited first, followed by a 400 A thick Si-doped
(ND '" 3 X 10 18 cm- 3 ) active donor layer. The structure was completed with
a 300 A thick n+ (n '" 3 x 10 18 cm- 3 ) GaAs contact layer. HEMTs were
fabricated by the process described previously [60], which involves AuGeNi
source/drain ohmic contacts and a liftoff, TiPtAu, 11lm gate length rectifying
contact.
14 Device Damage During Chemical Vapor Deposition 579

source drain
CJ gate CJ
c=J GaAs
InGaP or AlGaAs
GaAs

~~~~~~~~~~~~~~
~ superJattice
buffer
Fig. 14.4. Schematic of a HEMT
GaAs substrate
structure.

14.3 Results and Discussion

I. Deposition Danlage on Metal-Semiconductor Field Effect Tran-


sistor. Figure 14.5 shows the variation of device dc parameters on chuck tem-
perature during ECR-CVD of SiNx using the SiH 4 /NH 3 chemistry. Thresh-
old voltage improves with deposition temperature up to lOO°C, but is severely
degraded at 120°C. The same trend is observed in breakdown voltage, while
these first two parameters are in anti-correlation with gate ideality factor and
transconductance. While the additional dc bias on the cathode is only -5 V,
there is a plasma potential of - 20 to - 30 V so that incident ions will have
maximum energies of -25 to -35V, sufficient to create displacement dam-
age that can reduce the effective channel doping. Moreover, atomic hydrogen

---- Transconductance
---- Threshold voltage
--.6.- Ideality factor
1.5 220 20
---...- Breakdown voltage

1.4 ;;-
'-'
.... <L>
18
E
<L>
0 0/)
+-'
1.3
u
200 jg
0
u
~ § 0 0
+-' 160
> >
.£'
-;
U
;::I
"0
'0 ~
1.2 "0 ..s:: 0
0
"0 s::
0 140
til "0
...... 16
u 0
~
..s:: 1do
....
<fJ
E-
1.1 § il4
f-< 120
350 W microwave
15 mTorr
1.0 100 -200 14
0 25 50 75 100 125 150
Chuck temperature (0C)
Fig. 14.5. Variation of gate diode ideality factor (n), breakdown voltage (VB),
threshold voltage (VT) and transconductance (gm) for GaAs MESFETs exposed to
SiH 4 jNH 3 ECR discharges as a function of chuck temperature.
580 J. Lee and F. Ren

from dissociation of the SiH4 and NH3 can passivate the Si doping in the
channel through the reaction [51J

Si+ + H- ----7 (SiHt.

Therefore, we believe the combined effects of ion damage and dopant


passivation account for the observed device degradation. Dynamic annealing
of ion-induced point defects as the sample temperature is increased leads
to improved gate diode ideality factors, but at higher temperatures there is
more efficient passivation of the Si donors because of the higher diffusivity of
hydrogen. Moreover, at the highest deposition temperature preferential loss
of As from the surface may occur through the reaction

As + 3H ----7 AsH3 r
and this accounts for the reduction in breakdown voltage.
Corresponding data for samples deposited with SiN x using the SiH 4 /N 2
chemistry is shown in Fig. 14.6. With less atomic hydrogen now available,
there is less chance for surface decomposition through preferential loss of
As and thus there is little dependence of breakdown voltage on deposition
temperature. The ideality factor again improves with deposition temperature
as dynamic annealing reduces the effects of ion damage. The devices also turn-
on at lower voltages when the deposition is performed at higher temperatures,
due to the more efficient hydrogen passivation reducing the channel doping.

--.- Transconductance
- Threshold voltage
1.6 220 -.t.- Ideality factor 0 20
---T- Breakdown voltage

§ 200
~

1.5
--.§, 180
r:/J -100 >-5 18
>-
~

'-'
..... 1.4 OJ
(l)
O/l
.....
0 00 ro
16 .:::
~
<.) (l)

~ § 160 0 0
9 1.3 ..... -200 '"0> >
:a .g
<.)
~
-
"0 14 0
..<::
(l)
'"0 1.2 s= 140 '"~ '"0
0 ..!:.d
<.) ro
'" 10 s -300 ~ ~
1.1 ~
E-< 120 JOSiH/15N 2 12 !Xl
350 W microwave
15 mTorr
1.0 100 -400 10
0 25 50 75 100 125 150
Chuck temperature (0C)
Fig. 14.6. Variation of n, VB, VT and grn for GaAs MESFETs exposed to SiH4/N 2
ECR discharges as a function of chuck temperature.
14 Device Damage During Chemical Vapor Deposition 581

Thus, it appears that SiH 4 /N 2 induces less degradation of the MESFET than
SiH 4 /NH 3 under the same conditions.
The data for samples deposited with Si0 2 using the SiH 4 /N 2 0 chemistry
are shown in Fig. 14.7. The ideality factor shows little dependence on deposi-
tion temperature, while gm initially improves and then is degraded at 120°C.
Device breakdown voltage increases with deposition temperature, due to less
ion-induced damage, while threshold voltage increases until 75°C, above
which it basically saturates .

1.6 .......- Transconductance


220 300 20
.......- Threshold voltage
,-.. ~ Ideality factor
1.5 S ........- Breakdown voltage
-E
~

200 G
-c
r.n
.... 1.4 S 18
<l)
bO
0 '-"
~
u <l)
100 .=::0
~

:.a
<U
1.3
-a
g
"0
180
0
>
~0
....
"0 1.2 I:i
0
u
16 "0
~
'"
I:i 160 ....
<U

1.1 ....
~
-100 a:l
f-<

1.0 140 -200 14


0 25 50 75 100 125 150
Chuck temperature (0C)
Fig. 14.7. Variation of n, VB, VT and grn for GaAs MESFETs exposed to SiH 4 /N 2 0
ECR discharges as a function of chuck temperature.

The source power during deposition controls the degree of plasma disso-
ciation and the incident ion flux. Fig. 14.8 shows the dependence of device
parameters on ECR source power during SiN x deposition using SiH 4 /NH 3 .
The ideality factor degrades rapidly at high powers due to high gate contact
periphery damage, while transconductance monotonically decreases under
the same conditions. The MESFET breakdown voltage is not as strongly
dependent on source power, but the device threshold voltage switches from
negative to positive values as the source power increases. Clearly moderate-
to-low powers are preferable for deposition on MESFETs, especially in the
initial stages where the surface is still exposed. It may be possible to use
higher powers once the surface is completely covered.
Similar data is shown in Fig. 14.9 for SiN x deposition with the SiH4 /N 2
chemistry. The ideality factor again degrades somewhat at higher source
powers, but much less than in the case of SiH 4 /NH 3 and this is probably
a consequence of the lower hydrogen content in the plasma. The threshold
582 J. Lee and F. Ren

--e- Transconductance
--e- Threshold voltage
--4.- Ideality factor
2.0 220 600 20
--"'"""" Breakdown voltage

]' 200
--
1.8 18 ;>

-
r/) '-'
....
0 5 180
~
Q)

0 1.6 Q)
OIl 16
4S 200 ~ "0
;;-
.0 160 0
;-
~ ~0
-
-0
(l)
1.4 "0 14
140
~
'"0 0 .<:
'"2:!
....
t:
(l)

1.2 12 a:l
-200
15 mTorr, 100°C
1.0 100 10
0 200 400600 800
ECR microwave power (W)
Fig. 14.8. Variation of n, VB, VT and grn for GaAs MESFETs exposed to SiH 4 /NH 3
ECR discharges as a function of source power.

--e- Transconductance
- - - Threshold voltage
1.6 220 --4.- Ideality factor 100 20
--"'"""" Breakdown voltage
1.5 § 200
----
-- >'E 18
r/)
GaAsMESFET
0 ----
>-
'-'
.... 1.4 5 180
'-'
Q)
Q)

~
.8
0
4S E
0
16 ;t::
0
;;-
.0 1.3 -100 ;-

~
-0
~ "0

-
(l) .<: 14 0
'"0 1.2 140 '"2:! ~
'"0
lOs .<:
-200 f-; ....
Q)

IOSiHil5N2 12 a:l
1.1 120 15 mTorr
100°C
1.0 100 -300 10
0 200 400 600 800
ECR microwave power (W)
Fig. 14.9. Variation of n, VB, VT and grn for GaAs MESFETs exposed to SiH 4 /N 2
ECR discharges as a function of source power.
14 Device Damage During Chemical Vapor Deposition 583

voltage becomes less negative, but does not switch to positive values as with
SiH4/NH 3 . However, the MESFET breakdown voltage severely degrades at
higher source powers, and emphasizes the need to avoid these conditions.
Deposition of Si0 2 using SiH4/N 2 0 had much less influence on device
parameters as a function of ECR source power, as shown in Fig. 14.10. It is
likely that this chemistry has even fewer Ht and H+ ions, and neutral atomic
hydrogen than does SiH4/N 2 because of the scavenging effect of the oxygen,
and thus this result shows the strong influence hydrogen plays in degrading
the MESFET performance.

_ Transconductance
- Threshold voltage
1.6 220 ........... Ideality factor 300 20
............. Breakdown voltage
1.5
18 '""'
:>
'-'
.... 1.4 <t)
(1)

S
<J
~
100 10
;>
16 ~
"0
;>
C 1.3
~
-.::l
~ 0 "0
..c: 14 0
(1)

e "0
til
:9 1.2 ~
160
-100
~ ...
(1)

12 a:l
1.1 IOSiH/15NP GaAsMESFET
15 mTorr, 10 s
100°C
1.0 140 -200 10
0 200 400 600 800
ECR microwave power (W)
Fig. 14.10. Variation of n, VB, VT and gm for GaAs MESFETs exposed to
SiH 4 /N 2 0 ECR discharges as a function of source power.

The deposition pressure had quite pronounced effects on the MESFET


performance. Figure 14.11 shows the effect of increasing pressure with the
SiH4/NH 3 chemistry - breakdown voltage degrades as does ideality factor,
while transconductance improves, but is still well below the control value. The
threshold voltage becomes more negative at the higher pressures, indicating
there is more charge in the channel. This may result from lower effective
ion energies at higher pressures due to increased collision frequencies in the
plasma.
For SiH4/N 2 deposition, the effects of process pressure were somewhat
less drastic compared to the SiH4/NH 3 chemistry. Figure 14.12 shows that
threshold voltages are negative over a wider range of pressures, and there is
little effect on ideality factory or breakdown voltage. The gm values are also
higher than for the SiH4/NH3 deposition.
584 J. Lee and F. Ren

1.6 220 -+- Transconductance


500 20
- Threshold voltage
--4- Ideality factor
1.5 ]'200 --.-- Breakdown voltage ,.......,

--S
18
;:::,
...
-
00
1.4
'""'
250 ;>
4)
0 180
16 1
I
4)

1
(.l
~ 0
:>
C 1.3 160
:a 0
:> 14 ~
~
..... 1.2 0
(.l
140 0 ~
..<: ~...
'"
4)
e
ell

1.1 ~ 120 lOSiH/15NH3


350 W microwave
¢:: 12 !Xl

10 s, 100°C
1.0 100 -250 10
0 10 20 30 40 50
Chamber pressure (mTorr)
Fig.14.11. Variation of n, VB, VT and gm for GaAs MESFETs exposed to
SiH4/NH3 ECR discharges as a function of process pressure.

-+- Transconductance
_ Threshold voltage
1.6 220 --4- Ideality factor 300 20
--.-- Breakdown voltage
1.5 § 200
""""' 200 ,.......,

--S
18
;:::,
...0 1.4
00
180 100 g 16 .:::
4)

~
~ 0
"'C"
CI)

1
:>
1.3 0
:a 0
:> 14 ~
0
~
..... 1.2 ~
-.::l
140 -100 '0
..;i
12 !Xl
...
4)

1.1 120
350 W microwave
-200 ~
GaAsMESFET
100°C
1.0 100 -300 10
0 10 20 30 40 50
Chamber pressure (mTorr)
Fig. 14.12. Variation of n, VB, VT and gm for GaAs MESFETs exposed to SiH4/N2
ECR discharges as a function of process pressure.

The corresponding data for Si0 2 deposition using SiH4 /N 2 0 are shown
in Fig. 14.13. As pressure increases, ideality factor degrades, gm decreases
and VT decreases. The fact that VB increases is probably mainly a result
of the decreased channel change, and thus should not in itself be taken as a
14 Device Damage During Chemical Vapor Deposition 585

___ Transconductance
- Threshold voltage
1.6 220 --"'- Ideality factor 20
-.......- Breakdown voltage 400
---.
1.5 § 200 18 ---.
.... 1.4
0
--5
rrJ
180
200
>'E
G
(1)

+-'
0 ~
u
u
(1)
"-' 16 ;:::
<.is § (1) 0
;;-
.£ 1.3 u -200 ~0
OIl

~
-
-a
(1)
;::l
"0 ;;- 14 0
~
1.2 140
~
"0 0
-0
u
en
-400 "0
..0:::
§ Vl ~
1.1
~ 12 ill
~ 120 -600 ..0:::
E-
350 W microwave
100°C
1.0 100 -800 10
0 10 2030 40 50
Chamber pressure (mTorr)
Fig. 14.13. Variation of n, VB, VT and gm for GaAs MESFETs exposed to
SiH 4 /N 2 0 ECR discharges as a function of process pressure.

positive sign. Clearly, lower deposition pressures are favored for the SiH 4 /N 2 0
chemistry.
The influence of SiH 4 content in the discharge for the three different
plasma chemistries is shown in Figs. 14.14-14.16, respectively for SiH 4 /NH 3 ,
SiH 4 /N 2 and SiH4 /N 2 0. For the first chemistry, there are clear maxima and
minima in the curves (Fig. 14.14), with the best values for ideality factor and
VB at ",40% SiH 4 in SiH 4 /NH 3 . Threshold voltage is maximized at high SiH 4
flows, while gm is high at either high or low SiH4 contents. Once again, there
were somewhat smaller changes with the SiH 4 /N 2 chemistry (Fig. 14.15) -
threshold voltage and ideality factor worsen at high SiH 4 contents, but there
are minima again observed at around 40% SiH 4 . The same basic trends are
seen with both chemistries for SiN 4 deposition, but they are more pronounced
with SiH 4 /NH 3 • Once again, the SiH 4 /N 2 0 chemistry showed little influence
on ideality factor, gm was lower than the control values over the whole range
of conditions, and VT and VB were also degraded relative to the control values
(Fig. 14.16).
The final parameter investigated was that of adding Ar into the discharge
to provide more ion bombardment. As expected, the ideality factor generally
worsened in each case due to increased ion damage around the gate periphery.
For SiH 4 /NH 3 (Fig. 14.17), VT remained positive over the whole range of
conditions investigated, while there was little influence on VB and gm' For
SiH 4 /N 2 (Fig. 14.18), VB was severely degraded at high Ar flows, while the
ideality factor was somewhat worsened and gm decreased slightly. Thus there
586 J. Lee and F. Ren

_ Transconductance
_ Threshold voltage
--4.- Ideality factor
1.6 220 ~ Breakdown voltage
500 20

--S
]' 200 250 18
:s :>-
~

en '""' '-'
c; 1.4 <l)

1
'-'
'-' CD
1:) 180 0
1 16
<l)
u
~ 0
;;.-

0
>
t<l .g 160
u
-250 :s! 14 ~
~
0
~ 1.2 0
..=
u '"
'" ~ ~
~ 140 lOs
350 W microwave
-500 12 ~

IS mTorr, 100°C
1.0 120 -750 10
10 20 30 40
50 60
% SiH 4 (Total flow rate of SiH4 +NH3 is 25 seem)

Fig. 14.14. Variation of n, VB, VT and gm for GaAs MESFETs exposed to


SiH 4 /NH 3 ECR discharges as a function of percentage SiH 4 •

- Transconductance
- Threshold voltage
1.6 220 --4.- Ideality factor 200 20
~ Breakdown voltage

--S
]' 200 18
;;- :>-
~

en 100
c; 1.4 e
'-'
'-'
<l)

1:) 180 CD ~
<l)
u t>Il 16 .::
~ ~ 0
;;.-
.£ 0 0

.g 160
u ;;.-
t<l lOs :s! 14 ~
.g
>-< 1.2
0
..=
0
0
u '"~ ~
! 140

GaAsMESFET
-100 !5 12
~
~

1.0 120 -200 10


10 20 30
40 50 60
% SiH4 (Total flow rate ofSiH4 +N 2 is 25 seem)

Fig. 14.15. Variation of n, VB, VT and gm for GaAs MESFETs exposed to SiH 4 /N2
ECR discharges as a function of percentage SiH 4 •
14 Device Damage During Chemical Vapor Deposition 587

1.6 220~------------------------r 200 20

] ' 200
---C/J 18 ~
5
Q)
::: 1.4
t)
~
Q)
<.)
180
~ lo
.£ o ~ 16 ;>

~
til ~ 160
.",
'0
~
Q)
:9 1.2 o<.) --5i
'" ___ Transconductance
-100 ~ 14 Q)
...

~ 140 ___ Threshold voltage c:r.l


-......- Ideality factor
~ Breakdown voltage
1.0 120 +--.---..--~:r::;::::;;::;;:=:;;:=:r=:::;::'-'r -200 12
10 20 30 40 50 60
% SiH 4 (Total t10w rate ofSiH 4+NP is 25 seem)
Fig. 14.16. Variation of n, V I3 , VT and gm for GaAs MESFETs exposed to
SiH 4 /N 2 0 ECR. discharges as a function of percentage SiH 4 .

1.6 220 -.--------1 --- Transconductance 300 20


___ Threshold voltage
-......- Ideality factor
1.5 ~ Breakdown voltage
200 >'
...
0 1.4
t)
~
C 1.3 ~~I.QI.I- 350 W microwave
15 mToIT, 100°C
~
;Q 1.2 140

-100
1.1 120
GaAs MESFET
1.0 100 +--.----,--....--,----T--.---.-..,---.--'r -200 10
o 5 10 15 20 25
Ar addition (seem)
Fig. 14.17. Variation of n, V I3 , VT and gm for GaAs MESFETs exposed to
SiH 4 /NH:l ECR. discharges as a function of additional Ar flow rate.

does not appear to be any benefit to Ar addition, although this was shown
previously to assist in tailoring the stress in the SiN x . Finally, for SiH 4 /N 2 0
(Fig. 14.19), the ideality factor also worsened slightly with increasing Ar flow
rate, VB was basically unaffected and gm worsened from the case with no Ar
588 J. Lee and F. Ren

-+- Transconductance
____ Threshold voltage
1.6 220 - 6 - Ideality factor 500 20
........- Breakdown voltage
1.5 §200
,.......,

.... 1.4
--5 180
if]
250 gII)
18 ,.......,
GII)

.8 OIl ~

-a
u $l 16 .::
..s
II)
u
'0 0
>
.€ 1.3 1a 160 0 >
g "0
~
-
d '0 14
..s:: 0
~ 1.2
u
140 '"~ ~
<Il
-250 F: ....
II)

1.1 ~ 120
350 W microwave
12 ~

GaAsMESFET 15 mTorr, 100°C


1.0 100 -500 10
0 5 10 15 20 25
Ar addition (seem)
Fig. 14.18. Variation of n, VB, VT and gm for GaAs MESFETs exposed to SiH 4 /N 2
ECR discharges as a function of additional Ar flow rate.

-+- Transconductance
---- Threshold voltage
1.6 220 - 6 - Ideality factor 100 20
........- Breakdown voltage
1.5 GaAsMESFET 0
>' 18 ,.......,
5 >-
'-"
.... 1.4 II)

.s ,g
OIl
16 .::
01)
«:S
..s
U

-200 "0>
0 ~
0 1 .3

-
:.a
II)
'0
..s:: 14
~
0
~ 1.2 -300 '" ~
~
lOs
IOSiH/15N2 ....
II)

1.1 120 350 W microwave 12 ~


-400
15 mTorr
100°C
1.0 100 -500 10
0 5 10 15 20 25
Ar addition (seem)
Fig. 14.19. Variation of n, VB, VT and gm for GaAs MESFETs exposed to
SiH 4 /N 2 0 ECR discharges as a function of additional Ar flow rate.

flow. Once again there appears little advantage to adding Ar to the plasma
chemistry, at least from the viewpoint of reducing changes to the device
performance.
14 Device Damage During Chemical Vapor Deposition 589

II. Deposition Damage on GaAs/ AIGaAs Heterojunction Bipo-


lar 'fransistors. Figure 14.20 shows the effect of deposition temperature
on emitter-base breakdown voltage (VEB), base-collector breakdown volt-
age (VBc), the ideality factor of the emitter-base junction, and the device
current gain for SiH 4 /NH 3 discharges (15mTorr, 350W source power). The
data of VEB and VBc were taken at 51lA current through this experiment.
The current gain drops rapidly above lOODC, which may be related to the
more efficient passivation of Si donors in the collector as hydrogen diffusion
is higher and more of this layer can be affected. Note also under these condi-
tions that VBC and VEB are decreased and the emitter-base junction ideality
factor is increased. Clearly, the deposition temperature should be minimized
for this chemistry.

1.7 2.0 24
GaAsHBT

1.6 1.8 20

1.6 s:::
~ .~
;> ~

;> tlIl
'-' '-' til 1:1
u1.5
=
-nEB
III 1.6 16 Q)
III "-l
;> '""""'- V BC
;> 1.5 ~
U
1.4 1.4 12

1.4
350 W microwave
1.3 1.2 8
0 25 50 75 100 125 150
Chuck temperature (0C)
Fig. 14.20. Variation of RBT gain, nEB, VBe and VEB with SiNx deposition tem-
perature in SiH 4 /NR 3 discharges.

Corresponding data for the SiH4 /N 2 plasma chemistry is shown in


Fig. 14.21. There is much less influence of deposition temperature for this
mixture, with nEB, gain, V BC and VEB all being almost independent of de-
position temperature and close to their control values. The SiH4 /N 2 chem-
istry appears to be a preferable choice for ECR-CVD of SiN x compared to
SiH 4 /NH 3 because of its wider process window for minimizing stress [81].
The results for Si0 2 deposition with the SiH4 /N 2 0 chemistry are shown in
Fig. 14.22. The gain and nEB are basically unaffected by deposition tempera-
ture up to 120 DC, while both VBc and VEB show small increases at lOODC, but
are at the same values for both 25 DC and 120 DC depositions. This chemistry
is also expected to have considerably less atomic hydrogen present compared
to the SiH 4 /NH 3 mixtures, and this is reflected in the lower extent of device
dc performance.
590 J. Lee and F. Ren

, - - - - - - - - - - - - - - - - r 2.0 24
1.7 1.7

1.8 20
1.6
~
~

>
'-' > ffi
'-'
U III 1.6 I'::
>~.5 >~1.5

1.4 1.4 1.4 12

1.3 8
o 25 50 75 100 125
Chuck temperature COc)
Fig. 14.21. Variation of RBT gain, nEB, VBC and VEB with SiNx deposition tem-
perature in SiH 4 /N 2 discharges.

_VEB
1.70 1.75 -nEB 2.0 24
~VBC GaAsHBT
............. Current gain
1.65 1.70 ~ 1.8 20
:>
,.-...

'-'
>
'-'
u ffi
III <:Q
~
>1.60 .vv r 1.6 ~

1.55 1.60 1.4 12

I
350 W microwave
15 mTorr 10 s
1.50 1.55 1.2 8
o 25 50 75 100 125 150
Chuck temperature COc)
Fig. 14.22. Variation of RBT gain, nEB, VBC and VEB with Si0 2 deposition tem-
perature in SiR 4 /N 2 0 discharges.

The fact that hydrogen plays a strong role is also evident in the data
of Fig. 14.23, which shows the influence of increasing SiH 4 percentage in a
SiH4 jNH3 discharge at fixed pressure (15 m Torr), ECR source power (350 W)
and deposition temperature (lOO°C). Current gain and nEB improve with in-
creasing silane content in the plasma, which has the effect of reducing the NH3
14 Device Damage During Chemical Vapor Deposition 591

---- V EB
1.7 1.75 I - - - - - - - - - r - 2.0 24
- - - - nEB
~VBC GaAsHBT
1.70

1.6 1.65

1 .5 -I ....e-'I-:-&5-II----Jl.
12
1.50 ~

1.4 1.45 +----.....----r---.-----y--.--r---.---'r- 1.2 8


10 20 30 40 50
% SiH4 (Total flow rate of SiH4 + NH3 is 25 seem)
Fig. 14.23. Variation of HET gain, nEB, VBe and VEE with percentage SiH 4 m
SiH 4 /NH 3 discharges.

-r--------------r 2.2 24

1.7 1.7
2.0

1.6 1.6
1.8 ·m
~ c
til
16
0/)

1:l
u
>~.5
1.6 ~
u
1.4 12
1.4 - -...-~,,- 1.4

1.3 1.3 -!---.---,.---.---,--.---.---,--.----.---+ 1.2 8


10 20 30 40 50 60
% SiH4 (Total flow rate of SiH4 +N2 is 25 seem)

Fig. 14.24. Variation of HET gain, nEB, VBe and VEB with percentage SiH 4 m
SiH 4 /N 2 discharges.

concentration. Both VEB and VBe increase with increasing silane content,
which might be a result of less ion damage from Ht and H+ ions impinging
on the junctions.
Basically similar trends were observed for the SiH 4 /N 2 chemistry as SiH4
percentages increased (Fig. 14.24). This is expected because the discharges
592 J. Lee and F. Ren

are becoming more SiH 4 -like and thus should show similar effects on the
HBT operating parameters under these conditions. Once again, V EB , V Be
and current gain generally increase with increasing SiH 4 content, and nEB
improves.
Similar data is shown in Fig. 14.25 for the SiH 4 /N 2 0 plasma chemistry.
The same basic trends are observed as for the SiH 4 /NH 3 and SiH 4 /N 2 mix-
tures, showing that plasma composition has a dominant influence in deter-
mining the extend of the changes to HBT performance during deposition
under ECR conditions.

1.70 1.75 2.0 24


~VEB
GaAsHBT
-nEB
1.70
.......... VBC
1.65 1.8 20
1.65 Q
'a00
---
G1.60 ---
G 1.60 1.6
ffi
= 16 1::
u
CQ
>- til ~
>- 1.55 U
~
1.55 1.4 12
1.50 350 W microwave
15 mTorr
100°C, 10 s
1.50 1.45 1.2 8
10 20 30 40 50 60
% SiH 4 (Total flow rate of SiH4+N 20 is 25 seem)
Fig. 14.25. Variation of HBT gain, nEB, VBC and V EB with percentage SiH 4 in
SiH 4 /N 2 0 discharges.

Increasing the ECR source power increases the dissociation fraction of


the reactants and also the ion density. Figure 14.26 shows that there is an
optimum window of powers around 350 W where gain is a maximum, nEB is
a minimum and both VBe and VEB are still reasonably close to their control
values for the SiH 4 /NH 3 plasma chemistry. At higher source powers there
may be too high a flux of Ht, H+ and other ions, and too much neutral
atomic hydrogen present, which lead to device degradation.
Similar data is shown in Fig. 14.27 for the SiH 4 /N 2 chemistry at fixed pres-
sure (15 mTorr), deposition temperature (100°C) and composition
(lOSiH 4 /15N 2 ). Both current gain and nEB degrade rapidly above approxi-
mately 200-300 W of source power, while both VBe and V EB decrease under
the same conditions. Clearly the HBTs are degraded by high source powers,
as is the case under etching conditions as well. In the latter case damaged
semiconductor material is being removed concurrently with its formation,
14 Device Damage During Chemical Vapor Deposition 593

1.70 1.75 .--------------1---.- VEB 2.0 24


GaAsHBT
1.70
1.8 20
1.65
.~
~1.65
~
=
bI)
jll
1.6 - 16
:>&l ffl
1.60
:> 1.60
~
105 1.4 12
1.55 10SiH/15NH3
15 mTorr
1.55
...~~o:~=;:t~
1.50 __ T-~~I00~__~__r-~ 1.2 8
o 200 400 600 800
ECR microwave power (W)
Fig. 14.26. Variation of HBT gain, nEB, VBC and VEB with ECR source power in
SiH4/NH 3 discharges.

---.- V EB
_nEB
1.75 1.75 .............. V BC 2.2 21
"'"1'- Current gain
2.0 20
1.70
1.70
--.. --.. 1.8 19 .~
G :>
J
bI)

=~
'--'
u1.65
Q!l ffl
:> :> 1.6 18
1.65 U
1.60
1.4 17

1.55 1.60 1.2 16


0 200 400 600 800
ECR microwave power (W)
Fig. 14.27. Variation of HBT gain, nEB, VBC and VEB with ECR source power in
SiH4/N2 discharges.

but in deposition the damage simply accumulates during the initial period
when the surface is exposed to the plasma. Once there is a continuous layer
of the dielectric present then this presumably shields the semiconductor from
further damage.
594 J. Lee and F. Ren

--+- V EB
1.70 1.75 -nEB 2.0 24
GaAsHBT ............ V BC
---"f'- Current gain
1.70 1.B 20
1.65 s::
,-., ,-., ·a
C, >- J
bI)

u ~1.65 16 ~
Q)
tIl
>- >-
~

~
1.60 U
1.60 12

15 mTorr
100
1.55 1.55 1.2 B
0 200 400 600 BOO
ECR microwave power (W)
Fig. 14.28. Variation of RBT gain, nEB, VBe and VEB with ECR source power in
SiH4 /N 2 0 discharges.

Figure 14.28 shows the influence of source power on the RBT charac-
teristics when using the SiR 4 /N 2 0 plasma chemistry. Once again there is a
window of conditions under which the device is less degraded, in this case
between approximately 350-500 W. At low source powers, the discharge is
more like a conventional low-density plasma, leading to more damage in the
RBT, while at very high powers the ion and neutral fluxes are simply too
great to avoid device degradation, even though the average ion energy is low
(typically 20-30 e V, from the plasma potential).
RDPs are characterized by much lower operation pressures compared to
conventional rf discharges, and it is clearly of interest to investigate the ef-
fect of process pressure on RBT device degradation. Figure 14.29 shows data
for the SiH 4 /NR 3 chemistry at fixed plasma composition (lOSiR 4 /15NR 3 ),
source power (350W) and deposition temperature (100°C). Current gain and
nEB show weak optimal values around 15 mTorr, but are only weakly depen-
dent on pressure in the range 15-40 mTorr. V EB and VBC are also independent
of pressure in this same range.
Quite different behavior was observed for the SiR 4 /N 2 plasma chemistry,
shown in Fig. 14.30. All of the device parameters show optimal values for
SiN x depositions at 15-20 mTorr. At lower pressures the deposition rate is
lower, leaving more time for damage accumulation or atomic hydrogen per-
meation into the device, and the average ion energies are higher because of
the longer mean free paths. Conversely, at high pressures the atomic hydrogen
neutral density is higher, leading to more efficient passivation of the dopants.
Since the current gain decreases, this suggests collector doping is decreasing
14 Device Damage During Chemical Vapor Deposition 595

-+- VEB
1.70 1.75 -nEB 2.0 24
GaAsHBT --A- VBC
~ Current gain
1.70
20
1.65 s::
'«j
----
:>
'-"
>1.65
ffi
eo
u
CIl
'-"
o:l
"-l
1.6 = 16 1:1
(1)
:> :> 1.60 3
U
1.60
lOs 1.4 12
1.55 10SiH/15NH3
350 W microwave
100
1.55 1.50 1.2 8
0 10 20 30 40
Chamber pressure (mTorr)

Fig. 14.29. Variation of HBT gain, nEB, VBC and V EB with process pressure in
SiH 4 /NH 3 discharges.

,-------;=====:;r 2.2 22
1.7 1.7
-+- V EB

2.0 20

s::
1.8 18 '«j
00
1:1
1.6 16 ~
U

1.4 1.4 1.4 14


350 W microwave
100°C
1.3 1 .3 +-;;....;,:.---,r--...,.....-,--..---r----r-r--...,.....-'r 1.2 12
o 10 20 30 40 50
Chamber pressure (mTorr)

Fig. 14.30. Variation of HBT gain, nEB, VBC and VEB with process pressure in
SiH 4 /N 2 discharges.

faster than base doping. The data for SiH4 /N 2 0 shows the same basic trends
as for SiH4 /N 2 (Fig. 14.31).
Argon is often added to HDP gas mixtures to improve the ignition of the
discharge at low pressure, and to provide added ion bombardment for film
densification. Figure 14.32 shows the effect of increasing Ar flow rate on HBTs
596 J. Lee and F. Ren

1.70 1.75 - - VEB 2.0 24


GaAsHBT -nEB
............. V BC
1.70
1.65 .....,...... Current gain 1.8 20
s::
,.-., 1.65 '1il
OJ)

J:''"
,.-.,
G1.60 ;::- 1.6 16 "El
'-' Q)
u
~
CIl CIl
;::- ;::-~1.60
U
1.55 10 s 1.4 12
1.55 lOSiH/15NP
350 W microwave
100
1.50 1.50 .J--....--r---.--,.---r--..--...--..-';' 1.2 8
o 10 20 30 40
Chamber pressure (mTorr)
Fig. 14.31. Variation of HBT gain, nEB, VBe and VEB with process pressure in
SiH4/N 2 0 discharges.

- - VEB
1.7 1.75 -nEB 1.6 24
............. VBC
1.70
1.5
1.6 10 s, 15 mTorr 20 s::
,.-., ,.-., lOSiH/15NH3 .1il
;::- 350 W microwave
OJ)
G
'-'
u
CIl
CIl 1.60 1.4 l' "El
Q)
;::- ~
;::- ~
1.5 1.55 16 U
1.3
1.50

1.4 1.45 1.2 12


0 5 10 15 20 25
Ar addition (seem)

Fig. 14.32. Variation of HBT gain, nEB, VBe and VEB with additional Ar flow in
SiH4/NH 3 discharges.

deposited with SiN x using SiH 4 /NH 3 at fixed flow rates (lOSiH 4 /15N 2 ),
source power (350 W) and process pressure (15 m Torr). Basically all of the
device parameters are degraded by the Ar addition, probably through in-
creased ion-induced damage accumulation, and similar results were obtained
with SiH 4 /Nd Ar (Fig. 14.33).
14 Device Damage During Chemical Vapor Deposition 597

,-------------r 2.0 24
1.7

1.8 20
1.6 s=
----- '
.~

'>-
----
>- co
u
'-'
al 1.6 Jl 16 d
>-~.5 >-W1.5 ~
U

1.4 1.4 GaAsHBT 1.4 12


-nEB
350W microwave
IOSiH/15N2 , 10 s ~VBC

15 mTorr, 100°C ............... Current gain


1.3 1.3 1.2 8
0 5 10 15 20 25
Ar addition (seem)
Fig. 14.33. Variation of RBT gain, nEB, VBe and VEE with additional Ar flow in
SiR 4 /N 2 discharges.

1.70 1.75 .........- VEB 2.0 24


GaAsHBT -nEB
~VBC
1.70 ............... Current gain
1.65 1.8 20
s=
1.65 .~
co
----
G1.60 ----
>- 1.6 r!'
ill
16 d
'-'
u
>-
al al
>-w1.6O ~
U
1.55 12
1.55
10SiH/15NP, 350 W microwave
15 mTorr, 100 , 10 s
1.50 1.50 1.2 8
0 5 10 15 20 25
Ar addition (seem)
Fig. 14.34. Variation of RBT gain, nEE, VBe and VEB with additional Ar flow in
SiH 4 /N 2 0 discharges.

Corresponding data for the SiR4 /N 2 0 plasma chemistry is shown in


Fig. 14.34 for the same experimental conditions. The same basic trends are
observed, with increasing degradation of the RBT device performance with
Ar addition. Note that even a small Ar flow rate (5sccm) reduces the gain
from 20 to 12 for these deposition conditions.
598 J. Lee and F. Ren

III. Deposition Damage on High Electron Mobility Transistors. Fig-


ure 14.35 shows some typical gate forward and reverse current characteristics
for HEMTs deposited with SiNx at low (150W) and high (350W) source
powers. It is clear from this data that ion flux plays a significant role in de-
termining the extent of device degradation around the gate periphery, as we
found previously for SiN x and Si0 2 deposition onto GaAs metal semicon-
ductor field effect transistors (MESFETs) and GaAsj AIGaAs heterojunction
bipolar transistors (HBTs). Figure 14.36 shows IDS-VDS plots for devices
after SiNx deposition at either 150 or 500W, and this data also shows that
source power has a strong influence on this parameter, which is largely de-
termined by the doping in the channel.

0.030 2e-6

I
0.025
l Oe+O /.~----.-
0.020 - - 150WECR
l
3:
- - - - 350 W ECR
I' 3: //
_0
0.015 InGaPHEMT
IOSiH/15N2 I _0
-2e-6 I :' - - 150WECR

0.010 15 mTorr,
I I! ---- 3S0WECR
lOO·C, 10 s·
f.! InGaPHEMT
0.005 I -4e-6
I:
IOSiH/ISN2

~
/ IS mTorr
lOO·C, 10 s
0.000 -6e-6
-3 -2 -1 0 2 -12 -10 -8 -6 -4 -2 0
Vo(V) Vo(V)

Fig. 14.35. Forward and reverse Ic-Vc characteristics from GaAs/InGaP HEMTs
deposited with SiNx using SiH4/N2 chemistry at two different source powers (150
or 350W).

0.030 0.030
InGaPHEMT InGaPHEMT
IOSiH/ISN2, IS mTorr IOSiH/ISN2, IS mTorr
0.025 ISO W ECR, lOO·C, 10 sec. 0.025
500 W ECR, 100·C, 10 sec.

0.020 0.020

3:
_0
0.015 3:
_0
0.015

/r
0.010 0.010

0.005
('- 0.005

0.000
t 0.000
y

a 2 3 4 5 6 0 2 3 4 5 6
Vos (V) Vos (V)

Fig. 14.36. IDs-VDs plots for GaAs/InGaP HEMTs deposited with SiNx using
SiH4/N2 chemistry at two different source powers (150 or 500 W).
14 Device Damage During Chemical Vapor Deposition 599

1.5 500 "T'""-----I-+- Transconductance


-400 16
- Threshold voltage
-4.- Ideality factor
___ Breakdown voltage
1.4 .,.........--;111....- A-_---=~-------=-....J -420 :>
5
.su'"'
<S
1.3 -440 i
'0
>

(;l 1.2 ----;~ -460 :8
~ '"
1.1 -480
~

1.0 300 +=:.........,--r--~--r-~---"T-....---+ -500 6


200 300 400 500 600
ECR microwave power (W)

Fig. 14.37. Variation of n, grn, VT and VB as a function of source power for


GaAs/lnGaP REMTs deposited with SiNx using the SiR 4 /N 2 plasma chemistry.

From data of this type we were able to construct Fig. 14.37, which shows
the effect of source power during deposition on n, gm, VT and VB. Note that
all of these parameters improve with source power for the SiH 4 /N 2 plasma
chemistry. At low ECR powers the discharge behaves more like a conven-
tional low density plasma. Even though the sample position was nominally
biased with -5 V dc through application of a small rf (13.56 MHz) power, the
average ion energy will still be in the 20-30 eV range because of the plasma
potential. Increasing the ion density reduces this slightly, and so the main
effect of increasing source power is most probably to increase the deposition
rate and thereby cover the surface more quickly and protect against hydrogen
incorporation and ion bombardment. It was previously reported by Seaward
[82] that hydrogen passivation effects were reduced in high-deposition rate
processes.
The other major process parameter is the pressure during SiN x depo-
sition. Figure 14.38 shows some gate current characteristics from HEMTs
deposited with SiN x at low (15 mTorr) and high pressure (40 mTorr). It is
clear from this data that the process pressure also plays a significant role in
determining the extent of HEMT dc performance. The pressure influences
the deposition rate, ion energy and atomic hydrogen concentration and thus
might be expected to play an important part in determining how much device
degradation occurs.
From data of this type we were able to obtain a complete picture of the
effect of pressure, as shown in Fig. 14.39. While breakdown voltage remains
below the control value over the whole range of pressures investigated, all
of the other parameters improve with increasing pressure. This may also be
related to the increased deposition rate at higher process pressures, which
600 J. Lee and F. Ren

0.030 0.030
InGaPHEMT InGaPHEMT
IOSiH/I5N2, 15 mTorr IOSiH/15N2, 40 mTorr
0.025 0.025 350 WEeR, 100°C, 10 s
350 W ECR, 100°C, 10 s

0.020 0.020

? 0.015 ? 0.015
~'" -'"
0.010 0.010

0.005 0.005

0.000 +--,--....- ....--.-.....---1 0.000 -f--....- .....- .....--.---.----1


o 5 6 o 2 3 4 5 6
V DS (V)

Fig. 14.38. IDs~VDs characteristics from GaAs/InGaP HEMTs deposited with


SiNx using SiH 4 /N 2 chemistry at two different pressures (15 or 40mTorr).

--+- Transconductance
_ Threshold voltage 16
1.5 500 -400
- 6 - Ideality factor
~ -......- Breakdown voltage
1.4 ~ -420 :> 14 ~

G
.... g 5 <IJ

E
Q)

~ 1.3 <IJ
u
-440 ~ 12
c.S '0 0
.§ 400 > >
.€
<il 1.2
<IJ
.g
I'::
"0
'0 10
~
0
~ 0
u ~ ~<IJ
'" 350 lOs 15 ....
c:o
1.1
~ IOSiH/15N z
IS mTorr
-480 8

loooe
1.0 300 -500 6
0 10 20 30
Chamber pressure (mTorr)
Fig. 14.39. Variation of n, grn, VT and VB as a function of source power for
GaAs/InGaP HEMTs deposited with SiNx using the SiH 4 /N 2 plasma chemistry.

then serves to cover up the surface more rapidly and prevent the indiffusion
of hydrogen and reduce damage accumulation due to ion bombardment. Note
that ECR-CVD at all conditions still preserves HEMT device performance
to a reasonably high level.
Postdeposition annealing (200°C, 10 s) had little effect on forward IG~ Vc
characteristics, but had a strong beneficial influence on reverse breakdown
voltage (Fig. 14.40). Since all the metallization is already in place on the
device, it is impossible to anneal at higher temperatures.
14 Device Damage During Chemical Vapor Deposition 601

0.030 2&-6 -r--------------.


- - before annealing
0.025 - - before annealing I" after annealing
at 2oo·C and 10 sec.
after annealing
at 200·C and 10 sec. /
0e+0 .. ,--~--.-

0.020 ."" /'


$ 0.Q15 InGaPHEMT / ~ I
10SiHil5N, /
- -2e-6
I
I
_0

0.010
IS mTorr, 250 W ECR
100·C, 10 s I InGaPHEMT
IOSiHilSN,

/ -4e-6 I ISmTorr
250 W ECR
0.005 I
0.000 ./
+-~....,..-.,..-_r_4--.-~__,-..---f -6e-6 +--.....--.,....--.,----r---I
loo·C, 105

-3 -2 2 -10 -8 -2 o

Fig. 14.40. Forward and reverse IG-VG characteristics from GaAs/InGaP HEMT
deposited with SiN x using SiH 4 /N 2 chemistry, both before and after a 200°C, lOs
anneal.

14.4 Summary and Conclusions

A detailed examination of GaAs MESFET parameters after deposition of thin


SiNx or Si0 2 layers by ECR-CVD shows the following main conclusions:
(i) for deposition of SiNx , the SiH 4 /N 2 chemistry induces less device degra-
dation than SiH4 /NH 3 under the same conditions. This appears to be due to
the lower hydrogen content in the plasma, which can exacerbate changes in
the device performance through Si-H complex formation and by Ht and H+
ion-induced damage.
(ii) the changes observed with Si0 2 deposition using the SiH4 /N 20 chemistry
were generally less pronounced than those seen with either of the chemistries
used for SiNx deposition, which again is probably a result of lower hydrogen
concentrations.
(iii) high pressures, high ECR source powers, high deposition temperatures
and high SiH4 contents all lead to more MESFET degradation, which again
can be understood in terms of relative amounts of hydrogen ions and neutrals
that lead to ion-induced damage or dopant passivation in the device.
SiNx and Si0 2 layers thin enough to still access the device have been
deposited on GaAs/ AlGaAs HBTs by ECR-CVD using either SiH4 /NH 3 or
SiH4 /N2 for SiNx and SiH4 /N 2 0 for Si0 2. Direct current device parameters
were measured as a function of the deposition conditions, and showed the
following main trends:
(i) deposition temperature had a strong effect on device performance for
the SiH4 /NH 3 chemistry, but little influence with the SiH4 /N 2 or SiH4 /N 2 0
mixtures, probably due to the higher atomic hydrogen concentration present
in the former.
602 J. Lee and F. Ren

(ii) SiH 4 -rich conditions are desirable for all three chemistries, suggesting
much of the hydrogen in the SiH4 /NH 3 mixture originates from the ammonia.
(iii) moderate ECR source powers are desirable for all three chemistries, and
one should avoid the very high active neutral and ion fluxes present at powers
above ",500 W.
(iv) deposition pressures around 15 mTorr produce the least HET device
degradation, probably by minimizing hydrogen passivation of dopants and
ion-induced damage which are prevalent at higher and lower pressures, re-
spectively.
(v) addition of Ar to the deposition chemistry should be avoided, since it
leads to HET device performance falloff even at low flow rates.
Deposition of SiNx as a long-term passivant layer is necessary to preserve
HEMTs from surface deterioration. A careful study of the effect of ECR-CVD
SiNx using the SiH 4 /N 2 plasma chemistry has revealed the following main
points:
(i) higher ECR source powers produce less effect on HEMT dc parameters
than low source powers under our conditions. We have deliberately kept
the ion energy (i.e., rf-biasing of the sample position) low since our previ-
ous studies on dry etching of HEMTs under high-density plasma conditions
showed that rf chuck powers above 25 W produced extreme degradation of
both GaAs/ AlGaAs and GaAs/lnGaP HEMTs.
(ii) deposition pressures above 20 mTorr are preferred to minimize reduc-
tion in HEMT dc performance with the SiH 4 /N 2 plasma chemistry. This ap-
pears to be a result of the higher deposition rate, which protects the exposed
AlGaAs donor layer from hydrogen indiffusion and from ion bombardment
damage.

Acknowledgements. The authors appreciate S.J. Pearton, R.J. Shul, C.R.


Abernathy, K.D. Mackenzie, C. Constantine and D. Johnson for their help.
The work at UF is partially supported by a DOD MURI monitored by
AFOSR (H.C. DeLong), contract no. F49620-96-1-0026.

References
1. RJ. Shul, A.J. Howard, C.B. Vartuli, P.A. Barnes, and S. Weng, J. Vac. Sci.
Techno!. A 14, 1102 (1996).
2. RJ. Shul, M.L. Lovejoy, D.L. Hetherington, D.J. Rieger, J.F. Klem, and M.R
Melloch, J. Vac. Sci. Techno!. B 13, 27 (1995).
3. K.K. Ko and S.W. Pang, J. Vac. Sci. Techno!. B 13, 2376 (1995).
4. J. Etrillard, P. Osart, G. Patriarch, M. Juhel, J.F. Bresse, and C. Daguet,
J. Vac. Sci. Technol A 15, 626 (1997).
5. E. Berg and S.W. Pang, J. Vac. Sci. Techno!. B 15, 2643 (1997).
6. D.G. Yu, C.-H. Chen, A.L. Holmes, Jr., S.D. DenBaars, and E.L. Hu, J. Vac.
Sci. Techno!. B 15, 2672 (1997).
14 Device Damage During Chemical Vapor Deposition 603

7. S.J. Pearton, Int. J. Mod. Phys. B8, 1781 (1994).


8. S.J. Peart on , J. Vac. Sci. Techno!. A 12,1966 (1994).
9. C.S. Wu, F. Ren, S.J. Pearton, M. Hu, C.K. Pao, and R.F. Wang, Electron.
Lett. 30, 1803 (1994).
10. F. Ren, A.Y. Cho., J.M. Kuo, S.J. Pearton, J.R. Lothian, D.L. Sivco, R.G.
Wilson, and Y.K. Chen, Electron. Lett. 31, 406 (1995).
11. J.G. van Hassel, C.M. van Es, and R.A.M. Nouwens, Electron. Lett. 31, 834
(1995).
12. S.J. Pearton, J.W. Lee, J.D. MacKenzie, C.R. Abernathy, and R.H. Shul, App!.
Phys. Lett. 67, 2329 (1995).
13. J.W. Lee, S.J. Pearton, C.R. Abernathy, W.S. Hobson, and F. Ren, App!. Phys.
Lett. 67, 3129 (1995).
14. R.J. Shul, C.T. Sullivan, M.B. Snipes, G.B. McClellan, M. Hafich, C.T. Fuller,
C. Constantine, J.W. Lee, and S.J. Pearton, Solid-State Electron. 38, 2047
(1995).
15. R.R. Stradtmann, J.W. Lee, C.R. Abernathy, S.J. Pearton, and W.S. Hobson,
J. Electrochem. Soc. 143, L219 (1996).
16. S.J. Pearton, Mat. Sci. Eng. B 40, 101 (1996).
17. F. Ren, J.R. Lothian, J.D. MacKenzie, C.R. Abernathy, C.B. Vartuli, S.J.
Pearton, and R.G. Wilson, Solid State Electron. 39, 1747 (1996).
18. K.N. Lee, J.W. Lee, C.R. Abernathy, S.J. Pearton, W.S. Hobson, and F. Ren,
Solid State Electron. 41, 401 (1997).
19. S.J. Pearton, Mater. Sci. Eng. B44, 1 (1997).
20. J.W. Lee, D. Hays, C.R. Abernathy, S.J. Pearton, W.S. Hobson, and C. Con-
stantine, J. Electrochem. Soc. 144, L245 (1997).
21. S.J. Pearton, App!. Surf. Sci. 117/118, 597 (1997).
22 ..J.W. Lee, C.R. Abernathy, S.J. Pearton, F. Ren, W.S. Hobson, R.J. Shul,
C. Constantine, and C. Barratt, J. Electrochem. Soc. 144, 1417 (1997).
23. F. Ren, J.W. Lee, C.R. Abernathy, S.J. Pearton, C. Constantine, C. Barratt,
and R.J. Shul, App!. Phys. Lett. 70, 2410 (1997).
24. J.W. Lee, C.R. Abernathy, S.J. Pearton, F. Ren, R.J. Shul, C. Constantine,
and C. Barratt, Solid-State Electron. 41, 829 1997.
25. F. Ren, J.W. Lee, C.R. Abernathy, S.J. Pearton, C. Constantine, C. Barratt,
and R.J. Shul, J. Vac. Sci. Techno!. B 15,983 (1997).
26. F. Ren, J.W. Lee, C.R. Abernathy, S.J. Pearton, R.J. Shul, C. Constantine,
and C. Barratt, Semicond. Sci. Techno!. 12, 1154 (1997).
27. F. Ren, D.N. Buckley, K.N. Lee, S.J. Pearton, R.A. Bartynski, C. Constantine,
W.S. Hobson, R.A. Hamm, and D.C. Chao, Solid-State Electron. 38, 2011
(1996).
28. J. Sitch, Solid-State Electron 41, 1397 (1997).
29. R. Yu, S. R. Beccue, M.F. Chang, K. Nary, A. Nubling, K. Pedrotti, R. Pierson,
K. Runge, N.H. Sheng, P. Thomas, P. Zampardi, and K.C. Wang, Solid-State
Electron. 41, 1419 (1997).
30. B. Willen, M. Mokhtari, and U. Westergren, Electron Lett. 32, 266 (1996).
31. T. Liu, M. Chen, C. Nguyen, and R. Virk, Solid-State Electron. 41, 1681 (1997).
32. B. Bayraktaroglu, Solid-State Electron. 41, 1657 (1997).
33. R. Anholt, Solid-State Electron. 41, 1735 (1997).
34. J.H. Ning, J.S. Yuan, and J. Song, Solid-State Electron. 41, 1263 (1997).
35. K. Yang, G.O. Munns, and G.!. Haddad, IEEE Electron Device Lett. EDL-18,
553 (1997).
604 J. Lee and F. Ren

36. W.L. Chen, H.F. Chern, M. Tutt, M.C. Ho., T.S. Kim, and T. Henderson,
IEEE Electron Device Lett. EDL-18, 355 (1997).
37. M. Hafizi, IEEE Electron. Device Lett. EDL-18, 358 (1997).
38. B. Agarwal, D. Mensa, R. Pullela, Q. Lee, V. Bhattacharya, L. Samoska,
J. Guthrie, and M.J.W. Rodwell, IEEE Trans. Electron Device Lett. EDL-
18, 228 (1997).
39. R. Hajii, and F.M. Ghannouchi, IEEE Trans. Electron. Device ED-44, 723
(1997).
40. C.-W. Kim, N. Hayama, N. Goto, and K. Honjo, IEEE Electron. Device Lett.
EDL-18, 147 (1997).
41. S. Thomas III, H.H. Chen, and S.W. Pang, J. Vac. Sci. Technol. B 15, 681
(1997).
42. S.W. Pang, S. Thomas III, and H.H. Chen, Appl. Surf. Sci. 117/118, 758
(1997).
43. S.J. Pearton, F. Ren, T.R. Fullowan, J.R. Lothian, A. Katz, R.F. Kopf, and
C.R. Abernathy, Plasma Sources Sci. Technol. 1, 18 (1992).
44. F. Ren, T.R. Fullowan, S.J. Pearton, J.R. Lothian, R. Esagui, C.R. Abernathy,
and W.S. Hobson, J. Vac. Sci. Technol. All, 1768 (1993).
45. S. Thomas III, H.H. Chen, C.K. Hamish, J.W. Grizzle, and S.W. Pang, J. Vac.
Sci. Technol. B 14, 2531 (1996).
46. S.J. Pearton, F. Ren, C.R. Abernathy, W.S. Hobson, T.R. Fullowan, R. Esagui,
and J.R. Lothian, Appl. Phys. Lett. 61, 586 (1992).
47. S.J. Pearton, F. Ren, C.R. Abernathy, T.R. Fullowan, and J.R. Lothian, Semi-
condo Sci. Technol. 6, 1116 (1991).
48. F. Ren, J.R. Lothian, S.J. Pearton, C.R. Abernathy, P.W. Wisk, T.R. Fullowan,
B. Tseng, S.N.G. Chu, Y.K. Chen, L.W. Yang, S.T. Fu, R.S. Brozovich, H.H.
Lin, C.L. Henning, and T. Henry, J. Vac. Sci. Technol. B 12, 2916 (1994).
49. S.J. Pearton, J.W. Corbett, and T.S. Shi, Appl. Phys. A 43, 153 (1987).
50. F. Ren, C.R. Abernathy, S.N.G. Chu, J.R. Lothian, and S.J. Pearton, Solid-
State Electron. 38, 1137 (1995).
51. C.S. Wu, F. Ren, M. Hu, C.K. Pao, and R.F. Wang, Electron Lett. 30, 1803
(1994).
52. F. Ren, A.Y. Cho, J.M. Kuo, S.J. Pearton, J.R. Lothian, D.L. Sivco, R.G.
Wilson, and Y.K. Che, Electron Lett. 31, 406 (1995).
53. C.S. Wu, F. Ren, S.J. Pearton, M. Hu, C.K. Pao, and R.F. Wang, IEEE Trans.
Electron. Device ED42, 149 (1995).
54. Y.J. Chan, D. Pavilidis, M. Razeghi, and F. Omnes, IEEE Trans. Electron.
Device 37, 2141 (1990).
55. Y.C. Wang, J.M. Kuo, F. Ren, J.R. Lothian, J.S. Weiner, J. Lui, W.E. Mayo,
and Y.K. Chen, IEEE Electron. Device Lett. 18, 550 (1997).
56. J.M. Kuo, Y.J. Chen, and D. Pavilidis, Appl. Phys. Lett. 62, 1105 (1993).
57. D. Geiger, E. Mittermeier, J. Dickman, C. Geng, R. Winterhof, F. Scholz, and
E. Kohn, IEEE Electron. Device Lett. 16, 259 (1995).
58. Y. Ota, S. Yamamoto, T. Yokoyama, H. Masato, M. Nishitsuji, M. Yanaghira,
and K. Inoue, Solid-State Electron. 41, 1675 (1997).
59. M. Berroth, V. Hurm, A. Lang, Z. Lao, A. Thiede, Z.-G. Wang, A. Bangert,
A. Hulsman, G. Kaufel, K. Kohler, B. Raynor, and T. Jakobus, Solid-State
Electron 41, 1407 (1997).
14 Device Damage During Chemical Vapor Deposition 605

60. F. Ren, S.J. Pearton, D.M. Tennant, D.J. Resnick, C.R. Abernathy, R.F. Kopf,
C.S. Wu, M. Hu, C.K. Puo, D.M. Paine, D.C. Wang, and C.D. Wen, J. Vac.
Sci. Techno!. B 10, 2949 (1992).
61. S.J. Pearton, F. Ren, J.R. Lothian, T.R. Fullowan, R.F. Kopf, U.K.
Chakrabarti, S.P. Hui, A.B. Emerson, R.L. Kostelak, and S.S. Pei, J. Vac.
Sci. Techno!. B 9, 2487 (1991).
62. S. Salimian, and C.B. Cooper III, J. Vac. Sci. Techno!. B 6, 1641 (1988).
63. J.G. van Hassel, C.M. van Es, and P.A.M. Nouwens, Electron. Lett. 31, 834
(1995).
64. S.J. Pearton, C.R. Abernathy, W.S. Hobson, F. Ren, T.R. Fullowan, J. Lopata,
U.K Chakrabarti, M. Stavola, and D.M. Kozuch, Mater. Sci. Eng. B 13, 171
(1992).
65. C.S. Wu, G.L. Lan, C.K Pao, S.X. Bur, and M. Hu, Mater. Res. Soc. Symp.
Proc. 300, 41 (1993).
66. R.J. Shul, A.J. Howard, C.B. Vartuli, P.A. Barnes, and W. Seng, J. Vac. Sci.
Techno!. A 14, 1102 (1996).
67. KK Ko, S.W. Pang, and M. Dahimene, J. Vac. Sci. Techno!. A 14,2020 (1996).
68. D.L. Melville, J.G. Simmons, and D.A. Thompson, J. Vac. Sci. Techno!. B 11,
2038 (1993).
69. S.J. Pearton, W.S. Hobson, U.K. Chakrabarti, G.E. Derkits, and A.P. Kinsella,
J. Electrochem. Soc. 137, 3892 (1990).
70. F. Ren, R.F. Kopf, J.M. Kuo, J.W. Lee, S.J. Pearton, R.J. Shul, C. Constantine,
and D. Johnson, Solid-State Electron (in press).
71. F. Ren, S.J. Pearton, J.R. Lothian, and C.R. Abernathy, J. Vac. Sci. Techno!.
B 11, 2603 (1993).
72. S.J. Pearton, F. Ren, A. Katz, T.R. Fullowan, C.R. Abernathy, W.S. Hobson,
and R.F. Kopf, IEEE Electron. Device ED-39, 154 (1992).
73. C.R. Abernathy, J. Vac. Sci. Techno!. AIl, 869 (1993).
74. C.R. Abernathy, Mater. Sci. Eng. Rep. R 14,203 (1995).
75. C.R. Abernathy, Mater. Res. Soc. Symp. Proc. 300,3 (1993).
76. C.R. Abernathy, S.J. Pearton, R. Caruso, F. Ren, and J. Kovalchick, App!.
Phys. Lett. 55, 1750 (1989).
77. F. Ren, Mater. Res. Soc. Symp. Proc. 300, 15 (1993).
78. F. Ren, T.R. Fullowan, C.R. Abernathy, S.J. Pearton, P. Smith, R.F. Kopf,
E.J. Laskowski, and J.R. Lothian, Electron. Lett. 27, 1054 (1991).
79. F. Ren, C.R. Abernathy, S.J. Pearton, T.R. Fullowan, J.R. Lothian, P. Wisk,
Y.K Chen, W.S. Hobson, and P. Smith, Electron. Lett. 27, 2391 (1991).
80. J.M. Kuo, Thin Solid Films 231, 158 (1993).
81. J.W. Lee, K.D. Mackenzie, D. Johnson, R.J. Shul, S.J. Pearton, and F. Ren,
Solid-State Electron. 42 1031 (1998).
82. KL. Seaward, App!. Phys. Lett. 6, 3002 (1992).
15 Dry Etching of Magnetic Materials

K.B. Jung, H. Cho, and S.J. Pearton

15.1 Introduction

In computer and data processing systems the main form of data storage and
retrieval is based on magnetic recording systems, either magnetic disks or tape
drives [1-12]. Information is written and stored as magnetization patterns on
a recording media, and can be transferred back and forth using a magnetic
sense head. In addition, magnetic random access memories (MRAM) are
used for storage and processing of very high bit densities. These devices
offer the advantage over semiconductor memories of being radiation-hard
and infinitely rewritable. Finally, there are magnetic sensors, transducers and
actuators which are used in automobiles, aircraft, hydraulic equipment and
defense applications (mine detection, perimeter defense) [8,9]. In all of these
structures there is a need to pattern the magnetic layers [13], generally thin
films of materials such as NiFe, NiFeCo, which are often incorporated into
multilayers comprising magnetic and nonmagnetic materials.
A key need in the continued development of magnetic-based storage sys-
tems is the ability to increase the amount of information per unit area. In
disk recording systems this means that the track width containing the stored
magnetization states should be as narrow as possible [14].
In the semiconductor industry, small, high-density features are produced
by reactive ion etching (RIE) using lithographically patterned masks. The
RIE process creates volatile etch products (typically silicon fluoride frag-
ments if F2-based plasma chemistries are employed) where removal occurs
only in the vertical direction through operating in either a sidewall-polymer
or ion-assisted desorption mode [15~18]. However, the typical materials in
magnetic devices (for example a TaN/NiFeCo/Cu/NiFe multilayer might be
used as a spin valve in recording systems), generally do not form volatile etch
products under RIE conditions. The metal chlorides, fluorides, bromides and
iodides have much higher boiling points compared to their semiconductor
counterparts. Therefore in a RIE process at room temperature there may
be net deposition rather than etching due to the buildup of a selvedge or
reaction layer containing the nonvolatile etch products. As an illustration,
Table 15.1 lists some boiling points of potential etch products for NiFe,
NiFeCo in halogen-plasma chemistries. Note that the volatilities of these

R. J. Shul et al. (eds.), Handbook of Advanced Plasma Processing Techniques


© Springer-Verlag Berlin Heidelberg 2000
608 K.B. Jung, H. Cho, and S.J. Pearton

Table 15.1. Boiling points of potential etch products in ICP etching of NiFe,
NiFeCo and dielectric masks. (Taken from Handbook of Chemistry and Physics,
70 th edition (CRC Press, Boca Raton, 1990).)

Products Boiling point (0C) Products Boiling point (0C)


SiCl4 57.65 NiCl 2 subl973
SiBr4 154 NiBr2 subl
ShBr 6 240 Nih subl
SiI4 287.35 NiF2 1740
SiF4 -86 FeCh 316
Sb F 6 -18.5 FeCh 1023
SiH4 -111.8 FeBr3
Sb H 6 -14.5 FeBr2 934
SiJHs 52.9 FeF3 726
NCl 3 71 FeF2 1837
NBr3 CoCh
Nh subl vac CoCh 1049
NF3 -128.8 CoBr2
OCh 9.9 exp Coh 570
02Ch 3.8 exp CoF3
OF2 -145 CoF 2 1739

products is generally much lower than those of dielectrics (Si02 and SiNx )
that might be employed as mask materials.
In this chapter we will outline several approaches for overcoming the in-
trinsic pattern of etching of magnetic multilayers, namely the low volatility
of etch products in halogenated-plasma chemistries.

15.2 Ion Milling

Simple Ar+ ion milling is generally employed for pattern transfer in NiFe
and related materials. In this technique, simple physical momentum transfer
is used to sputter away the exposed magnetic materials [19-24J. For very
small «0.5 ,...,m) device dimensions it is generally accepted that ion milling
has some drawbacks, related to its poor selectivity relative to mask materials
and redeposition of sputtered material onto the feature sidewalls. Moreover
at high aspect ratios, angled ion milling presents problems with the ion flux
reaching the bottom of the etched features, while normal angle ion incidence
can lead to trenching [19J.
A number of approaches have been demonstrated to try to produce some
degree of chemical etching in the pattern transfer process for magnetic multi-
layers. Elevated temperature reactive ion etching (RIE) enhances the volatil-
ity of metal chloride or fluoride etch products [25], but the temperatures
needed (>350°C) exceed the thermal stability of the ultrathin NiFe/Cu/
15 Dry Etching of Magnetic Materials 609

NiFeCo structures employed in magnetic memory devices. High ion density


plasma etching with Cl2/ Ar chemistries near room temperature produces
practical removal rates for the magnetic materials (;:::500 A min-I) due to
the efficient desorption of the metal chlorides by the high ion flux under
these conditions[26,27] However, it is necessary to remove all traces of resid-
ual chlorine after the pattern transfer process to avoid long-term corrosion
issues. Nakatani [24] reported a different approach using a CO/NH 3 plasma
chemistry to form volatile carbonyl (Fe(CO)5, Ni(CO)4) etch products. While
previous attempts at forming carbonyls in CO plasmas have failed [28], the
addition of NH3 to the chemistry is reported to prevent dissociation of the
CO and thereby avoid creation of nonvolatile carbides on the surface of the
magnetic material [24].

15.3 Ch-Based ICP Etching of NiFe


and Related Materials

In this section, we report a parametric study of inductively coupled plasma


(ICP) etching of NiFe, NiFeCo and two materials used as oxidation or con-
tact barriers respectively, in MRAM stacks, namely TaN and CrSi. A simple
Chi Ar plasma chemistry produces clean pattern transfer at rates which de-
pend on plasma composition, ion flux, ion energy and process pressure. Post-
etch corrosion will result unless chlorinated residues are removed by an in situ
H2 plasma clean. In general, photoresist is not a stable masking material, and
it is necessary to use dielectrics such as Si0 2 or SiN x .
Layers of Nio.8Feo.2, Nio.8Feo.13CoO.07, TaN and CrSi, all ",,5000 A thick,
were deposited on Si0 2 coated Si wafers by dc magnetron sputtering, and
patterned lithographically with photoresist. In some cases, the resist was
used to transfer the pattern into an underlying Si0 2 or SiN x (1500-3000 A
thick) layer that had been deposited by plasma-enhanced chemical vapor de-
position at ",,250°C. This enabled us to compare photoresist and dielectrics
as mask materials. Dry etching was performed in a Plasma Therm 790 sys-
tem in which the plasma is generated in a 2 MHz, 3-turn inductively coupled
plasma (ICP) source at powers up to 1500 W. The He backside-cooled chuck
is separately biased with 13.56 MHz rf power between 50-400 W, produc-
ing dc self-biases between -20 and -260V. We have previously found that a
Cl 2 1Ar plasma chemistry operated under electron cyclotron resonance (ECR)
conditions produces high etch rates (;:::3000Amin-l) for NiFe and NiFeCo
at ::;80°C [27]. There are several drawbacks to ECR technology, including
questionable uniformity for large diameter wafers, high cost of ownership
because of the expensive electromagnets and their associated cooling require-
ments, and the less mature tuning networks for microwave frequencies. By
contrast, rf ICP sources suffer from none of these disadvantages, and thus
we are interested in these tools with different etching chemistries for mag-
netic materials. In the current experiments, Ch with additions of Ar, N2
610 K.B. Jung, H. Cho, and S.J. Pearton

and H2 was introduced through electronic mass flow controllers into the rcp
source at a total gas flow rate of 15 standard cubic centimeters for minute
(sccm). The process pressure was raised from 2 to 15mTorr by throttling the
turbomolecular pump.
Etch rates were determined by stylus profilometry of feature depth after
removal of the masks. Scanning electron microscopy (SEM) was used to exam-
ine etch anisotropy, while Auger electron spectroscopy (AES) was employed
to look at near-surface composition.
Figure 15.1 shows the etch rates of NiFe, NiFeCo, CrSi and TaN in Cbl Ar
discharges at fixed rcp source power (750 W), dc self-bias (-100 V) and
pressure (2 m Torr), as a function of percentage Cb in the total gas flow.
All of the materials show the same basic trend of going through an etch
rate maximum at ",66% Cb. We see this type of behavior frequently for
materials with relatively low volatility etch products [29-31]. At low C1 2
flows, the etching is dominated by pure Ar sputtering, and is slow. As the C1 2
percentage in the discharge increases, the etch rate rises rapidly, indicating
the presence of a significant chemical component in the etch mechanism.
Beyond a particular composition, however, (which is a function of pressure,
ion flux and ion energy) the etch rate decreases again because the ion-to-
neutral ratio becomes too low, allowing a chlorinated selvedge (reaction) layer
to form on the surface. Note also that the peak etch rates are only around

900 300
750WICP
800 -100 de Bias

--
250
700
...=
e 600 200
----..
--....
~
~ 500
Q,) Q,)
150
eo:: 400 ~

..
0

---
~ ~
-=......
~ 300
CrSi
100
c..
~
200 ~ NiFeCo
50
100 ----T- Niu.sFe o.2
-0- rfPower
0 0
0 20 40 60 80 100

% of Cl2 in CI/Ar (lSsccm)


Fig. 15.1. Etch rates of NiFe, NiFeCo, TaN and CrSi are function of plasma compo-
sition in ICP Cb/Ar discharges (750W source power, -lOOV dc self-bias, 2mTorr
pressure).
15 Dry Etching of Magnetic Materials 611

700 A min ~ 1 for NiFe and NiFeCo, well below those we found previously for
ECR etching. From other experiments we believe the ion flux is somewhat
lower in our ICP tool [30,31]' and is capable of more controlled etching than
the ECR reactor.
Similar data is shown in Fig. 15.2 for the Cb/N 2 plasma chemistry. The
same basic trends are evident as were seen with C1 2 / Ar, but the overall etch
rates are lower, probably because Nt and N+ ions are less efficient at helping
to desorb the chloride etch products than are the heavier Ar+ ions. Cb/N 2
discharges have previously been reported to produce more atomic chlorine
neutrals than Cb/ Ar [32], but if that indeed is the case it does not assist
the etch rate in our case because product desorption is the limiting step, not
chlorine supply.

Fig. 15.2. Etch rates of NiFe, NiFeCo, TaN and CrSi as a function of plasma
composition in ICP Cb/N 2 discharges (750W source power, -lOOV dc self-bias,
2 mTorr pressure).

Figure 15.3 comprises results for NiFeCo etching in the three different
plasma chemistries investigated, namely C1 2 / Ar, Cb/N 2 and Cb/H 2 , as a
function of plasma composition. The results show quite clearly that in terms
of etch rates, Ar addition produces the highest values, and this is most likely
due to its better sputtering efficiencies.
The ICP source power basically controls the ion flux incident on the sam-
ple. Figure 15.4 shows the etch rates of the four materials at constant dc
self-bias (rf power was examined as the source power was increased in order
612 K.B. Jung, H. Cho, and S.J. Pearton

800
NiFeCo
750WICP
-100 de bias
2mTorr
"a' 600
.....
--"SS
....'"=
CI,)
400

~
-=~
---
~ 200 -+- Ar/CI 2
NiCI2
- A - HiCI 2

0
0 20 40 60 80 100

% of C~ (l5sccm)
Fig. 15.3. Etch rates of NiFeCo as a function of plasma composition in ICP Cb/ Ar,
Ch/N 2 or Cb/H 2 discharges (750W source power, -100V dc self-bias, 2mTorr
pressure).

1500 600
-----.- TaN
------- CrSi
--4-- NiFeCo
-.
.....=
S 1000
- . - Ni o.aFeo.2
-.

--
400
- 0 - rfpower
~
~
.a
~
CI,)
10C~/5Ar
~
~ -80 de bias =
-=....
C.I 500 2mTorr 200
=--
~
~

o L....I--o...........o-........................o-........--o............................--'-.........J 0
o 500 1000 1500 2000

ICP Power (W)


Fig. 15.4. Etch rates of NiFe, NiFeCo, TaN and CrSi as a function of ICP source
power in 10Ch/5Ar, 2mTorr, -80V dc self-bias discharges.
15 Dry Etching of Magnetic Materials 613

to keep the dc chuck bias at -80V), fixed plasma composition and pressure,
as a function of source power. While the etch rates for TaN and CrSi increase
almost linearly with ion flux, those for NiFe and NiFeCo go through max-
ima. This indicates for these latter materials that if the ion-to-neutral ratio
becomes too high, chlorine is ejected from the surface by sputtering before it
has a chance to react and form the chlorinated etch products.
The etch rates are also a strong function of ion energy, as seen in Fig. 15.5,
which shows their dependence on rf chuck power at constant source power
(750W), pressure (2mTorr) and plasma composition (lOC1 2 /5Ar). There
tends to be some saturation in etch rates at the highest dc self-biases (the
voltage through which ions from near the plasma sheath are accelerated to
the sample position), which probably indicates again that the chlorine could
be removed by sputtering before it has a chance to react with the surface if
the ion energy becomes too high.

----
--
1800 300
TaN
1600
CrSi 250
.-.. 1400 --A-- NiFeCo
c
.....
S ----T-- Nio.8Feo.2 200
1200
de bias ;>
~
--0-- I
1000 '-'

....
~ 150
fI:l
~
~
c:z=:
800 ~
y
..c: 600 100 ~
~
~ lOCli5Ar
400
750ICP 50
200
2 mTorr
0 0
0 100 200 300 400 500

rfPower (W)
Fig. 15.5. Etch rates of NiFe, NiFeCo, TaN and CrSi as a function of rf chuck
power in lOCh /5Ar, 2 mTorr, 750 W source power discharges.

Further evidence that the ion-to-neutral ratio is the critical factor de-
termining etch rates comes from the pressure dependence data shown in
Fig. 15.6. At fixed dc self-bias (-lOOV), source power (750W) and plasma
composition (lOCh/5Ar), the etch rates fall monotonically with pressure.
Note that the rf chuck power required to produce a self-bias of -100 V dc
also decreases in a similar fashion with increasing pressure, indicating that
the ion density in the plasma is decreasing as pressure is increased. Under
these conditions therefore, the chlorine coverage of the surface will increase
614 K.B. Jung, H. Cho, and S.J. Peart on

---
800 250
-e- TaN
700 CrSi
200
____ 600 ~ NiFeCo
C
-----.-- Ni o.sFeo.2
:§ """'

--.... 150 '~


500 ---0-- rfPower
0< -'
;..
Q.I 400 Q.I
~ ~
0
~ 100
..c 300 .....;..
~

....
C.J
~ 200 10CI/SAr
50
7S0WICP
100
-100 de Bias
0 0
0 2 4 6 8 10 12 14 16

Pressure (mTorr)
Fig. 15.6. Etch rates of NiFe, NiFeCo, TaN and CrSi as a function of process
pressure in 10Cb/5Ar, -100 V dc self-bias, 750 W source power discharges.

and retard the etch rates because there is less ion flux to desorb the etch
products.
Examples of the type of etch anisotropy and mask stability obtained in
this type of etching are shown in the SEM micrographs of Fig. 15.7. The
micrograph at the top shows feature etched into NiFe layers using on Si0 2
mask, which is still in place. The feature sidewalls are vertical and smooth. By
contrast, photoresist does not retain its dimensional stability during exposure
to the ICP discharge, as shown in the micrograph at the bottom of the figure.
Typical selectivities of "-'4-6 were obtained for etching NiFe over Si0 2 and
SiN x, similar to those for the ECR process reported earlier [27].
The AES data contains the role of ion energy and flux in the etch mech-
anism. Figure 15.8 shows AES surface scans from NiFeCo after etching in
lOCh/5Ar discharges at two different rf chuck powers. The samples were
exposed to atmosphere during transfer from the etch reactor to the AES
analysis chamber, and this results in a native oxide and the presence of ad-
ventitious carbon. However, there is clearly more residual chlorine on the
surface of the sample etched at lower rf chuck power, which is consistent with
the notion that desorption of the chloride etch products is the limiting factor
determining etch rate. There was much less chlorine residues observed on the
TaN and CrSi etched under the same conditions (lOCI 2 /5Ar, 150 W rf power,
750W source power, 2mTorr pressure), as shown in the AES surface scans
of Fig. 15.9. To remove these residues prior to opening the etch chamber,
we have previously reported the use of in situ H2 plasma cleans [27], which
15 Dry Etching of Magnetic Materials 615

ISKV x8600 I J.U11 UFMSE

Fig. 15.7. SEM micrographs of


features etched with NiFe using
10Cb/5Ar, 2mTorr, - IOOV dc
self-bias, 750 W rcp source power
discharges, using either a Si02
mask (top) or a photoresist mask
(bottom) , both of which are still in
ISKV x8600 UFMSE place.

volatilize the chlorine as HCI. Our experience with this procedure is that it
is quite effective in preventing post-etch corrosion of the magnetic materials,
but that it is necessary to pay careful attention to the condition of the reac-
tor chamber walls. Thus, if many Cb plasma etch runs have been performed
sequentially in the reactor, it is necessary to run the subsequent H2 plasma
for a much longer period than if only a few Cb runs had been done.
The choice of inert gas additive to the Cl 2 discharge also has a strong
influence on etch rate and surface morphology. We examined use of He, Ar and
Xe. The etch rates of NiFe, NiFeCo and Fe generally increased as the atomic
weight of the additive gas increased, due to enhanced sputter desorption
efficiency for the etch products. The results for surface morphology were more
complicated. The root-mean-square (r.m.s.) roughness measured by atomic
force microscopy (AFM) was found to be a strong function of ion/neutral
ratio and the atomic weight of the inert gas additive. As examples, Figs. 15.10
616 K.B. Jung, H. Cho, and S.J. Peart on

10

~
:a
...r.S 5
..-,
Si

E:!- Si NilFe
Z
Ni
NiFeCo, 10CIz/5Ar
C 750W ICP, 150W rf
Cl
2mTorr
0
0
400 800 1200 1600 2000
KlNETIC ENERGY (eV)

10

:a~
...r.S 5
..-,
Si
E:!- Cl
Z
Si NilFe NiFeCo, 10CIgl5Ar
750W ICP, 400W rf
C Ni
2mTorr
0
0
400 800 1200 1600 2000
KlNETIC ENERGY (eV)
Fig. 15.8. AES surface scans of NiFeCo after etching in lOCb/5Ar, 2 mTorr,
750W source power discharges at either 150W (top) or 400W (bottom) rf chuck
power.

and 15.11 show AFM scans for Fe (Fig. 15.10) and Ni (Fig. 15.11) before and
after etching in Ch/He, C1 2 / Ar and CldXe ICP discharges at fixed source
power (750 W) rf chuck power (250 W) and pressure (5 mTorr). In the case of
Fe, the etched surface can actually be smoother than the as-grown film due
to the angular dependence of physically dominated etch processes leading to
faster removal of sharp features. Very smooth surfaces were obtained for both
Ch/He and CI 2 /Xe chemistries. In the case of Ni, the etched surfaces were
much rougher, indicating that these are not the optimal conditions for this
material. The achievement of smooth surfaces on NiFe and NiFeCo therefore
requires careful optimization to produce equirate removal of the different
elements comprising the material [33].
A variety of different plasma chemistries have been examined for the high-
density plasma etching of NiFe, including novel 12 - and Br2-based mixtures.
15 Dry Etching of Magnetic Materials 617

10

~ -'t.A
CI N
,
I .... ..........
'''I
.Ah Alili
TV' ~'
Si Ta

Si.

TaN
C Clv'Ar ICP Etch
0
o 2000
400 800 1200 1600
KINETIC ENERGY (eV)

10

P
....-tI clA,J

Cr
Si
Si
C
CrSi
Clz/Ar ICP Etch
0
o 2000
400 800 1200 1600
KINETIC ENERGY (eV)
Fig. 15.9. AES surface scans of TaN (top) or CrSi (bottom) after ICP etching in
lOCh/5Ar, 2mTorr, -lOOV dc self-bias, 750W source power discharges.

Figure 15.12 shows some results for BI3/ Ar etching. In this case rf chuck
power was held constant, and one interesting fact is that dc self-bias de-
creases with increasing BI3 percentage. This means that the ion density in
the discharge is increasing as the BI3 fraction increases, and therefore that
BI3 is more readily ionized than Ar. Even though this bias decreases, the
NiFe and NiFeCo etch rates increase with Bh percentage beyond "'40%. At
lower percentages, there may be insufficient atomic iodine available for etch
enhancement, and those neutrals that are available may be blocking the sur-
face and preventing sputtering by the Ar. Since etch yield also increases in
a similar fashion we are tempted to ascribe the etch rate behavior as due to
an enhanced chemical component from the presence of neutral iodine atoms.
Optical emission spectroscopy showed a series of strong atomic iodine tran-
sitions in the discharge and no evidence of molecular continua, and thus we
618 K.B. Jung, H. Cho, and S.J. Pearton

Fe

As grown
RMS=4.098nm

2CI/13He
RMS=O.904nm

2CI/13Ar
RMS=9.296nm

2CI/13Xe
RMS=O.791nm Fig. 15.10. AFM scans of Fe be-
o fore and after ICP etching in
Clz/He, Clzi Ar or Clz/Xe dis-
charges .

believe the BI3 efficiently dissociates under ICP conditions. Over the range
of BI3 percentages examined we did not see a reduction in etch rates as with
Clzl Ar, but the dc self-biases were higher in the former case which might
preclude the formation of a selvedge layer. In Bl3-based discharges, NiFeCo
as faster rates than NiFe, possibly because Col x species are among the more
volatile products.
Data for BBr3/ Ar discharges are shown in Fig. 15.13. Higher dc self-biases
were necessary to achieve reasonable etch rates for both magnetic materials,
and addition of BBr3 produces lower rates than pure Ar sputtering under the
same conditions. Of course the boiling points of potential etch products can
be used as a rough indicator only, since it is the vapor pressure of the etch
15 Dry Etching of Magnetic Materials 619

Ni
'SOW ICP, 2S0W rf, SmTorr

As grown
RMS=O.S9nm

2CI/I3He
RMS=9.197nm

2CI/13Ar
RMS=13.824nm

2CI/13Xe
RMS=lO.803nm Fig. 15.11. AFM scans of Ni be-
fore and after ICP etching in
Cb IRe, Cb IAr or Cb IXe dis-
charges.

products under ion bombardment that is important. However, it is expected


that fully halogenated products do not necessarily have to form under high
flux conditions in order to be desorbed, and vapor pressures are not avail-
able for these species. Since we do not know the exact etch products, we use
boiling points as a qualitative guide in our experiments. There is no available
information in the bromides, and it is very likely that non-fully halogenated
products may be desorbed by ion-assistance under etching conditions. How-
ever, the low volatilities of all the halogenated species reflects the need for
high ion fluxes, and the high boiling points for fluorinated products shows
why we did not include this chemistry in the present study.
620 K.B. Jung, H. Cho, and S.J. Pearton

1000
___ NiFeCo 300
-NiFe
..-
....= 800 ~ de Bias

8
~
~
600
200

--....
~
I

rIj

=
~
CIS
400
~ 100
-=....
Y
"0
y
200
~

0 0
0 20 40 60 80

0.08
750WICP
150W rf

-....>
0.06
"0 5mTorr
~

0.04
-=~
~
0.02

0.00
0 20 40 60 80

0/0 ofBI3 in BI/Ar (total 10 seem)


Fig. 15.12. Etch rates and etch yields of NiFe and NiFeCo as a function of
plasma composition in ICP Bh / Ar discharges (750 W source power, 150 W rf chuck
power).

15.4 Copper Dry Etching in C12 / Ar

Copper interconnection lines have lower resistivity and better electromigra-


tion resistance than aluminum alloys, and thus are attractive for deep sub-
micrometer features in integrated circuits [34-47]. Moreover, thin layers of
Cu are often employed in magnetic multilayer structures where giant magne-
toresistance (GMR) is used for magnetic data recording at higher sensitivity
than with more conventional anisotropic magnetoresistance (AMR) devices
[48,49]. There are still many critical issues that remain to be resolved in the
development of Cu-based metallization. For example, the absence of practi-
cal dry-etch processes for Cu has led to the use of either selective chemical
vapor deposition in trenches (avoiding the use of chemical mechanical polish-
15 Dry Etching of Magnetic Materials 621

--e
800 500

....= 600 400

--
-
;;,
~
'-' 300
I
'-'

....
fI.l
~ 400

=
~
~

-
200
~
-=
Cj

Cj 200 "Q
-NiFe 100
~
- 0 - de Bias

0 0
0 20 40 60 80 100

0.15

:9 0.10
~

~
-=~
~ 0.05
750WICP
350W rf
5mTorr

o 20 40 60 80 100

% ofBBr3 in BBr/Ar (total 10 seem)


Fig. 15.13. Etch rates and etch yields of NiFe and NiFeCo as a function of plasma
composition in ICP BBr3/ Ar discharges (750 W source power, 350 W rf chuck
power).

ing, CMP) or blanket copper deposition conform ally into trenches defined in
an interlevel dielectric, followed by CMP to remove Cu from the untrenched
area.
Due to low volatility for CuClx species, it is generally necessary to heat
the sample to temperatures of 225-350°C during reactive ion etching (RIE)
in Ch-based plasma in order to obtain practical removal rates [50-55]. This
temperature may be lowered to ~60°C if IR light irradiation during the
RIE process is employed to enhance CuC1 2 deposition [56]. Many groups
have studied the desorption from chlorinated Cu surfaces under non-plasma-
assisted conditions. In this case desorption begins at rv150°C, involving re-
moval of CuCh, while at very high temperatures (>600°C) the desorbed
species is mainly CuCl [57-59]. Etch rates above 1/-lmmin- 1 have been re-
622 K.B. Jung, H. Cho, and S.J. Pearton

ported using laser-induced etching of Cu in the presence of a chlorine source


[60], but this approach is limited in that it is a step-and-repeat technique
with poor resolution.
In this section we report on the use of high-ion-density electron cyclotron
resonance (ECR) C1 2 / Ar plasmas for patterning of Cu metallization at 200°C.
The Cu etch rates are found to be a strong function of ion-to-neutral ratio,
ion energy, and ion flux. Post-etch cleaning using in situ ECR H2 plasmas
is effective in removing much of the residual chlorine from the etched Cu
surface. The combination of high ion flux conditions and post etch cleaning
produces anisotropic, corrosion-free features.
Figure 15.14 shows the etch (or deposition) rate in CI 2 /Ar discharges (300
or 1000W source power, 150W rf chuck power) as a function of percentage
Ch. At the high source power condition, corresponding to an incident pos-
itive ion flux (Ar+, CIt, CI+) of '" 4.6 x 10 17 cm- 2 s-1, the Cu etch rate
increases with Ch addition, reaching ",6000 A min-1 for pure Ch discharges.
By sharp contrast, at the low flux condition of '" 6 x 10 16 cm- 2 S-l, there
is net deposition on the Cu film for Cl 2 percentages beyond "'20%. As seen
later, this is due to the formation of a thick chlorinated selvedge or reaction
layer composed mainly of CuCl. The thickness of the latter has been shown
previously to be approximately three times greater than the amount of Cu
it replaces [53], and hence net deposition occurs when the etch products are
not efficiently desorbed.

5000

-----t-
etching

i 0
~Q) deposition
"'....iii -5000
..=
u
~ 1.5 roTorr
-10000
--.- 1000 W ECR
- - - 300WECR
-15000
0 20 40 60 80 100
% Cl2 (total flow rate of CliAr = 15 seem)
Fig. 15.14. Etch (or deposition) rate for Cu in ECR Ch/Ar discharges (150W rf
chuck power, 1.5mTorr, 300 or 1000W ECR source power) as a function of Cb
percentage.
Fig. 15.15. Etch (or deposition) rate for Cu in ECR lOCb/5Ar discharges (l50W
rf chuck power, 1.5 mTorr) as a function of ECR source power.

The dependence of etch (or deposition) rate on ECR power for 10C1 2 /
5Ar discharges (150 W rf chuck power, corresponding to a dc bias of - 200 V)
is Hhown in Fig. 15.15. At low source powers (ion fluxes below rv 1.1 X
10 17 cm- 2 S-l) the etch productH are not desorbed from the Cu surface,
producing net deposition. However, above this value, the ion flux is sufficient
to prevent formation of the selvedge layer. This situation is shown schemati-
cally in Fig. 15.16. Under low flux conditions (i.e., conventional RIE, where
fluxes are in the range 10 15 _10 16 cm -2 S-l) and low substrate temperatures
the density of chlorine neutrals is much higher than that of the energetic
ions, and a chlorinated selvedge layer forms, producing deposition rather than
etching. There are two ways to avoid this, either by increasing the substrate
temperature to the point where the CuCI vaporization rate is higher than its
generation rate (this temperature is typically ~280°C for RIE conditions), or
by increasing ion flux so that efficient ion-assisted desorption of the chloride
etch products occurs. Of course, if the flux is too high there is no chemical
enhancement of the etching, and the removal mechanism is simply physical
sputtering. This is undesirable because there would be no etch selectivity
between Cu and typical mask materials, and redeposition onto the feature
sidewalls would be a problem. Under ECR conditions at high source power
and hence high ion flux, the efficiency of ion-assisted desorption of the CuClx
species is faster than the CuCl x generation rate, at least at 200°C, and high
Cu etch rates are possible. If even higher ion fluxes could be achieved, presum-
ably the temperature at which the onset of etching occurs could be lowered
.. ..
624 K.B. Jung, H. Cho, and S.J. Pearton

.,.. ,....
... . . ~
~
• ••• • •
Cu substrate

r
• neutral

•·r.. ·r·• .r.


IOn

••
•••
CuCl x Fig. 15.16. Schematic of deposition
deposition method for the CuCl x layer that
forms on Cb-exposed Cu under RIE
Cu ub trate
conditions at low temperature.

even further, though it would become difficult to avoid unintentional sample


heating.
Figure 15.17 shows AES source scans for samples etched in lOC1 2/5Ar,
150W rf discharges at either 350W (top) or 800W (center) microwave source
power. These correspond to either slightly positive or strongly positive etch
rate conditions, respectively, from the data in Fig. 15.15. Note the very high
chlorine residue signal in the former case, suggesting that etching is occurring
through a fairly high surface coverage of adsorbed chlorine. By contrast, at
the high etch rate condition this chlorine signal is much reduced, and the sig-
nal from the underlying Cu film is stronger. The presence of residual chlorine
is a problem from the viewpoint of post-etch corrosion, particularly on fea-
ture sidewalls. Ohno et al. employed a SiCI4 /NH 3 /CI 2/N 2 plasma chemistry
under RIE conditions, which led to the formation of SiNx-like sidewall pro-
tection layers. This prevented undercutting of the features and has the added
advantage of preventing chlorine adsorption on the sidewalls. In our case we
have excellent etch anisotropy because of the high ion-driven component and
the low operating pressure of the ECR tool. To remove chlorine residues we
tried two different in situ plasma cleaning processes: either H2 or O 2 ECR
plasmas at higher pressure (15 mTorr), low rf chuck power (40 W), and mod-
erate ECR source power (400 W). The AES surface scan at the bottom of
Fig. 15.17 shows that the H2 treatment successfully removed most of the re-
maining chlorine residues. Note that these measurements are on the etched
field, i.e., the horizontal etched Cu surface, and not on the feature sidewalls.
15 Dry Etching of Magnetic Materials 625

10

@
:a
~
*
5 tr -.v -,l Jo"
V"lfilV

~
C
0 LOW POWER ETCH
Cu
CI
0
200 600 1000 1400 1800
10

Fig.I5.IT. AES surface scans of Cu after lOCh/5Ar etching (150W rf chuck


power, 1.5mTorr) at either (top) 350W source power; (center) 800W source power;
(bottom) 800W source power, followed by lOmin H2 plasma clean (40W rf chuck
power, 400W source power 15mTorr).

We do not have access to a micro-AES system which has the capability of


looking at sidewalls.
To examine the nature of the chlorine etch residues in more detail, XPS
was performed on as-etched and H 2 -cleaned samples (Fig. 15.18). The core
level Cu (2P 3 ) signals at ",935 eV contain contributions from the metallic
Cu, oxidized Cu (CuO), and the etch products CuCl and CuCh and are
626 K.B. Jung, H. Cho, and S.J. Pearton

10

ECRETCH
p::J
........
,-..
p::J
'-'
5
Z
Cu Auger Peak
Cl2P
Cl2S
0
1200 800 400 0
10

ECR ETCH PLUS H2 CLEAN

~
iii'
'-'
5
Z

1200 800 400 o


BINDING ENERGY,eV
Fig. 15.18. XPS survey spectra from Cu after lOCh/5Ar 800 W source power,
150W rf chuck power, 1.5mTorr etching (top) and (bottom) after a subsequent
10 min H2 plasma clean (40W rf chuck power, 400W source power 15mTorr).

difficult to deconvolute. However, the CI (2P) signal at rv200eV can be used


to quantify the amount of chlorine residues after each process. Figure 15.19
shows the CI 2P signal intensity was significantly reduced by a 10 min O 2
plasma clean but that a H2 clean under the same conditions was much more
effective. We assume that atomic hydrogen can volatilize chlorine as HCI,
which is pumped out of system. From limited data we observed that the
remnant CI signal intensity showed an inverse exponential dependence on H2
plasma exposure time and that a 20 min clean was sufficient to reduce the CI
signal to below the background sensitivity of both XPS and AES.
Using the high-flux etch conditions and the post-etch H2 clean process,
we were able to produce clean, well defined Cu features, as shown in the SEM
micrographs of Fig. 15.20. These micrographs were taken several weeks after
the etching, and the sidewalls show no evidence of corrosion.
Even at high flux conditions we found it necessary to have a reasonable dc
self-bias for etching to proceed. Figure 15.21 shows the etch (or deposition)
rate dependence on rf chuck power at fixed plasma composition (1OCh/5Ar)
and source power (1000 W). Note that a self-bias of at least -95 V is required
15 Dry Etching of Magnetic Materials 627

eu substrate
mmm 10 CL/5Ac 800 W ECR
150 W rf, 1.5 mTorr
and 20 5 no cleaning
c:::::J 40 02' 40 W rf only,
15 mTorr and 10 min.
after CI!At etching
~ 40~,40Wrfonly,
15 mTorr and 10 min.
_......;;;=_. CljAt etching

0.0 .........._-Itt=:IlD---L_--L_..Il.:.::.:~........_ .....

CI 2P (19ge V)
Fig. 15.19. Cl2P XPS signal intensity for as-etched Cu surface (lOCh/5Ar, 800W
source power, 150 W rf chuck power , l.5 mTorr) and after cleaning in situ us-
ing either H2 or 02 plasmas (10 min, 40 W rf chuck power , 400 W source power,
15mTorr) .

Fig. 15.20. SEM micrographs of fea-


tures etched into Cu layers on Si us-
ing lOCh/5Ar, 800W source power,
150 W rf chuck power discharges, fol-
lowed by H2 plasma in situ clean-
ing (20 min, 15 mTorr, 40 W rf chuck
power, 400 W source power) .
628 K.B. Jung, H. Cho, and S.J. Pearton

8000 300
10C~/5Ar
6000 1000W ECR
1.5mTorr
:s 4000 200 I

g-
~'-' etching a"

----r-
2000

t
~.
~....
..g 0 '<
100 '-'
~
___ Cu d ..
-2000 · eposltion
--e- -dC blas

o 50 100 150 200 250 300


rfpower(W)
Fig. 15.21. Etch (or deposition) rate for Cu in ECR Ch/Ar discharges (lOOOW
ECR source power, 1.5 mTorr) as a function of rf chuck power.

to produce etching. The average ion energy is the sum of this self-bias poten-
tial, plus the plasma potential (which is typically 20-30eV in these tools),
and thus rate the ion energy at which product desorption is faster than the
CuCl generation rate at this particular flux is ",120 eV.
The following main points can be obtained from this work on ECR Chi Ar
etching of Cu.
1. The competition between formation of CuCl and its desorption is a strong
function of ion-to-neutral ratio, ion energy, and substrate temperature.
Ion-neutral ratio of ",0.02 at the Cu surface (Le., total positive ion den-
sity, Ar+, CIt, Cl+, to the density of chlorine neutrals) at a tempera-
ture of "'200°C produces etch rates of (5000 A min-l at ion energies of
",200eV. The high ion flux in ECR tools enables etching at temperatures
substantially lower than in conventional RIE systems (2:280°C for RIE).
2. In situ H2 plasma cleaning after Chi Ar etching is an effective method for
removal of chlorinated residues. Clean, corrosion-free features are formed
by an optimized high flux Cl 2 1Ar etch, followed by H2 plasma cleaning
prior to removal of the sample from the chamber.

15.5 CO /NH 3 Etching of Magnetic Materials


Figure 15.22 compares NiFe and NiFeCo etch rates in CO/NH 3 (top) and
COdNH3 (bottom) ECR discharges (750W source power, 250W rf chuck
power, 2 mTorr pressure) as a function of discharge composition. There are
significant differences in behavior between the two chemistries, but they dis-
play the same basic trend of increase in etch rate as CO or CO 2 is initially
15 Dry Etching of Magnetic Materials 629

600 r---------------------------,600

--e=
.,.
400

as
-~

«I
200

-
~
.c
(j 0 _ NiFeCo
_NiFe
~
-0- dcbias
-200 0
0 20 40 60 80 100

0/0 of CO in COINH3 (l5seem)


300

--e=
_NiFeCo
_NiFe
.,.
200

as
-~ 100

t
-
«I
~
.c
(j 0
Etching

~ Ijt Deposition
2mTorr
-100
0 20 40 60 80 100

0/0 of CO2 in C021N~ (15 seem)


Fig. 15.22. Etch rates of NiFe and NiFeCo in COjNH3 (top) or C0 2 jNH 3 (bottom)
discharges (750W source power, 250W rf chuck power) as a function of plasma
composition.

added to the discharge, followed by a falloff to net deposition at low NH3


compositions. The initial etch rate increase as CO or CO 2 is added is indica-
tive of some degree of chemical enhancement due to these gases, which was
confirmed by a comparison with pure N2 discharges. The heaviest ion in N2
or COjNH3 discharges should have mass 28 (Nt or CO+). A sputter rate
for NiFe of rv350A min- 1 was obtained for pure N2 discharges under these
conditions, which is less than the maximum rate obtained using COjNH3 .
By analogy with the work of Nakatani [5], the fact that the process reverts to
net deposition at high CO or CO 2 concentrations may be due to the creation
of a carbided surface. Some evidence for this comes from the AES surface
630 K.B. Jung, H. Cho, and S.J. Pearton

10

~
:.0
~.f 5
*
-..
ez Ni Ni
NiFe Control
o Ni
0
200 600 1000 1400 1800
KINETIC ENERGY,eV
10

~
<i-i
:.0
~I.f 5
$'*- ' Ni
NiFe
Z 10CO/SN~
7S0WECR
Si
C o Ni 2S0Wrf
0
200 600 1000 1400 1800
KINETIC ENERGY,eV
Fig. 15.23. AES surface scans of NiFe before (top) and after (bottom) etching in
10CO/5NH3 discharges (750W source power, 250W rf chuck power). The Si signal
in the latter case comes from the edge of the sample where the substrate is exposed.

scans of Fig. 15.23. After exposure to a CO/NH3 plasma at conditions where


there is net deposition, there is additional C and N detected on the surface.
We could not detect any measurable differences in the optical emission
spectra from pure CO and CO 2 discharges (Fig. 15.24). There appears to
be a line at ",780 nm due to atomic oxygen. Unfortunately, many of the CO
and CO 2 transitions are below 300 nm, where our spectra are cut-off by the
quartz viewport on our system. As NH3 is added to the discharge (Fig. 15.24,
bottom), there is the appearance of atomic hydrogen transitions at 480 and
660 nm and the nitrogen-related bands between 300-400 nm. At NH 3-rich
conditions where etching of the magnetic materials occurs, the spectra is
completely dominated by N2 and H transitions. Therefore, optical emission
spectroscopy does not appear that useful for tracking the active species in
the plasma.
Figure 15.25 shows the effect of microwave source power on the etch rates
of NiFe and NiFeCo for two different plasma compositions at fixed rf chuck
power (250W) and process pressure (2mTorr). At low CO to NH3 ratios
(2/13) the etch rates increase with source power (which controls ion flux and
dissociation of the discharge) and then decrease beyond ",750W. The dc self-
bias decreases as plasma conductivity increases, but the fall-off in etch rate at
high source power is most probably due to the onset of surface carbidation.
15 Dry Etching of Magnetic Materials 631

1000r-----------------------------------------,

800 15CO
l000WECR
150W rf
2mTorr
~
.!!.
600

i
"
] 400

wavelength (nm)
1000r-------------------------------------------~

800 lSC01
l000W ECR
150W rf
::i
.!!. 600 2mTorr

i
"
:l 400
oS

wavelength (nm)
1000r-----------------------------------------~

J3COPNH.
800 lOOOWECR
lSOW rf
2mTorr
::i
.!!. 600

i
.f! " 400
oS

wavelength (nm)

Fig. 15.24. Optical emission spectra of CO (top), C02 (center) or CO/NH3 ECR
discharges (lOOOW source power, 250W rf chuck power, 2mTorr).
632 K.B. Jung, H. Cho, and S.J. Pearton

600 600

-..
....= -..
~
--.e
400 2CO/13NH3 400 ;;>
250Wrf ~

....
rI.l

=
2mTorr ~
~
~ 200 -e- NiFeCo
___ NiFe 200
.=
Cj -0- de bias
Cj
"C
......
~

0 0
0 200 400 600 800 1000

Microwave Power (W)


400 600

-..
....=
S
as ~
200 10CO/5NH3 400
250W rf ~

.~...
2mTorr rI.l

=
......
~

-e-
~
NiFeCo
___ NiFe
0 200
.=
Cj
-0- de bias "C
......
Cj

-200 .............................................................................................L..L-I 0
o 200 400 600 800 1000

Microwave Power (W)


Fig. 15.25. Etch rates of NiFe and NiFeCo in 2CO/13NH3 (top) or lOCO/5NH3
(bottom) discharges (250W rf chuck power, 2mTorr) as a function of microwave
source power.

This would be consistent with the data in the lower part of the figure, where
a transition to net deposition occurs at much lower source powers in CO-rich
discharges.
The role of ion energy on the NiFe and NiFeCo etch rates is shown in
Fig. 15.26. The sum of dc self-bias and plasma potential (,,-,-25eV in this
tool) is the average energy for ions striking the sample [11]. As rf chuck
power is increased the dc self-bias increases, but the etch rates falloff above
particular ion energies ("-'450eV for the 2COj13NH 3 condition and ,,-,400eV
for the lOCOj5NH3 condition). This is often observed in high-density plasma
etching [12], and is usually ascribed to desorption of the reactive neutrals by
15 Dry Etching of Magnetic Materials 633

600 r;:::====::;---------'] 500


- . - NiFeCo
_NiFe
-0- de bias 400

300

200

2CO/13NH 3
750WECR 100
2mTorr
o I-A.-'-.....................................-'-....L.........- ' -.........L....L................. 0
~

o 100 200 300 400

rfPower (W)
400 r;:====~--------, 600
- . - NiFeCo
_NiFe
-0- de bias
200 400 ~
....
~
~
~

o 200 r.J
"C

-200 '--&.-&.-"--"--"--.&-.&-.&-.&-..L-.&-.&-.&-......... 0
o 100 200 300

rfPower (W)
Fig. 15.26. Etch rates of NiFe and NiFeCo in 2CO/13NH 3 (top) or lOCO/5NH 3
(bottom) discharges (750W source power, 2 mTorr) as a function of rf chuck power.

ion-assistance before they can react with the sample surface. In the CO /NH 3
chemistry the high-ion-energy conditions may also lead to dissociation of the
adsorbed CO species, because the process reverts to net deposition under
CO-rich conditions.
Examples of the effect of process pressure are shown in Fig. 15.27 for two
different plasma compositions at fixed source power (750 W), rf chuck power
(250W) and pressure (2mTorr). For NH 3 -rich conditions (top) the etch rates
for Ni and NiFeCo decrease with increasing pressure as recombination in the
plasma becomes more important. For CO-rich conditions (bottom) there is a
transition from deposition to etching, followed by the same decrease in etch
rates at higher pressure. These results emphasize the importance of balancing
634 K.B. Jung, H. Cho, and S.J. Peart on

600 600

.-
.....= 2CO/13NH 3
.-
S 400
750WECR
400 ;;,
~
'-'
250W rf
'-'
I

_ NiFeCo rIl
.....eo:s
~
.eo:s....
~ 200
.c
_NiFe
-0- de bias
200
=
"0
U

.....
u
~

0 0
0 5 10 15 20

Pressure (mTorr)
200 800

.-
.....= 100 .-
as
600
S ;;, I
'-'
rIl
.....
~ 0 400
.eo:s....
~
.c
eo:s

_ NiFeCo
="0
u
u 10CO/5NH 3 200
..... -100
750WECR _NiFe
~ -0- de bias
250W rf
-200 0
0 5 10 15 20

Pressure (mTorr)
Fig. 15.27. Etch rates of NiFe and NiFeCo in 2CO/13NH 3 (top) or lOCO/5NH3
(bottom) discharges (750W source power, 250W rf chuck power) as a function of
process pressure.

ion flux and reactive neutral concentration in order to optimize the NiFe and
NiFeCo etch rates in the CO jNH3 plasma chemistry and may be an additional
reason why most attempts in the past at using CO-based mixtures have been
unsuccessful.
Since there is a still a need to have a strong physical component to the
etching, mask erosion (i.e., faceting leading to sloped sidewalls) is a problem
when long etch times are needed. However, for relatively shallow etch depths,
mask erosion is less of an issue and quite impressive pattern transfer into NiFe
(or NiFeCo) can be achieved with the CO jNH3 mixture. Figure 15.28 shows
15 Dry Etching of Magnetic Materials 635

15KV x7800 1.0).lmUFMSE

Fig. 15.28. SEM micrographs of features


etched into NiFe layers using a 2CO/13NH 3
discharge (750 W source power, 250 W rf
chuck power, 2mTorr). The Si02 mask is
15KV x8600 1.O).lm UFMSE still in place.

SEM micrographs of features etched into NiFe using a 2COj13NH 3 , 750W


source power, 250 W rf chuck power, 2 mTorr discharge. The sidewalls are
smooth and vertical.
A systematic investigation of the dry etching of NiFe and NiFeCo in
CO jNH3 high density plasma has been performed. The etch rates are a strong
function of CO:NH 3 ratio, ion flux and ion energy. These trends may reflect
the need to preserve sufficient CO on the surface of the magnetic materials to
form the carbonyl etch products, but to avoid the formation of carbided lay-
ers which quench the etching process. Under RIE conditions (i.e., zero watts
of source power) there is no measurable etching of the NiFe and NiFeCo,
indicating that the high ion flux and plasma dissociation efficiency afforded
by high density plasma are critical requirements for this plasma chemistry.

15.6 ECR and ICP Etching of NiMnSb

To continue the push to higher areal data recording densities, there is strong
interest in Heusler materials [63], which may allow improved control over spin
currents in magnetic devices. One of the best candidates is the alloy NiMnSb,
which has a high Curie temperature (720 K) and has recently been pro-
duced in high quality thin film form [64- 66]. The implementation of NiMnSb
in read heads or other magnetic recording or non-volatile memory appli-
636 K.B. Jung, H. Cho, and S.J. Peart on

2400 500
NiMnSb •
2000 AI.03

:e 1600 5CH/15H,120Ar
800WECR
400
;;;
:l......, 1200
1.5mTorr ..!,.,
'"
300 .S
f ,Q

-=~ 800
U
~
I'Iil
200
400
~..--- e
0 100
0 100 200 300 400 500
rfpower(W)

15000 1200
AI:z03 (800WECR)eO
NiMnSb (OW ECR). 0
12000 10SFJI0Ar
'i:i' 1.5mTorr
800 ;;;
~ 9000 ..!.-
"S
:c:'"
01
~

.
f
-=....
I'Iil
6000
400
u
~

3000

0 0
0 100 200 300 400 500
rfpower(W)

Fig. 15.29. Etch rates of NiMnSb and Ah03 as a function of microwave source
power in 1.5mTorr, discharges of Ch/Ar at 150W rf chuck power (top) or SF6/Ar
at 250 W rf chuck power (bottom).

cations requires the development of anisotropic pattern transfer processes.


We have previously reported on a comparison of plasma etch chemistries
for NiMnSb/ Ah03 structurefl in electron cyclotron resonance (ECR) dis-
charges.
Faster etch rates than with pure Ar sputtering were obtained for both ma-
terials in CI 2 /Ar discharges, as shown in Fig. 15.29 (top). The enhancement
in NiMnSb etch rates relative to pure Ar under the same conditions ranged
from "-' 10% at low microwave source powers to ,,-,30% at 1000 W, even at lower
ion energies. The etch rates for NiMnSb were up to a factor of two higher
than for Ah03 at high source powers. While etch products such as SbCl5
and AICl 3 are quite volatile, nickel and manganese chlorides have relatively
15 Dry Etching of Magnetic Materials 637

low vapor pressures and require ion assistance to promote their desorption.
The advantage of the high ion fluxes under ECR conditions is two-fold. First,
in strongly bonded materials such as Al 2 0 3, one of the rate-limiting steps
is the ability to initially break bonds in order to allow the etch products to
form. Therefore, at constant etch yield (i.e., atoms of the substrate removed
per unit incident ion), a higher ion flux will produce a higher etch rate. The
second advantage of the ECR discharges is that the high ion flux more ef-
fectively assists etch product desorption. Under more conventional reactive
ion etching conditions this ion-assisted desorption is inefficient, allowing a
thick selvedge or reaction layer of the involatile etch products to form on
the sample surface. This layer shields the surface from further interaction
with the plasma and etching stops. The selectivity for etching NiMnSb over
Al 2 0 3 is 'S2 in Ch/ Ar over the microwave source power range 300 to 800 W.
Qualitatively similar results were obtained with BC1 3/ Ar discharges, with
selectivities of 1 at 600 W microwave power and ",5 at 1000 W source power.
The most efficient etching of NiMnSb was found with the SF 6 / Ar plasma
chemistry. In fact the etch rates were 21.6!lm min -1 even for the lowest mi-
crowave source power at which ECR discharges were stable, namely, 400 W.
The etch rates were impossible to quantify accurately at high powers be-
cause the entire NiMnSb film disappeared in (15sec under these conditions.
By contrast, as shown in Fig. 15.29 (bottom), the etch rates of Ah03 are
(1200 A min-lover the entire range of source powers, leading to selectivities
of NiMnSb over A1 2 0 3 of 220. This is not too surprising given that AIF3
is significantly less volatile than AIC1 3, reducing the etch rate of Ab03 in
fluorine-based plasma chemistries relative to that in chlorine-based chemis-
tries.
Besides ion flux, the other critical parameter in etching strongly bonded
materials is ion energy. This is controlled by the rf power applied to the sam-
ple chuck. At fixed microwave source power, the ion energy is increased in
a roughly linear fashion by increasing the chuck power. Figure 15.30 (top)
shows the etch rates of both materials in CH 4 /H 2 / Ar discharges as a func-
tion of rf chuck power. The selectivities are in the range three to four for
NiMnSb over A1 2 0 3 over the range of conditions investigated. At higher dc
self-biases the etch rates are expected to increase because of more efficient
bond breaking initially in the materials at higher incident ion energies, and
the higher sputter yields of the etch products. Similar trends are observed
for SF 6 / Ar discharges, as shown at the bottom of Fig. 15.30. In this case
we used a relatively high microwave source power for Ab03 etching, but did
not power the ECR source for NiMnSb experiments because the rates were
unmeasurably high as discussed earlier. This is also reflected in the higher dc
se1£-biases at 0 W ECR power relative to those at 800 W. The near surface
stoichiometry remained reasonably good under these conditions, and r.m.s.
roughnesses similar to as-grown samples were obtained.
638 K.B. Jung, H. Cho, and S.J. Pearton

6000 r-.....,....,,.......,....,..~""""'?"'=,,,,,,,,.-T""T""r--r'""'l
NiMnSb •
AI,03 •
400
lOCI,/5Ar
150W rf
:5 4000
>'
~ 300 -!..-
'"01
~ :c
..c U
Q
~ 2000
200

0 100
0 200 400 600 800 1000
"wave power (W)

2000 500

1600 400
----
c
] 1200 300 >'
"$ -!..-
'"
~
~ :c'"
-
E
-=.., 800
Al z0 3
200 U
Q
~
IOSF/IOAr
400 250W rf 100
1.5mTorr

0 0
400 600 800 1000
/-lwave power (W)

Fig. 15.30. Etch rates of NiMnSb and Ab03 as a function of rf chuck power
in 1.5 mTorr discharges of CH4/H2/ Ar at 800 W microwave source power (top)
or SF 6 /Ar at either 800W (Ab03) or OW (NiMnSb) microwave source power
(bottom).

Plasma chemistries based on SF6 , NF3 or CH"t/H 2 were investigated


for inductively coupled plasma etching of NiMnSb I leusler alloy thin films.
The resulting etch rates were a strong function of ion energy, ion flux and
plasma composition. In SF 6 / Ar discharges, the etch rates increased from
100-300 A min- 1 under conventional reactive ion etch conditions to
>10000Amin- 1 with addition of even relatively small amounts (200W) of
ICP source power. The etched surface becomes enriched in Mn under these
conditions. In NF 3/ Ar discharges the etch rates actually decrease as ICP
source power is increased, leading to net deposition at either high NF3 per-
15 Dry Etching of Magnetic Materials 639

centages or high ICP powers. The etched surface is also enriched in Mn under
these conditions, with a Sb-deficient region underneath. In CH 4 /Hd Ar dis-
charges, the etch rates are slower than with pure Ar and show no evidence of
a chemical component in the etch mechanism. Since the etch rates are so high
in ICP SF 6 / Ar discharges, it is necessary to pay careful attention to removal
of the native oxide on NiMnSb, which prevents etching while it is present,
and thus may cause extremely rough surfaces if not uniformly removed.
It quickly became apparent to us that the etch depths were nonlinear
with time because of an incubation time for the etching to commence. This
would manifest itself in the observation that for 1 min etch times in F2-based
plasma chemistries the etch depths were very small, but that after 2 min etch
times the entire NiMnSb film had been removed. This effect was traced to
the presence of a relatively thick native oxide that forms on the NiMnSb, and
was eliminated by performing an in situ, presputter with an Ar plasma for
1 min prior to initiating the SF 6 or NF3 plasmas.
A comparison of NF 3/ Ar and SF 6 / Ar chemistries showed the behavior
was quite different for the two mixtures. In SF6 /Ar, if we operated under
conventional reactive ion etching conditions (i.e., OW ICP source power),
the etch rates were low (~100 A min-I) and depended only weakly on plasma
composition. By sharp contrast, if even relatively low ICP source powers were
employed, the etch rates were unmeasurably fast (>I~mmin-l). Indeed the
entire NiMnSb film would be removed in a few tens of seconds, and rates
could not be accurately measured because the plasma is still tuning for 6-8 s
after ignition. In NF 3/ Ar discharges, however, the rates initially increased
slightly with increasing NF 3 percentage, but then reverted to net deposition
on the surface rather than etching. The deposition rate appeared to be a
maximum at intermediate NF 3 compositions. As will be seen later in the
section in surface analysis, there appears to be strong preferential loss of
Ni and Sb under these conditions, and oxidation of the remaining Mn-rich
surface once the sample is exposed to air upon removal from the etch reactor.
We do not have the capability to do in situ analysis.
AFM analysis showed r.m.s. values of 2.5-4.1 nm for samples etched in
SF 6 / Ar and 1.4-5.9 nm for those processed in NF 3/ Ar. AES showed the pres-
ence of a strong F peak and a thick oxide on samples etched under RIE con-
ditions. There was a strong Mn enrichment at the surface, extending rv 100 A,
with the uppermost surface of this Mn-rich layer being strongly oxidized.
If one examines the boiling points of the fluorinated etch products for
NiMnSb, there is a large difference between MnF 2 (which decomposes above
856°C), NiF2 (which sublimes at rvlOOO°C) and SbF2 and SbF 5 (which either
boil at 150°C, or sublime at 319°C, respectively) and thus one would expect
Sb deficiency on surfaces etched in F-based mixtures. However, we see that
both Ni and Sb are readily volatilized and desorption of the MnFx products
is a rate-limiting step.
640 K.B. Jung, H. Cho, and S.J. Peart on

In the case of NF 3/ Ar etching there is indeed the expected deficiency of


Sb in addition to the near-surface Mn enrichment, and subsequent oxidation.
There is also a large residual F signal, but again these species are limited
to the immediate surface. Our experience is that NF3 ICP discharges have
a higher density of atomic fluorine than do SF6 discharges under the same
conditions of pressure, flow rate and source power because of the weaker
bond strength in NF 3. In this chemistry, therefore, there may be an initially
higher degree of chemical etching that produces the Sb deficiency. The etching
probably stops and even reverts to deposition when the Mn enrichment of the
surface advances beyond a certain point. Strong evidence for this mechanism
comes from the AES data, where the NiMnSb was exposed to an NF 3/ Ar
discharge under conditions where there is net deposition. The surface is cov-
ered with oxidized Mn, with an underlying Sb deficiency in the remaining
film. This mechanism was absent in films exposed to CH 4 /H 2 / Ar discharges,
which we found previously primarily etch by physical sputtering and have
little or no chemical contribution to the materials removal. In these samples
we did not observe preferential loss of Sb, or enrichment of the surface with
Mn, with the surfaces basically retaining their original stoichiometries.

15.7 Dry Etching of LaCaMnOx and SmCo

New interest in the design of magnetic sensors, magnetic memories, and other
devices based on magnetic and magnetoresistive materials has been initiated
by the discovery of multilayered "giant magnetoresistive" (GMR) materials
[69J and more recently by the study of La-manganite perovskite "collosal
magnetoresistive" (CMR) materials [70J. In both cases the implementation
of practical microelectronic devices requires the development and control of
etching and patterning procedures which do not degrade the magnetic prop-
erties of the materials. Generally, the magnetic field response of magnetic
thin-film materials is highly sensitive to their microstructural and interfa-
cial properties. In the case of La-manganite materials, another limitation at
present is that the observed field-induced resistivity transition is most sensi-
tive above magnetic fields of about 1 T. Thus, the necessary bias field is too
large to be produced by an electrical current within the device, as is done for
typical low-field magnetoresistive sensors. Consequently it may be necessary
to provide a fixed, built-in bias field within the device, from hard magnet
materials such as SmCo. In that case, etch and patterning recipies must also
be developed for such materials. In this section we report on the Ar-based
plasma etching of LaCaMn03 and SmCo-based materials which may be used
as the basis for CMR-device structures, and on the affect of different plasma
chemistries, namely SF 6 , CH 4 /H 2 , and Ch additions, on the etch character-
istics.
Figure 15.31 shows the dependence of LaCaMn03 etch rate on dc self-bias
on the sample chuck for the four different plasma chemistries. Note that the
15 Dry Etching of Magnetic Materials 641

2000.-------------------------~
_l5Ar
_ _ lOSF/5Ar
1600 ---A- 2.5CH/7.5H/5Ar
---.- lOC~/5Ar
LaCaMn03
lOOOWECR
1.5mTorr

400

o 100 200 300 400


DC V oltage (-V)
Fig. 15.31. Etch rate of LaCaMn03 in various plasma chemistries as a function of
chuck self-bias. The ECR source power was held constant at 1000 W.

results for Chi Ar basically follow th08e for pure sputtering (Ar), indicating
that the La, Ca and Mn chlorines are not particularly volatile even at the high
ion fluxes (~10 15 ions cm -2 8- 1 ) available in the ECR tool. In other words,
the etching is limited by the sputter yield at each ion energy; to increase
the volatility of the chloride etch products it would be necessary to increase
the substrate temperature. Thi8 is generally not an attractive option from
a practical viewpoint because of the limitation it places on mask materials
and the requirement for reproducible thermal contact for each sample. The
results for the SF 6 / Ar and CH 4 /H 2 / Ar plasma chemistries show that the
etch products for these are even less volatile and the etching is most probably
retarded by formation of a selvedge or reaction layer with these chemistries.
There was a substantial degree of chemical enhancement observed for the
etching of SmCo in Cld Ar chemistries, as shown in Fig. 15.32. The etch
rate is approximately a factor of 10 to 12 higher than for pure Ar up to dc
self-biases of ~ -217 V; at higher biases the etch rate with Chi Ar saturates
and then decreases. The self-bias corresponds fairly closely to the acceleration
voltage experienced by ions impinging on the sample. As this voltage increases
so does the average ion energy. Up to a particular energy, the etch rate is
increased by the higher sputtering efficiency that more effectively desorbs the
etch products. However above this energy (in these experiments ",250 e V) the
ions are able to desorb the chlorine radicals before they are able to react with
the SmCo and hence the etch rate decreases. The SF 6 / Ar plasma chemistry
provides etch rates faster than pure sputtering at biases up to '" -200 V, but
shows a dependence on bias that is less than that for Ar at higher values.
642 K.B. Jung, H. Cho, and S.J. Pearton

105 .----------------------------,
-+-lSAr
____ lOSF/SAr
-4- lOC.ySAr

SmCo
lOOOWECR
l.SmTorr

o 100 200 300 400


DC Voltage (-V)
Fig. 15.32. Etch rate of SmCo-based films in various plasma chemistries as a func-
tion of chuck self-bias. The ECR source power was held constant at lOOOW.

Since the etching for both LaCaMn03 and SmCo is a strong function
of ion energy, we would expect a fairly anisotropic pattern transfer. Fig-
ure 15.33 shows SEM micrographs of features etched into both materials
using a Ch/Ar plasma at -200V dc self-bias and an Si0 2 mask which was
subsequently removed. The etched surfaces under these conditions are essen-
tially as smooth as the unetched regions and the sidewalls are reasonably
vertical. The striations on the sidewall are typically observed on dry-etched
features and originate from roughness on the edges of the original photoresist
mask that was used to transfer the pattern into the Si0 2 .
An additional important consideration is selection of a mask material
for the etching process. Photoresist is typically not suitable for high-density
plasma processes because the high ion currents lead to reticulation and loss
of dimensional stability. Figure 15.34 shows Chi Ar etch selectivity for both
SmCo and LaCaMn03 over the dielectrics Si0 2 and SiNx. The dielectrics
were deposited by plasma-enhanced chemical vapor deposition at 250°C using
SiH4/0 2 and SiH4/NH3, respectively. Since there is basically no chemical
enhancement for etching LaCaMn03, there is also no selectivity over the
dielectrics. This is a severe limitation if one needed to pattern deep features
into LaCaMn03 because the mask thickness would need to be at least as
thick as the required etch depth. For SmCo however, the etch selectivity is
",4 at low rf chuck powers and increases initially as this power is increased
because the etch rate of the magnetic material rises faster than that of the
dielectrics. At higher powers the selectivity decreases because of the fall-off
in etch rate of the SmCo (Fig. 15.32), and the fact that the dielectric etch
rate continues to increase as ion energy is increased. Therefore, the modest
15 Dry Etching of Magnetic Materials 643

Fig. 15.33. SEM micrographs of fea-


tures etched into LaCaMn03 (top)
and SmCo-based films (bottom) us-
ing a Chi Ar plasma. The Si02 masks
have been removed.

20,---------------------------------~

IOC~/5Ar _ SmCo/Si02
_ SmCo/SiN x
IOOOWECR
16 1.5mTorr __ LaCaMnO/Si02
____ LaCaMnO/SiNx

o 100 200 300 400 500

DC Voltage(-V)
Fig. 15.34. Selectivity for etching SmCo-based films or LaCaMn03 over either
Si02 or SiNx mask material as a function of chuck self-bias .
644 K.B. Jung, H. Cho, and S.J. Pearton

chuck self-bias region is advantageous from the viewpoint of higher etch rates
and selectivity with respect to the mask materials.
To investigate the potential impact of dry etching on SmCo films, mag-
netic hysteresis loops were obtained with the field applied both parallel and
perpendicular to the film plane, before and after etching under various plasma
conditions. The films investigated, with thicknesses of about 10 Ilm, displayed
a range of coercive fields Hc(parallel) ~ 3.3-9.8 kOe and Hc(perpendicular)
~ 4.0-7.3kOe, and were exposed for 1 min to pure Argon plasmas with source
powers up to 1500 Wand rf chuck powers up to 450 W. Within our experi-
mental error (±5%), no changes in magnetic properties were detected after
etching, indicating that there was no gross damage produced by the etch
process.

15.8 Summary and Conclusions

Effective etching of magnetic materials such as the NiFe/Co/Cu/NiFeCo,


NiMnSb and LaCaMnO x films used in recording heads, sensors and mag-
netic memories can be produced by high density plasmas. In general, there
is little chemical enhancement in the etch process, and ion-enhanced sput-
ter desorption of the etch products is necessary to achieve practical removal
rates. We have found little effect of plasma exposure on the magnetic proper-
ties of etched magnetic elements, although it has been found that very high
ion energies (lkV) can severely degrade the coercivity of submicron MRAM
elements. The low ion energies in high-density plasma tools is a clear advan-
tage, as is the ability to avoid high temperatures during the etch step.

Acknowledgements. The work was partially supported by a DOD MURI


monitored by Air Force Office of Scientific Research (H. C. DeLong), con-
tract No. F49620-96-1-0026, a DARPA subcontract through Honeywell (ONR
Grant No. N00014-96-C-2114) and a DARPA subcontract through Florida
State University (ONR Grant No. NOOOI4-96-1-0767). The DARPA subcon-
tracts were both monitored by S. Wolf.

References
1. C.H. Tsang, RE. Fontana, Jr., T. Lin, D.E. Heim, B.A. Gurney, and M.L.
Williams, IBM J. Res. Dev. 42 103 (1998).
2. C.H. Tsang, J. Appl. Phys. 69, 5393 (1991).
3. R White, IEEE Trans. Magn. 28, 2482 {1992}.
4. J.M. Daughton, P. Bade, M. Jenson, and M. Rahmati, IEEE Trans Magn. 28,
2488 (1992).
5. D.E. Heim, RE. Fontana, Jr., C.H. Tsang, V. Speriosu, B.A. Gurney, and M.L.
Williams, IEEE Trans. Magn. 30, 316 (1994).
6. M. Parker, K. Coffrey, J. Howard, C.H. Tsang, R.E. Fontana, Jr. and T. Hylton,
IEEE Trans. Magn. 32, 142 (1996).
15 Dry Etching of Magnetic Materials 645

7. R.E. Fontana, S. MacDonald, C.H. Tsang, and T. Lin, IEEE Trans. Magn. 32,
3440 (1996).
8. G.A. Prinz, in Ultra-Thin Magnetic Structures II, (eds. B. Heinrich and J.A.C.
Bland) (Springer-Verlag, Berlin, 1994).
9. B.A. Everitt, A.V. Pohm, and J.M. Daughton, J. Appl. Phys. 81, 23639 (1997).
10. S. Wang, F. Liu, K.D. Maranowski, and M.H. Kryder, IEEE Trans. Magn. 26,
1689 (1989).
11. S. Wang, E. Louis, F. Wolfson, R. Anderson, and M.H. Kryder, IEEE Trans.
Magn. 30, 3897 (1994).
12. H. Takano, H. Fukuoka, M. Suzuki, K. Shiiki, and M. Kitadu, IEEE Trans.
Magn. 27,4678 (1991).
13. F.C.M.J. van Delft, J. Magn. Magn. Mater. 140-144, 2203 (1995).
14. J.K. Lee, and P.I. Bonyhued, IEEE Trans. Magn. 26, 2475 (1990).
15. G.S. Oehrlein, Surf. Sci. 386, 222 (1997).
16. H.F. Winters, and J.W. Coburn, Surf. Sci. Rep. 14, 161 (1992).
17. R.A. Gottscho, C.W. Jurgenson, and D.J. Vitkavage, J. Vac. Sci. Technol. B 10,
2133 (1992)
18. J.C. Arnold, H.H. Suwin, M. Dalvie, and S. Hamaguchi, J. Vac. Sci. Technol.
A 12, 620 (1994)
19. H. Gokan, and S. Esho, J. Vac. Sci. Technol. 18, 23 (1981).
20. R.E. Lee, .J. Vac. Sci. Technol. 16, 164 (1979)
21. T. Tadokoro, F. Koyama, and K. Iga, J. Vac. Sci. Technol. B 7, 1111 (1989)
22. W.P. Jayasekara, J. Grant, J.A. Bain, A.E.T. Kuiper, and M.H. Kryder, IEEE
Trans. Magn. 33, 2830 (1997).
23. N. Fukushima, H. Katai, T. Wada, and Y. Horiike, Jap. J. Appl. Phys. 35,
2512 (1996).
24. I. Nakatani, IEEE Trans. Magn. 32, 4448 (1996).
25. K. Kinoshita, K. Yamada and H. Matutera, IEEE Trans. Magn. 27, 4888
(1991) .
26. K.B. Jung, E.S. Lambers, J.R. Childress, S.J. Pearton, M. Jenson, and A.T.
Hurst, Jr., J. Vac. Sci. Technol. A 16 1697 (1998).
27. K.B. Jung, E.S. Lambers, J.R. Childress, S.J. Pearton, M. Jenson, and A.T.
Hurst, Jr., Appl. Phys. Lett. 71, 1255 (1997).
28. M.J. Vasile and C.J. Mogab, J. Vac. Sci. Technol. A4, 1841 (1986).
29. J.W. Lee, J. Hong, and S.J. Pearton, Appl. Phys. Lett. 68, 847 (1996).
30. S.J. Pearton, T. Nakano, and R.A. Gottscho, J. Appl. Phys. 69, 4206 (1991).
31. S.J. Peart on , J.W. Lee, E.S. Lambers, J.R. Mileham, C.R. Abernathy, F. Ren,
W.S. Hobson, and R.J. Shul, J. Vac. Sci. Technol. B 14, 118 (1996).
32. F. Ren, W.S. Hobson, J.R. Lothian, J. Lopata, J.A. Caballero, S.J. Pearton,
and M.W. Cole, Appl. Phys. Lett. 67, 2497 (1995).
33. K.B. Jung, J.W. Lee, Y.D. Park, J.R. Childress, S.J. Pearton, M. Jenson, and
A.T. Hurst, Jr., J. Electron. Mater. 26, 1310 (1997).
34. S.P. Muraka and M.C. Peckerar, Electronic Materials Science and Technology,
(Academic Press, Boston, MA, 1989).
35. See, for example, Special Issue on Cu-based metallizations and interconnects for
ULSI applications, T.L. Alford, J. Li, J.W. Mayer, and S.-Q. Wang, Editors,
Thin Solid Films 262 (1995); Copper Metallization, MRS Bull. 18 (1993);
Copper Metallization in Industry, MRS Bull. 19 (1994).
36. P.L. Pai and C.H. Ting, IEEE Electron Device Lett. EDL-10, 42:1 (1989).
646 KB. Jung, H. Cho, and S.J. Pearton

37. A. Jain, A.V. Gelatos, T.T. Kodas, M.J. Hampden-Smith, R Marsh, and C.
J. Mogab, Thin Solid Films 262, 52 (1995).
38. N. Awaya and Y. Arita, J. Electron. Mater. 21,959 (1992).
39. H.-K Kang, J.S.H. Cho, and S.S. Wang, IEEE Electron Device Lett. EDL-13,
448 (1992).
40. Y. Shacham-Diamand, N. Quaid, A. Dedhia, and M. Angyl, J. Vac. Sci. Tech-
nol. B 10, 2958 (1992).
41. C. Apblett and P.J. Ficalora, J. Appl. Phys. 69, 4431 (1991).
42. RJ. Gutmann, T.P. Chow, A.E. Kaloyeros, W.A. Lanford, and S.P. Murarka,
Thin Solid Films 262, 177 (1995).
43. Y. Igarashi, T. Yamanobe, T. Yamaji, S. Nishikawa, and T. Ito, Jpn. J. Appl.
Phys. 33, 463 (1994).
44. J. Li and A. Kaloyeros, in Advanced Metallization for ULSI Applications in
1993, (eds. D.P. Favreau, Y. Shacham-Diamand, and Y. Horike), (IEEE, NY,
1994), p. 599.
45. E.G. Colgan and J.D. Chapple-Sokol, J. Vac. Sci. Techonol. B 10, 1156 (1992).
46. J.M.E. Harper, E.G. Colgan, C.-K, Hu, J.P. Hummel, L.P. Buchwalter, and
L.E. Uzoh, MRS Bull. 19, 23 (1994).
47. A.V. Gelatos, R Marsh, M. Kottke, and C.J. Mogab, Appl. Phys. Lett. 63,
2842 (1993).
48. S. Wang, F. Liu, KD. Maranewski, and M.H. Kryder, IEEE Trans. Magn. 26,
1689 (1989).
49. C. Tsang, M. Chen, T. Yogi, and K Ju, IEEE Trans. Magn. 30, 281 (1994).
50. K Ohno, M. Sato, and Y. Arita, J. Electrochem. Soc. 143, 4089 (1996).
51. G.C. Schwartz, and P.M. Schaible, J. Electrochem. Soc. 130, 1777 (1983).
52. K Ohno, M. Sato, and Y.Y. Arita, Jpn. J. Appl. Phys. 28, 1070 (1989).
53. S.-K Lee, S.-S. Chun, C.Y. Hwang, and W.-J. Lee, Jpn. J. Appl. Phys. 36, 50
(1997).
54. B.J. Howard, and C.H. Steinbruchel, Appl. Phys. Lett. 59, 914 (1991).
55. W. Sesselman, E.E. Marinero, and T.J. Chuang, Appl. Phys. 41, 209 (1986).
56. Y. Ohshita and N. Hosoi, Thin Solid Films 262, 67 (1995).
57. H.F. Winters, J. Vac. Sci. Technol. A3, 786 (1985).
58. P.J. Goddard and RM. Lambert, Surf. Sci. 67, 180 (1977).
59. M.J. Hampden-Smith and T.V. Kodas, MRS Bull. 18, 39 (1993).
60. See, for example, the following patents: W.D. Grobman, E. Ho, J.E. Hurst,
Jr., J.J. Ritsko, and Y. Tomkiewicz, U.S. Pat. 4,628,095 (1986); L. Chen, T.J.
Chuang and G.S. Mathad, U.S. Pat. 4,490,260 (1984).
61. Principles of Plasma Discharges and Materials Processing, M.A. Lieberman
and A.J. Lichtenberg (Wiley, New York, 1994).
62. S.J. Pearton, T. Nakano, and RA. Gottscho, J. Appl. Phys. 69, 4206 (1991).
63. M.J. Otto, RA.M. van Woerden, P.J. van der Valk, J. Wingaard, C.F. van
Bruggen, C. Hass, and KH.J. Buschow, J. Phys.: Condo Matter 1, 2341 (1989).
64. J.S. Moodera, L.R Kinder, T.M. Wong, and R Meservey, Phys. Rev. Lett. 74,
3273 (1995).
65. J.F. Bobo, P.R Johnson, M. Kautzky, F.B. Mancoff, E. TImcel, RL. White,
and B.M. Clemens, J. Appl. Phys. 81, 4146 (1970).
66. J.A. Caballero, F. Petroff, Y.D. Park, A. Cabbibo, R Morel, and J.R. Childress,
J. Appl. Phys. 81, 2740 (1997).
67. J. Hong, J.A. Caballero, W. Geerts, R Childress, and S.J. Pearton, J. Elec-
trochem. Soc. 144, 3602 (1997).
15 Dry Etching of Magnetic Materials 647

68. J. Hong, J.A. Caballero, E.S. Lambers, R. Childress, and S.J. Pearton, J. Vac.
Sci. Technol. A 16, 2153 (1998).
69. M.N. Baibich, J.M. Broto, A. Fert, F. Nguyen Van Dau, F. Petroff, P. Etienne,
G. Creuset, A. Friederich, and J. Chazelas, Phys. Rev. Lett. 66, 2472 (1988).
70. R. von Helmolt, J. Wecker, B. Holzapfel, L. Schultz, and K. Samwer, Phys.
Rev. Lett. 71, 2331 (1993).
Subject Index

absolute density calibration 196 carbided layers 635


accelerometers 446 carbonyl 609
accumulation 482 cathode rf-power 446
actinometry 183 cavity resonance techniques 171
activation energies 563 cavity ring down spectroscopy 189
actuator 436 chamber 245
actuators 607 charging damage 257
advanced packaging 453 chemical dry etching 1
AI-CI 20 chemical reactions 71
AIGalnAs 529 chemical sensing devices 448
aluminum nitride 249 chemical vapor deposition 575
ampipolar diffusion coefficient 53 chemically assisted ion beam
anisotropic 138 etching 459
anisotropy 18 chemically-assisted ion beam
annealing 600 etching 1
anodization 154 chemisorption 16
anti reflection gratings 524 chemistry modeling 69
aspect ratio dependent etching 430 chlorine 210
atomic absorption 185 chrome 364
Auger electron spectroscopy 315 coercive fields 644
collimating magnets 464
backscattering 3 collision cross sections 41
backside process 551 collosal magnetoresistive materials
base-collector breakdown voltage 589 640
beam neutralization 512 computer processing systems 607
bias level 229 computer simulations 69
bimodality 261 contact printing 361
Bohm sheath criterion 151 contrast 375
boiling points 618 crystalline defects 309
Boltzmann equation 124 current density 514
Boltzmann relation 34 current injection 295
bulk resonators 446
damage 313
capacitance 419 damage depth 319
capacitance-voltage 313 damage formation 319
capacitive RF-potential probes 162 data processing systems 607
capacitive sources 58, 511 data storage 607
capacitively coupled 2 dc bias 59
650 Subject Index

Debye length 57 etch inhibitor 440


Debye shielding 35 etch mask 469
Debye shielding length 207 etch morphologies 440
demounting 563 etch selectivity 370, 440
deposition rate 599 etch stops 206
depth of focus 361 etch temperature 333
desorption rates 208 etch-induced damage 319
device charging 309 etch-stop 84
device current gain 589 etched wires 339
diagnostic techniques 145 extreme ultraviolet lithography 363,
diamond 249 407
dielectric 258, 575
dielectric properties 55 fabrication 419
dimensional stability 614 failure threshold 295
diode laser facets 519 Fermi levels 321
diode lasers 507 fiber optic probe 558
diode systems 5 field effect transistor 549
direct tunneling 274 field emitter array 433
discrete components 419 field-free region 511
dislocations 309 flexural plate wave sensor 446
Doppler shift 193 floating substrate 293
double probes 153 fluid dynamics simulations 69
downstream-etch chamber 109 fluorine chemistries 472
dry etching 344 focused ion beam 29
dual frequency planar triode Fourier transform infrared (FTIR)
systems 9 Spectroscopy 189
Fowler-Nordheim tunneling 274
ECR 131
edge roughness 375 GaAs 335, 459
electric 56 gallium nitride 249
electrical damage 460 GaN 459,530
electrical diagnostics 146 GaP 530
electrical properties 309 gas additive 615
electrode 2 gas-phase collisions 229
electron beam writing system 361 gas-source MBE 578
electron cyclotron resonance 420 GaSb 529
electron shading 258, 264 gate oxide degradation 290
electron temperature 152 gate sidewall 268
electron tunneling 273 Gaussian lineshape 188
electron-impact reactions 86, 89 giant magnetoresistance 620
electronegative plasmas 159 global-warming 103
electrostatic coupling 511 glow discharges 1
electrostatic sector energy analyzers graphite 460
173 gratings 478
emitter-base breakdown voltage 589 grid design 514
endpoint detection 535 gridded energy analyzers 172
energy band diagram 320 grounded substrate 293
equilibrium vapor pressure 235
equipotential 268 helicon sources 62
Subject Index 651

heterojunction bipolar transistor 575 ion milling 459


heterojunction bipolar transistors 350 ion neutralization 109
high density sources 59 ion projection lithography 363, 411
high electron mobility transistors 576 ion reactions 89
high-density plasmas 420 ion-beam-assisted etching 508
High-electron-mobility transistors 523 ion-energy analyzers 171
high-input-impedance requirements ion-to-neutral ratio 613
161 ionizing collision 511
hollow cathode 460 ions 12
hydrogen passivation 575 isotropic 138

I-V characteristics 153 Kaufman sources 508


ICP 131
ideality factor 585 Langmuir probes 130, 147
III-V semiconductor 459 Langmuir-probe measurements 111
image-reversal lithography 565 laser-induced fluorescence 190
improved ion-collection theory 156 lateral Si etching 440
impurities 309 lattice damage 489
in situ chlorine species 347 linewidth 375
in situ diagnostics 569 linewidth control 549
in situ fiber optic thermometry 557 local thermodynamic equilibrium 40
Ino.53G8{).47As 335
InAsSb 529 magnetic disks 607
incubation time 639 magnetic fields 56
indium nitride 249 magnetic random access memories
inductive sources 60 607
inductively coupled plasma 420 magnetic recording systems 607
inductively coupled plasma (ICP) 57 magnetic sensors 607
inductively coupled plasmas 9 magnetic-field probes 162
inelastic scattering dynamics 272 magnetically enhanced reactive-ion-
inert gases 511 etchers 37
InGaAsP 533 mask 84
InP 219, 459, 533 mask erosion 469
InSb 529 mask etching 286
insulator 268 masking materials 466
integrated circuit 1, 419 mass spectrometric characterization
interconnection lines 620 205
interferometers 168 matching network 59
interstitials 309 materials processing applications 33
ion angular trajectories 514 Maxwell-Boltzmann distribution 74
ion beam bombardment 485 Maxwell-Boltzmann statistics 34
ion beam etching 459 memories 257
ion beam processing 29 mercury cadmium telluride 232
ion beam uniformity 511 MERIE 216
ion bombardment 3, 575 metal-semiconductor field-effect
Ion Energy 329 transistors 575
ion energy distribution function 283 micro channel 452
ion energy flux 18 micro electromechanical systems 419
ion flux 326 microheaters 446
652 Subject Index

micromasking 428 perfluorinated compounds 104


microprocessors 257 perturbation of plasma by probes 155
microroughness 3 phase shift mask technology 379
microtrenches 286 photo conduction 263
microtrenching 84, 285 photo enhanced reactive ion etching
microvalves 446 489
microwave diagnostic techniques 167 photomask 361
microwave power 229, 511 photonic lattices 523
modulators 507 photoresist 519
molecular absorption 187 physical sputtering 460
molecular beam epitaxy 578 planar antennas 258
molecular beam mass spectrometric planar triode 8
measurements 208 plasma 33
molybdenum 460 plasma ashing 1
monolithic millimeter-wave integrated plasma electrons 268
circuits 549 plasma etching equipment 4
Monte Carlo 81, 124 plasma parameters 319
MOS capacitor 310 plasma physics 33
MoSi 372 plasma potential 150
multilayer 223 plasma power 507
multilayer resist 466 plasma process-induced charging
damage 257
narrow grooves 285 plasma processing 29
negative ion photodetachment 197 plasma transport 51
neutral flux 18 plasma uniformity 155
neutral reactions 91 polymer deposition 24
NiMnSb 635 polymethylmethacrylate 520
non-maxwellian distribution functions post-etch corrosion 624
157 probe potential 152
nonselective 536 probe tip 149
notching 84 process conditions 482
notching effect 268 product desorption 611
numerical heat transfer simulations 69 product molecule 16
projection electron lithography 363
one-turn coil 137 properties 92
optical elements 523 pulsed laser absorption 189
optical emission 177 pulsed lasers 193
optical endpoint detection 184
optical gratings 507 quantum-mechanical tunneling 263
optical lithography 362
optical properties 309 radical beam ion beam etching 460
optogalvanic spectroscopy 198 rapid thermal annealing 333
overcut 461 rate-limiting step 462, 493
oxide electric field 258 reactant adsorption 16
oxide etching 313 reaction probabilities 208
reaction products 3, 472
passivation 353 reactive ion beam etching 1, 460
pattern transfer 84 reactive ion etching 1
pattern-dependent charging 260 reactive ion etching lag 282
Subject Index 653

reactive scattering dynamics 272 surface damage 309


reactive sputter etching 1 surface micromachining 419
reactivity 515 surface roughness 309
Reactor Emissions 103 surface temperature 27
reactor walls 22 switches 507
recombination 25
recombination rates 208 tantalum 388
reference electrodes 153 TCAD environment 123
refractory masks 388 test structure 428
resist 361 thermal annealing 344
resolution 361 thermal characteristics 395
ridge optical waveguide devices 507 thermal wave spectroscopy 315
RIE 216 thermodynamic 92
thermodynamic evolution 235
SCALPEL 402 Thomson scattering 199
Schottky diodes 310 threshold energy 41
secondary emission 159 time-resolved optical reflectance 536
selective etching 206 total pressure 229
selvedge layer 623 transducers 607
semiconductor manufacturing 11 transition region 152
sensors 164 transmission electron microscopy
SF6 127 (TEM) 315
sheath sizes 155 transmission line measurements 350
sheath voltage 303 transport of ions in matter 324
sheath voltages 463 trench bottom 268
sheaths 45 trenches 84
Si 213 Tungsten 388
SbN4 213 tuning range 511
sidewall passivation 475 tunneling current transients 292
sidewall polymer 426, 440 two-step etching 344
sidewall roughness 468, 526
silicon carbide 249 undercut 549
silicon oxide 472 undercutting 375
silicon/fluorine 209
vacancies 309
single particle motion 36
velocity distributions 43
single photon ionization-time of flight
via holes 549
mass spectrometry 217
vias 84
Si02 213
volatility 515
skin depth 163
sputter yield 510 wafer heating 557
stacking faults 309 water vapor 486
step coverage 84 wave generated plasmas 9
Stephan-Boltzman equation 40 wave sources 63
sticking probability 16 wet etching 344
stoichiometry 327
stress effects 275 x-ray lithography 383
substrate 245
surface analysis 315 ZnS 530
surface charge dissipation 274 ZnSe 237,530
Loca1ion : -&. hUp :/ /www .springer .de/phys/

You are one click away


from a world of physics information!
Come and visit Springer's
Physics Online Library
Books
• Search the Springer website catalogue
• Subscribe to our free alerting service (or new books
• Look through the book series profiles
You want to order? Email to: orders@springer.de

Journals
• Get abstracts, ToCs free of charge to everyone
• Use our powerful search engine LINK Search
• Subscribe to our free alerting service LINK Alert
• Read full-text articles (available only to subscribers
of the paper version of a journal)
You want to subscribe? Email to:subscriptions@springer.de

Electronic Media
• Get more information on our software and CD-ROMs
You have a question on
an electronic product? Email to:helpdesk-em@springer.de

: •••••••••••• Bookmark now:

hww.w. nger.de/phys/
Sprinter. Customer Senict
Habe-tnt.'· D-69126 Hfoklof.lbfrg. Glnnlny
Springer
T" : +-49 6221 l45200 ' fu: H96221 lOOI86
d&p ' 607a1MI-'TISF . Chi.

You might also like