You are on page 1of 84

DIGITALNA TEHNIKA

Digitalna tehnika
PREKIDAČKA ALGEBRA
Prekidačke funkcije definišu se pomoću tri osnovne operacije: sabiranje, množenje i
komplementiranje.

Postulati:
SABIRANJE MNOŽENJE KOMPLEMENTIRANJE
_
0+0=0 0∙ 0 = 0 0=1
0+1=1 0∙ 1 = 0 _
1+0=1 1∙ 0 = 0 1=0
1+1=1 1∙ 1 = 1

Pravila sa konstantnim vrijednostima

A+0=A A· 0=0
A+1=1 A· 1=A

Pravila sa ponovljenim vrijednostima

A+A=A A·A=A

Pravila sa komplementarnim vrijednostima

A A = 1 A  A =0

Pravila sa dvostruko negiranim vrijednostima

A A

Zakon komutacije

A+B=B+A
A· B=B· A

Zakon asocijacije

A+(B+C)=(A+B)+C
A· (B· C)=(A· B) · C

Zakon distribucije

A·(B+C)=A·B+A·C
A+B·C=(A+B)·(A+C)

Zakon apsorpcije

A+A·B=A

A  A B  A  B

1
Digitalna tehnika
DE MORGANOVE (de Morgan ) teoreme o invertovanju:

A  B  A B
A B  A  B

LOGIČKE OPERACIJE I LOGIČKA KOLA


U prekidačkoj algebri primjenjuju se tri osnovne logičke operacije: sabiranje, množenje i
komplementiranje.

Operacije ILI, I, NE

ILI operacija označava se simbolom „+“ koji se izgovara ili. U literaturi se ova logička
operacija naziva još disjunkcijom (disjunction – razdvajanje) i obilježava se sa „v“.

ILI operacija i kolo A B Y


(disjunkcija)
0 0 0
A 0 1 1
Y=A+B
B 1 0 1
1 1 1

Bulova funkcija ovog kola glasi: Y = A + B, a čita se A ili B.

I operacija, odnosno logičko množenje, ili konjukcija (conjukction – spajanje), označava se sa


simbolom „∙“ i izgovara se i .
Logika ovog kola predstavlja se funkcijom: Y = A ∙ B = AB, a čita A i B.

I operacija i kolo A B C
(konjunkcija) 0 0 0
A 0 1 0
Y=AB 1 0 0
B
1 1 1

Logička NE operacija u prekidačkoj algebri označava se crticom ili zarezom iznad


simbola promjenljivih veličina.
_
Y = A ili Y = A´
Izgovara se komplement A ili ne A .

A Y
0 1
1 0

2
Digitalna tehnika

Operacije NILI I NI

Vezivanjem invertora na izlaz elementarnog ILI kola dobija se NILI kolo. Ovo kolo
sadrži logičku ILI – NE operaciju, pa se Bulova funkcija kola izražava komplementom funkcije
elementarnog ILI kola,
_____
Y=A+B

A B Y
NILI operacija i kolo 0 0 1
A 0 1 0
Y=A+B 1 0 0
B
1 1 0

Dodavanjem invertora na izlaz elementarnog I kola dobija se NI kolo. Logika ovog


kola bazira se na I – NE operaciji. Zbog toga se ona izražava komplementom funkcije
elementarnog I kola,
___
Y=AB

A B Y
NI operacija i kolo
0 0 1
A 0 1 1
Y=AB 1 0 1
B
1 1 0

Specijalne logičke operacije

Takva kola su:


- inhibiciono kolo
- implikaciono kolo
- isključivo ILI kolo
- isključivo I kolo.

Inhibiciono kolo je u stvari elementarno I kolo kod koga je jedan ulaz komplementovan,
odnosno negiran.
_
Y=A·B

a izgovara se A i ne B.

3
Digitalna tehnika

A B Y
Inhibiciono kolo
0 0 0
A 0 1 0
Y=AB
B 1 0 1
1 1 0

Slika i tabela 2.6.

Implikaciono kolo je analogno predhodnom, samo što se ovdje radi o elementarnom ILI
kolu, kod koga je jedan ulaz negiran.
_
Y=A+B
i izgovara se A ili ne B.

Logika isključivog, odnosno eskluzivnog ILI kola EX – ILI uslovljava formiranje stanja
logičke jedinice na izlazu pri postojanju pobudnog signala isključivo na jednom od postojeća dva
ulaza.
_ _
Y = AB + AB = A  B

Simbol  označava logičku operaciju isključivo ili, te se gornja funkcija izgovara isključivo A
ili B.

Isključivo ILI (EXILI) A B Y


0 0 0
A 0 1 1
Y=AB+AB
B 1 0 1
1 1 0

Logika isključivog NILI kola EX – NILI uslovljava formiranje stanja logičke jedinice na
izlazu ako postoje istovremeno svi ulazi ili istovremeno ne postoji ni jedan ulaz. Logika ovog
kola može se prestaviti sledećom funkcijom
__ _____
Y = AB + AB = A  B = A  B

Simbol  označava operaciju uključivo pa se izgovara uključivo A i B.

Isključivo NILI (EXNILI) A B Y


A 0 0 1
Y=AB+AB 0 1 0
B
1 0 0
1 1 1

4
Digitalna tehnika
PREKIDAČKE FUNKCIJE
Kako je prekidačka algebra zasnovana na primjeni tri elementarne logičke operacije
sabiranja, množenja i negiranja, prekidačke funkcije u osnovi mogu da budu sastavljene ili od
logičkih proizvoda ili od logičkih zbirova ili od jednih i od drugih.

Forme prekidačkih funkcija

Složene prekidačke funkcije mogu da budu sastavljene od zbira članova proizvoda


(disjunktivnua normalna forma – DNF), odnosno da je data u obliku sume proizvoda:
_ _ _
f(A,B,C) = ABC + ABC + AC + B.

Složene prekidačke funkcije mogu da budu sastavljene od proizvoda članova zbira


(konjuktiva normana forma - KNF), odnosno da je data u obliku proizvoda suma:
_ _
f(A,B,C) = (A+B+C)(A+B)(C).

Pored normalnih formi, složene funkcije mogu da imaju i druge proizvoljne oblike.
Takve su funkcije koje sadrže istovremeno i članove zbira i članove proizvoda:

f(A,B,C) = (A+B)CD + BCD + A(BC + D).

Skup različitih potpunih članova koje prekidačka funkcija u normalnoj formi


može da sadrži zavisi od broja promjenljivih, naime

Np = Ns = 2n
gdje su:
Np – broj logičkih proizvoda
Ns – broj logičkih suma
n – broj promjenljivih.

Formiranje funkcija

Formiranje prekidačkih funkcija najčešće se vrši preko tabele u koju se unose sve
moguće kombinacije vrijednosti promjenljivih veličina, kao i odgovarajuće vrijednosti tražene
funkcije.

Disjunktivna normalna forma:


_ _
f(A,B) = P1 + P2 = AB + AB.

i A B f (A,B)
0 0 0 0
1 0 1 1
2 1 0 1
3 1 1 0

Konjuktivna normalna forma:


_ _
f(A,B) = S0 · S3 = (A+B)(A+B).

5
Digitalna tehnika

Funkcija f(A,B) u normalnoj disjunktivnoj formi može da se dobije i preko članova


proizvoda sa vrijednošću 0. Samo se radi o komplementu funkcije:
_______ ___
f(A,B) = AB  AB  AB  AB
_ _
= (A+B)(A+B)
_ _ _ _
= AA + AB + AB + BB
_ _
= AB + AB .

Na sličan način bi se postupilo i pri određivanju konjuktivne normalne forme pomoću


suma sa vrijednošću 1.
Za obrazovanje normalnih formi funkcije sa n promjenljivih mogu da koriste i uopšteni
izrazi :
2 n 1
f ( X 1 , X 2 ,... X n )  b P
i 0
i i

za disjunktivnu formu,
2 n 1
f ( X 1 , X 2 ,... X n )   (bi  S i )
i 0
za konjuktivnu formu.

Maksimalan broj funkcija

Maksimalni broj različitih funkcija, koje se mogu formirati u prekidačkoj algebri, zavisi
od broja promjenljivih. U tabeli su navedene su funkcije koje se mogu dobiti sa jednom
promjenljivom. Funkcijama mogu imati vrijednost konstante 0 i 1, kao i vrijednosti promjenljive
A i A.

Promjenljiva Funkcije
A f0 f1 f2 f3
0 0 0 1 1
1 0 1 0 1
fi = 0 A A 1

Broj funkcija koje se mogu ostvariti na bazi n promjenljivih dobija se iz formule


n
NF = 22

6
Digitalna tehnika
Analiza funkcija pomoću tabele

Pri transformaciji funkcija iz jednog oblika u drugi nismo uvijek sigurni da li je učinjena
transformacija dobro izvedena, pa prema tome, i dobijeni rezultat tačan. Provjera tačnosti može
se izvesti ponavljanjem postupka transformacije u istom ili u obrnutom smjeru, uvođenjem nekih
drugih postupaka u proces transformacije. Međutim, vrlo jednostavan način provjere, pogotovo
ako se radi o manje složenim funkcijama, može se izvesti primjenom kombinacionih tabela.
Kao primjer korištenja tabele u analizi prekidačkih funkcija uzećemo da pokažemo
ispravnost De Dorganovih teorema. Podsjetimo se da je prva teorema data relacijom:

A  B  A B .

A B A+B A B A B A B
0 0 0 1 1 1 1
0 1 1 0 1 0 0
1 0 1 0 0 1 0
1 1 1 0 0 0 0

PREKIDAČKE MREŽE
Digitalne mreže možemo svrstati u dvije grupe i to: kombinacione i sekvencijalne.

Sinteza prekidačkih mreže

Pri sintezi neke prekidačke mreže polazi se najčešće od toga, da se rad mreže najprije
pokaže kombinacionom tabelom, pa se iz te tabele određuju odgovarajuće jednačine. Tehnička
realizacija tih jednačina može se izvesti direktnim postavljanjem pojedinih elementarnih funkcija
odgovarajućim logičkim komponentama.
_ _ _____ ______
Y = (A + D) ABC + (C + D) ( B  AD) .

A
A+D
D (A+D)ABC
A
ABC
B
C

C C+D

B B+AD (C+D)(B+AD)

A
AD
D

Prije nego se pristupi šemiranju prekidačke mreže, treba ispitati da li se data funkcija
može minimizira, odnosno svesti na prostiji oblik. U tom pogledu može dosta da postigne
primjenom samo osnovnih stavova Bulove algebre vodeći računa o redoslijedu izvođenja
logičkih operacija. Taj redoslijed je komplementiranje, množenje pa onda sabiranje.

7
Digitalna tehnika
_ _ _____ ______
Y = (A + D) ABC + (C + D) ( B  AD)
_ _ _ _ __
= (A + D) ABC + C D BAD
_ _ _ _ _ _
= (A + D) ABC + BC D (A + D)
_ _ _ _ _ _ _ _ _ _
= AABC + ABCD + ABC D + BC D D
_ _ _ _ _
=ABC (D + D) + BC D
_ _ _ _
= ABC + BC D.

A ABC B BC
B
C C
Y Y
B A
BCD A+D
C
D D

_ _ _ _
Y = ABC + BC D
_ _ _
= BC ( A+D).

Analaza prekidačkih mreža

Ponekad se javlja potreba da se za već realizovanu mrežu odredi njena funkcija.


Očigledno je da se radi o obrnutom zadatku od onoga pri sintezi mreže. U svakom slučaju
zadatak je daleko jednostavniji, jer zahtijeva samo dobro poznavanje funkcija ugrađenih logičkih
komponenata. Pri tome treba ići postupno: najprije odrediti funkcije komponenata u prvom
stepenu mreže, zatim u drugom i tako ići dalje do najvišeg stepena, odnosno do izlaznih
komponenata. Konačni rezultat, izlazna funkcija mreže, dobija se ispisivanjem odgovarajućeg
izraza za izlaze logičkih kola u najvišem stepenu mreže.

Minimizacija prekidačkih funkcija

Proces uprošćavanja funkcija u cilju dobijanja njene minimalne forme naziva se


minimizacijom. U tom procesu koriste se raznovrsni algebarski, tablični i grafički metodi.

Algebarska metoda minimizacije


Algebarska metoda minimizacije sastoji se u tome, da se dati oblik funkcije svede na
minimalni primjenom poznatih stavova prekidačke algebre:

8
Digitalna tehnika
_ _ _
Y = [AB (C + D + E) + DBA](A + B + E)
_ _ _
= (ABC + ABD + ABE + DBA) (A+B+E)
___
= (ABC+ABD+ABE) ABE
___ ___ ___
= ABCABE + ABDABE + ABEABE
____
=AB (C + D )ABE
__ _
= AB (C + D) (AB + E)
_
= ABE (C + D)
_ _
= ABCE + ABDE .

A
B

C
D
E

D
B
A
Y

A
B
E

C
A

Y
E
B
D

Tablična metoda minimizacije


Suština minimizacije prekidačkih funkcija (ograničimo se samo na disjunktivnu
normalnu formu) u krajnjoj liniji svodi se na iznalaženje najmanjeg skupa članova logičkih
proizvoda. Ovaj posao može preglednije da se obavi korištenjem pogodnih tabela, kao što to
pokazuje Kvajn-Mek Klaskijeva (Quine-McCluskey) metoda minimizacije.

Grafička metoda minimizacije


Prvobitno grafičko prestavljanje logičkih izraza u Bulovoj algebri vršeno je pomoću
Venovih (Venn) dijagrama. Ovi dijagrami se sastoje od pravogaonika, koji prestavljaju klasu
elemenata i kružića, koji pokazuju promjenljive.

9
Digitalna tehnika
Karnoova metoda minimizacije koristi matričnu mrežu kartica ili polja, čiji je broj jednak
vrijednosti 2n, gdje je n broj nezavisno promjenljivih veličina. Svako polje u tim tablicama
odgovara jednom potpunom proizvodu promjenljivih veličina date funkcije. Raspored
logičkih proizvoda po poljima tablice izvodi se tako, da se članovi potpunih proizvoda u
susjednim karticama razlikuju samo po vrijednosti jedne promjenljive. Pri tome očigledno je da
su susjedna polja ona, koja imaju zajedničku stranicu, ali isto tako i ona, kod kojih bi se ostvarila
zajednička stranica kada bi se sastavile naspramne stranice.

B A 0 1
0 0 1
(a) 0
2 3
1

C BA 00 01 11 10
(b) 0 0 1 3 2
0
4 5 7 6
1

DC BA 00 01 11 10
0 0 1 3 2
00
4 5 7 6
01
(c) 12 13 15 14
11
8 9 11 10
10

Način korištenja Karnoovih tablica za uproštavanje prekidačkih funkcija pokazaćemo na


primjeru funkcije:
__ _
f = CBA+ DCB+CBA .

Kako funkcija ima četiri promjenljive, logički proizvodi nisu potpuni, te se stoga ne
mogu direktno da unesu u Karnoovu tablicu. Radi toga se funkcija proširuje tako, da se dobiju
potpuni proizvodi:

_ __ _ _ _
f = CBA (D + D) + DC B (A + A )+ CBA (D + D)

= P15 + P7 + P9 + P8 + P14 + P6

= ∑ (6, 7, 8, 9, 14, 15).

10
Digitalna tehnika

DC BA 00 01 11 10
0 0 1 3 2
00
4 5 7 6
01 1 1
12 13 15 14
11 1 1

8 9 11 10
1 1
10

Iznalaženje minimizirane funkcije vrši se na sljedeći način:


Prvo se uoče susjedna polja sa jedinicama. To su P8 i P9, a isto tako P6, P2, P14 i P15.
Zatim se definiše red zajedničkih površina u tablici: dva susjedna polja čine zajedničku površinu
prvog reda (21), četiri susjedna polja čine površinu drugog reda (22) itd. Važi pravilo da će broj
promjenljivih u funkciji, koja odgovara zajedničkoj površini, biti smanjena za vrijednost reda
površine.
_ __ __ __ _ _ _
P8,9 = DC B A + DC B A = DC B (A + A) = DC B.

_ _ _ _
P6,7,14,15 = DCBA + DCBA + DCBA + DCBA = CB.

Konačni oblik minimizirane funkcije je:


_ _
f = DC B + CB.

11
Digitalna tehnika
KOMBINACIONE MREŽE

Digitalne kombinacione mreže nastaju pogodnim vezivanjem većeg broja


odgovarajućih kombinaconih kola. Ove mreže se odlikuju time, da formirani signali na
izlazu mreže zavise samo od trenutne kombinacije vrijednosti ulaznih signala. Prema tome,
dok traje pobuda ima izlaz i vremenska dimenzija u ovim mrežama ne utiče na logičku
funkciju mreže.
Kombinacione mreže imaju veoma široko polje primjene tako da se mogu sresti u skoro
svakom pa i najmanjem digitalnom uređaju. U računarskim sistemima ove mreže se koriste za
kodovanje i dekodovanje digitalnih podataka, za konverziju kodova, za generisanje određenih
numeričkih vrijednosti, za obavljanje većine računarskih operacija, za iznalaženje adresovanih
lokacija u memorijama, za selekciju kanalnih veza, itd. Kombinacione mreže se nazivaju prema
funkciji koju obavljaju, kao na primjer: koder, dekoder, konvertor, selektor, odnosno
multipleksor, komutator, komparator, sabirač, pa čak i samoočitljiva memorija, iako se ne radi o
memorijskom kolu.

DEKODER

Postupak prevođenja binarno kodovane informacije u neki pogodniji oblik za opštu


upotrebu, naziva se dekodovanje, a logička mreža koja ima takvu funkciju je dekoder.

n 2n
ULAZA DEKODER IZLAZA

Dekoder je kombinaciona mreža sa više ulaza i više izlaza. Na ulaze mreže dovode se
kodovani podaci izraženi sa n bita. Na dekoderskoj mreži predviđa se poseban izlaz za svaki
ulazni podatak, te prema tome, dekoder može da ima najviše 2n izlaza.

f0
D
f1
C f2
DEKODER
B 4/16

A
f15

Dekoder 4/16 je kombinaciona mreža sa četiri ulaza i šesnaest izlaza. Kako se u jednom
trenutku može da koristi signal samo sa jednog od 16 izlaza, to se takva mreža često označava
1/16 i naziva se dekoder „1 od 16“.

12
Digitalna tehnika
Ulazi Izlazi
Binarni brojevi Decimalni brojevi
K1 DCBA 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0
2 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0
3 0 0 1 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0
4 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0
5 0 1 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0
6 0 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0
7 0 1 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0
8 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0
9 1 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0
10 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0
11 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0
12 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0
13 1 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0
14 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0
15 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1

Svaka izlazna funkcija sadrži samo po jedan član logičkog proizvoda:

_ _ __
f0 = K0 = D C B A
_ __
f1 = K 1 = D C B A
_ _ _
f2 = K 2 = D C B A
..........

f15 = K15 = DCBA.

Prema tome ovakva mreža može da se ostvari koristeći samo logička I kola. S obzirom
na to da minimizacija gornjih funkcija nije moguća, za realizaciju dekoderske mreže potrebno je
16 I kola sa po 4 ulaza. S obzirom da standardna integrisana komponenta sadrži dva logička
kola sa po 4 ulaza, za realizaciju dekodera potrebno je znači 8 integrisanih komponenti, odnosno
čipova, pod predpostavkom da se raspolaže pravim i komplementnim vrijednostima ulaznih
signala.

13
Digitalna tehnika
Realizacija dekodera 4/16 pomoću I logičkih kola:

f0

f1

f2

f3

f14

f15

D C B A

Mreža se može realizovati u matričnoj, razgranatoj ili razdijeljenoj strukturi.

U matričnoj koriste se jednostavni prekidački elementi kao što su diode.

U razgranatoj strukturi koristi se i višestepena izgradnja kombinacione mreže:

f0 = D [ C ( B A )] , f1 = D [ C ( B A )],

Svaka funkcija se realizuju sa po tri dvoulazna I kola vezana redno. Kako se šema ovakve mreže
razgranjava idući prema izlazima, to se takva struktura mreže naziva razgranata.

Funkcije dekodera možemo napisati i u obliku:

f0 = ( D C ) ( B A ) , f1 = ( D C ) ( B A ),

f2 = ( D C ) (B A ) , f3 = ( D C ) (B A ),

Svaka funkcija se realizuju sa po 3 dvoulazna I kola, ali za razliku od predhodne ova šema će
imati dva nivoa. Ulazne promjenljive su razdijeljene u dvije grupe, pa se zato ovakva
konfiguracija naziva razdijeljenom.

14
Digitalna tehnika
Dekoder BCD/DC

Dekoder binarno kodovanih decimalnih brojeva u decimalne brojeve označava se sa


BCD/DC, ili 4/10, ili 1 od 10. Na svom izlazu koristi 10 od mogućih 16 funkcija.
BA 00 01 11 10
DC
0 1 3 2
00
4 5 7 6
01
12 13 15 14
11 + + + +
8 9 11 10
10 + +

U tabeli prikazana je Karnoova mreža kartica u kojoj su neiskorištene tetrade obilježene


znakom „+“, K10 do K15, i mogu da se iskoriste za minimizaciju izlaznih funkcija dekodera.
Konačne funkcije dekodera BCD/DC date su izrazima:
_ __ _ _
f0 = D C B A f5= CBA
__ _ _
f1 = D C B A f6 = CBA
_ _
f2 = CBA f7= CBA
_ _
f3 = CBA f8 = DA
__
f4 = CBA f9 = DA.

Za ispravan rad minimiziranog dekodera mora se onemogućiti slučajno prisustvo


nedozvoljenog binarnog sloga na njegovom ulazu. Zato je predviđen još i izlaz E (Error –
greška), koji signališe nastalu grešku. Izraz za funkciju greške je:

fE = DB + DC.

KODER

U digitalnoj obradi podataka svi slovni simboli, zatim simboli decimalnog brojnog
sistema, kao i mnoge druge oznake ispisuju se logičkim nulama i jedinicama po unaprijed
definisanoj zakonitosti. Ovaj postupak šifrovanja opšte poznatih simbola izvodi se pomoću
kodera. U principu ovdje se radi o mreži, koja ima suprotnu funkciju od ranije opisane
dekoderske mreže, pa prema tome može da ima najviše 2n ulaza a n izlaza.

n
2 n
ULAZA KODER IZLAZA

15
Digitalna tehnika
Koder DC/BCD

Kodovanje decimalnih cifara u binarno kodovane decimalne slogove izvodi se pomoću


kodera DC/BCD, koji ima 10 ulaza i 4 izlaza.

DC BCD 8421
Ni DCBA A = N1 + N3 + N5 + N7 + N9
0 0000
1 0001 B = N2 + N3 + N6 + N7
2 0010
3 0011 C = N4 + N5 + N6 + N7 (1.7)
4 0100
5 0101 D = N8 + N9 .
6 0110
7 0111
8 1000
9 1001

Logička šema kodera DC/BCD:

1 A

3 B
4

5 C
6

7 D
8

Kontrola ispravnosti kodovanja

Kod kodovanja podataka vrlo često se javlja potreba i za kontrolom ispravnosti


kodovanja. Najjednostavniji način da se to izvede je svakako kontrola parnosti jedinica u kodnim
slogovima. U tom cilju se pri kodovanju mora generisati još jedan bit, bit parnosti, koji će
omogućiti primjenu kontrole parnosti bita. Posmatrajući tabelu mogu se izdvojiti binarne
kombinacije Ki u kojima je broj jedinica neparan, odnosno kojima treba dodati po jednu jedinicu
da bi ukupan njihov broj bio paran, naime:

Q = f (D, C, B, A) =∑ (1, 2, 4, 7, 8, 11, 13, 14) = A  B  C  D.

Generator bita parnosti može da se ostvari sa eskluzivnim ILI, odnosno logičkim EX-
ILI kolima.

16
Digitalna tehnika

KONVEKTOR KODA

Kombinacione mreže koriste se i za konverziju jednog koda u drugi. Konverzija koda u


stvari sadrži dva ranija postupka: dekodovanje i kodovanje. Prema tome, konvektor koda nije
ništa drugo do istovremena sprega dekodera i kodera.

Konvektor NBCD/BCXS3

Uzmimo kao primjer da konstruišemo konvektor koda BCD8421 u kod BCDXS3. Kako
u ovoj mreži postoji višak binarnih kombinacija, one se mogu da iskoriste za minimizaciju
izlaznih funkcija. Na taj način se dobijaju:

NBCD BCDXS3
Ki D C B A Z Y X W
0 0 0 0 0 0 0 1 1 _
1 0 0 0 1 0 1 0 0 W=A
2 0 0 1 0 0 1 0 1 _ _ ____
3 0 0 1 1 0 1 1 0 X = BA + B A = B  A
4 0 1 0 0 0 1 1 1 __ _
5 0 1 0 1 1 0 0 0 Y = CBA + C (B + A)
6 0 1 1 0 1 0 0 1
7 0 1 1 1 1 0 1 0 Z = D + C (B + A).
8 1 0 0 0 1 0 1 1
9 1 0 0 1 1 1 0 0

MULTIPLEKSOR

Multipleksor je elektronski prekidač sa više ulaza i jednim izlazom. U svakom stanju


prekidača ostvaruje se veza između izlaza i samo jednog, odabranog ulaza. Kako se preko ovih
ulaza dovode podaci, koje treba prenijeti na izlaz, to se oni zovu informacioni ulazi ili kanali.
Selekcija kanala vrši se na taj način, što se svakom kanalnom ulazu pridružuje određeni kodni
slog kao adresa. To znači da ovo kolo mora da ima i selekcione ulaze, pa se naziva još i selektor
podataka ili samo selektor.
Pomoću određene kombinacije selekcionih ulaza bira samo jedan od informacionih ulaza
i povezuje sa izlazom. Pošto se sa m selekcionih ulaza može da bira 2m informacionih ulaza, to
izlaznu funkciju može da sačinjava zbir od najviše 2m članova logičkih proizvoda, koji čine
selekcioni i informacioni ulazi. Ako su selekcioni ulazi A, B i C, a informacioni I0 - I7, onda je
izlazna funkcija multipleksora data jednačinom:
___ __ _ _ _
f = CBA ∙ I0 + CBA ∙ I1 + CBA ∙ I2 + CBA ∙ I3 +
__ _ _
+ CBA ∙ I4 + CBA ∙ I5 + CBA ∙ I6 + CBA ∙ I7.

17
Digitalna tehnika
Djelimična realizacija funkcije:
PR

I0
I0 PR

INF. MX IZL.
8/1 f I1 f
UL.
f

I7
I7 A B C

SEL. UL.

(a)

C B A

(b)

DEMULTIPLEKSOR

Demultipleksor je kombinaciona mreža pomoću koje se podatak sa jednog ulaza može da


proslijedi na jedan od više izlaza. U svakom slučaju demultipleksor je integrisana digitalna
komponenta sa suprotnom ulogom od one koju ima multipleksor.

Realizacija demultipleksora:
PR

Y0

Y1
PR Y0

DMX
Z 1/ 8

Y7
Y7
A B C

(a)

(b)
C B A

KOMUTATOR

Kombinaciona mreža koja ima funkciju multipleksora i demultipleksora zove se


komutator. Koristi se sprega multipleksora i demultipleksora sa zajedničkim adresnim ulazima.
18
Digitalna tehnika
MEMORIJSKA KOLA

Pri digitalnoj obradi signala nastaje potreba da se izvjesni podaci ne samo čuvaju i pamte,
već često i da se akumuliraju, odnosno zbrajaju. To znači, da ovakva kola moraju biti u stanju da
i po prestanku djelovanja signala zadrže privremeno ili trajno uspostavljena logička stanja, to jest
da memorišu ta stanja. Pri ponovnom djelovanju pobudnih signala, logička stanja u ovim kolima
se mijenjaju u skladu sa odgovarajućom funkcijom kola. Otuda izlazna funkcija, pored pobudnih
signala sadrži i parametar koji vodi računa o predhodnom logičkom stanju kola. Znači, ova kola
su zavisna od vremenskog redoslijeda, od sekvencije logičkih stanja, te su stoga pogodna za
izgradnju sekvencijalnih mreža.
Funkcije kod sekvencijalnih mreža mogu da se izraze u opisnoj formi, u obliku
kombinacione tabele ili pomoću vremenskih dijagrama.
Memorijski element je prekidač koji zadržava uspostavljeno logičko stanje na izlazu i po
prestanku djelovanja ulaznog signala. Osnovni memorijski elementi su flipflopovi i veza između
kola ostvarena je pozitivnom povratnom spregom.

RS FLIPFLOP

Početno stanje memorijskih elemenata naziva se resetovano (reset), a prema usvojenoj


konvenciji resetovano stanje odgovara stanju logičke nule. Stanje kola kome odgovara stanje
logičke jedinice naziva se setovano (set). Memorijsko kolo, kod koga postoji mogućnost samo
resetovanja i setovanja naziva se RS flipflop.
Realizacija RS flipflopova sa NILI kolima.

Q Q

S Q

R Q

(a) S R
(b)

Rad flipflopova može da bude prikazano funkcionalnom tabelom ili pomoću


odgovarajućih jednačina prekidačke algebre. Funkcionalna tabela treba da sadrži sve moguće
kombincije nezavisno promjenljivih veličina i odgovarajuće izlazne funkcije. Nezavisno
promjenljive u ovom slučaju su ulazni signali R i S, kao i predhodno stanje flipflopa na izlazu Q.

19
Digitalna tehnika

Ulazne promjenljive Izlazna


promjenljiva
t = tn t = tn+1 tn tn+1
R S Q Qn+1 R S Qn+1
0 0 0 0 0 0 Qn
0 0 1 1 0 1 1
0 1 0 1 1 0 0
0 1 1 1 1 1 ND
1 0 0 0
1 0 1 0
1 1 0 ND
1 1 1 ND

Funkcija RS flipflopa :
__ _ _ _
Qn+1 = RSQ + RSQ + RSQ
__ _
= RSQ + RS.

Nedefinisana stanja kola ND mogu da se uzmu u obzir na taj način, što se usvaja da u
posmatranom taktnom intervalu bilo S ili R mora da ima vrijednost logičke nule. To znači da je
proizvod:
RS = 0.

Ako ovaj uslov iskoristimo funkcija RS flipflopova je:


__ _
Qn+1 = RSQ + RS + RS
__
= S + RSQ
_
= S + RQ.

Eksitaciona tabela RS flipflopova:

tn tn+1 tn
Qn Qn+1 R S
0 0 x 0
0 1 0 1
1 0 1 0
1 1 0 x

RS flipflopovi mogu da se izvedu sa direktnom pobudom ili sa taktovanom pobudom.


Kod taktovanog RS flipflopa setovanje ili resetovanje se odvija kada je P T = 1.

20
Digitalna tehnika
Realitacija RS flipflopova sa NI kolima sa direktnom pobudom i sa taktovanom pobudom :

S
S S
Q Q

PT

Q Q
R R
R

T FLIPFLOP

T flipflop ima samo jedan ulaz za dovođenje pobudnog signala.

Q T S Q T Q

T
PT PT
Q R Q CP Q

Funkcionalna tabela T flipflopa:


T Q Qn+1
0 0 0
0 1 1
1 0 1
1 1 0

Izlazna funkcija ovog kola može da se napiše u obliku :


_ _
Qn+1 = TQ + TQ = T  Q.

Gornja jednačina pokazuje da je stanje logičke jedinice na izlazu memorijskog T


elementa uslovljeno isključivim postojanjem ili trigerskog pobudnog impulsa ili već setovanog
stanja flipflopa. Odnosno, trigerski flipflop vrši izmjenu logičkih stanja pri svakoj pojavi visokog
napona na ulazu, te prema tome, on broj okidnih impulsa dijeli na dva.

Da prethodno memorijsko kolo ima T logiku, koristit ćemo algebarski postupak. Ulazi RS
flopflopa su:
_
R = TQ i S = TQ.
21
Digitalna tehnika
Ako u jednačinu koja prestavlja logiku RS flipflopa unesemo ove vrijednosti, dobićemo
jednačinu logike T flipflopa:
_ _ __ _ _
Qn+1 = S + RQ = TQ + TQQ = TQ + TQ.

JK FLIPFLOP

JK flipflop ima dva ulaza J i K. Ovakvo memorijsko kolo posjeduje logiku RS i T


flipflopova.
Resetovanje kola vrši se pri K = 1, a setovanje pri J = 1. Ako je J = K = 1 onda kolo radi
kao T flipflop. Iz tabele vidimo da je izlazna funkcija:
__ __ _ _ _ _
Qn+1 = JKQ + JKQ + JKQ + JKQ = KQ + JQ.

J K Q Qn+1 J K Qn+1
J Q
0 0 0 0 0 0 Qn
CP 0 0 1 1 0 1 0
K Q
0 1 0 0 1 0 1
0 1 1 0 1 1 Qn
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0

Realizacija JK flipflopa je moguća pomoću RS flipflopa :

tn tn+1 tn
Qn Qn+1 J K
J S Q 0 0 0 x
PT
0 1 1 x
1 0 x 1
K R Q 1 1 x 0

Karakteristična jednačina JK kola dobija se u obliku:


_ _ _ _ __
Qn+1 = JQ + KQ + QQ = JQ + KQQ.

Logika RS flipflopova transformiše se u logiku JK kola ako je:


_
S = JQ i R = KQ.

22
Digitalna tehnika
D FLIPFLOPOVI

Logička funkcija D flipflopa je vrlo jednostavna: ulazni signal prelazi na izlaz


sinhronizovano sa taktnim impulsom.
_
Qn+1 = DQ + DQ = D.

D Q D Q Qn+1
0 0 0
0 1 0
CP Q 1 0 1
1 1 1

JK flipflop se vrlo jednostavno može koristiti i kao D flipflop, ako se između njegovih
ulaza J i K veže invertor:

D
D
J Q S Q

CP
PT
K Q R Q

(a) (b)

Logika JK flipflopa transformiše se u logiku D flipflopa kada je:


_
J=D i K=D;
_
Qn+1 = DQ + DQ = D.

ZADRŽAVANI FLIPFLOP (MS FLIPFLOP)

U radu složene digitalne mreže ponekad dolazi do nepravilnog rada kao posljedica
nesinhronizovane promjene nivoa i neusklađenosti trajanja upravljačkih impulsa, kao i kašnjenja
u kolima. U prvom slučaju može doći do pojave nepoželjnjih impulsa u toku prelaznog režima
prekidačkih kola, a u drugom do nekontrolisanog protrčavanja signala kroz digitalnu mrežu. Kod
memorijskih kola ove nepoželjne pojave mogu da se otklone primjenom flipflopova sa
zadržavanjem, odnosno zadržavanog flipflopa. Za ovakav rad najčešće se koristi MS
konfiguracija memorijskog kola.
Kod flipflopa sa zadržavanjem pri okidanju zatečeno stanje na njegovom izlazu zadržava
sve do pojave negativnog dijela taktnog impulsa. Naime, promjena logičkih nivoa na izlazu kola
nastaje pri zadnjoj ivici pozitivnog impulsa, to jest sa kašnjenjem koje je jednako trajanju tog
impulsa.

23
Digitalna tehnika
B1 B2 B3 B4

S
S Q S Q

PT FF1 PT FF2

R Q R Q
R

PT

Blok B1 sadrži kombinacionu mrežu za određivanje logičke funkcije memorijskog kola.


Blok B2 čini memorijski element koji pamti izlazni signal predhodnog bloka. U bloku B3 nalazi
se kombinaciona mreža koja sprečava da blok B4 izvrši logičku funkciju u toku pozitivnog dijela
taktnog intervala.

FLIPFLOPOVI SA IVIČNIM OKIDANJEM

Upotreba MS konfiguracija memorijskog kola uslovila je povećanje vremena prenosa


signala od ulaza do izlaza kola. To se rješava korištenjem memorijskog kola sa sinhronizacijom
izlaznog signala na prednjoj ivici taktnog impulsa, uz obezbijeđenu zaštitu uspostavljenog stanja
u kolu od uticaja neželjenih promjena napona na izlazu.
Logička šema ivičnog RS flipflopa:

S H
G
Q

Q
E
R
F

PT
Slika 6.12. Logička šema ivičnog RS flipflopa

Prije aktiviranja taktnog impulsa izvršeno je unošenje ulaznog podatka u prvi flipflop.
Povratna veza sa kola F i G, održava zatečeno stanje, odnosno, uticaj signala S i R kada je
aktivan taktni signal PT (PT = 1).
Ivični flipflop, pored veće brzine rada, ima prednost i u pogledu osjetljivosti na smetnje,
jer veza između ulaza i izlaza kola postoji samo u relativno kratkom vremenskom intervalu na
početku taktnog impulsa.

24
Digitalna tehnika
SEKVENCIJALNE MREŽE

Izlazno stanje sekvencijalnih mreža ne zavisi samo od trenutnog stanja ulaznih


promjenljivih nego i od predhodnog stanja koje se formiralo u mreži, odnosno od redosljeda,
sekvence generisanja ulaznih sihnala
Mogu se svrstati u tri klase: klasa A, klasa B i klasa C.
Mreže klase A se najviše koriste. Izlazni signal je funkcija ulaznih promjenljivih i predhodnog
stanja memorijskog elementa.
Kod mreža klase B izlazni signal zavisi samo od prethodnog stanja memorijskog elementa,
odnosno nema direktne veze ulaznih signala na izlaz.
Mreže klase C su iste kao mreže klase B, ali nemaju na izlazu kombinacionu mrežu.

Prilikom projektovanja sekvencijalnih mreža neophodno je odrediti funkciju kombinacione


mreže na ulazu. Zatim je potrebno odrediti koji tip sekvencijalne mreže treba uzeti, odnosno
odrediti koji flipflop koristiti za realizaciju sekvencijalne mreže.
Sekvencijalne mreže mogu se podijeliti na:

 SINHRONE,
 ASINHRONE.

Svi memorijski elementi sinhrone mreže istovremeno mijenjaju stanja, u skladu sa


jednim takt signalom. Asinhroni elementi imaju više takt signala, ili mijenjaju stanje na
promjenu ulaznog signala, nezavisno od takt signala. Najjednostavnije sekvencijalne mreže su
registri i brojači.

REGISTRI

Registar je sklop koji služi za privremeno memorisanje podataka, pa se često naziva i


privremena memorija. Uloga registra je da prihvati djelimične ili konačne rezultate u procesu
obrade podataka. Neophodan je na svim mjestima gdje treba ostvariti vezu između blokova sa
različitim brzinama, i za izvođenje nekih aritmetičkih operacija.
Registri po načinu izvođenja mogu biti stacionirani i dinamički, zavisno od toga da li jednom
unijeta informacija u memorijski element ostaje stalno u njemu ili ne.
Dinamički registri su više poznati kao pomjerački, a pored pomjeračkih u dinamičke registere
spadaju i kružni registri.
Registarsku mrežu sačinjava skup memorijskih elemenata - flipflopova. Broj flipflopova zavisi
od predviđenog kapaciteta registra. Flipflop može da pamti samo jedan podatak, tako da broj
flipflopova mora biti jednak ukupnom broju bita informacije.
Glavna karakteristika registara je brzina rada, koja s obzirom na njihovu primjenu mora biti
velika.

STACIONARNI REGISTRI

Stacionarni registri su registri koji čuvaju informaciju sve dok se ne promjeni stanje na
ulazima registra. To je skup međusobno nepovezanih memorijskih elemenata. Prije unošenja
podataka u registar mora biti izvršeno brisanje ranijeg sadržaja. Pristup memorijskim elementima
pri upisu ili očitavanju informacija može biti serijski ili paralelan.

25
Digitalna tehnika
Šema registra za tri bita sa serijskim ulazom i paralelnim izlazom:

U registr treba da se upiše informacija 110. Kako seradi o serijskom unošenju informacije za tu
operaciju će biti potrebna tri taktna intervala.
Dovođenjem taktnog impulsa na priključak za čitanje, na izlazima I kola za čitanje
pojavljuje se istovremeno cjelokupna memorisana informacija 110.

Stacionirani registar sa paralelnim ulazima i paralelnim izlazima:

26
Digitalna tehnika
POMJERAČKI REGISTRI

Pomjerački registar je skup memorijskih kola koja su povezana tako da se memorisani


podatak može da pomjera od jednog do drugog stepena. Zbog toga se upisivanje i očitavanje
podataka vrši serijski i sinhronizovano sa taktnim impulsima. Za realizaciju ovih registara
najčešće se koristi D flipflop.
Postupak upisivanja i čitanja se vrši pod kontrolom ulaza K, bez potrebe resetovanja prije
početka upisivanja.
Taktovan prenos podataka iz flipflopa A u flipflop B, zatim u C, pa u D je dovoljan da se ostvari
veza:
DB=QA, DC=QB, DD=QC.

Ako se čita prvo onaj podatak koji je prvi upisan registar se zove FIFO, a ako se prvo čita
podatak koji je posljednji upisan registar se naziva LIFO.

Pomjerački registar:

Ako se vrši pomjeranje podataka sa desna na lijevo treba da bude ulaz na DD a izlaz na
QA. Pri realizaciji registra koji vrši pomjeranje podataka sa lijeva na desno i sa desna na lijevo
(obostrani pomjerački registar) terba ostvariti kontrolu rada K=1 pomjeranje udesno i K=0
pomjeranje ulijevo. To se postiže odgovarajućom kombinacionom mrežom.

27
Digitalna tehnika
Obostrani pomjerački registar

KRUŽNI REGISTRI

Za razliku od ostalih registara, kod kružnog registra memorisana informacija je stalno u


pokretu. Ona neprekidno kruži od ulaza prema izlazu, vraća se na ulaz i ponovo cirkuliše prema
izlazu.

Kružni registar:

Kao memorijski element se koristi pomjerački serijsko-serijski registar. Upis podataka se


vrši preko donjeg I kola pri kontrolnom naponu K=1. Ako kontrolni napon ima vrijednost K=0,
memorisani podaci će preko gornjeg I kola biti vraćeni sa izlaza na ulaz pomjeračkog registra,
čime se uspostavlja stalno kruženje podataka.
Čitanje registara se vrši u trenutku dovođenja signala na čitanje (CIT).

28
Digitalna tehnika
REGISTAR KAO GENERATOR IMPULSA

Pored osnovnih taktnih impulsa, za taktovanje pojedinih kola u digitalnom sistemu,


potrebni su i pravougaoni naponi specifičnog trajanja i vremenskog pojavljivanja. Za generisanje
takvih impulsa može se koristiti i pomjerački registri.

Generator pravougaonog napona

Ako se svi izlazi registra povežu sa ulazom preko NI kola, ulazna funkcija biće:
____
DA = ABCD.

Ova funkcija ima vrijednost DA=0 samo kada su svi izlazi registra setovani, pa će se
poslije svake nule na ulazu registra pojavljivati uzastopno četiri jedinice. Zato će se na bilo
kojem izlazu registra generisati pravougaoni napon sa odnosom pauza/impuls 1:4.
Ustaljeni ciklus ponavljanja se ne uspostavlja odmah, zato što je registar u početnom stanju
resetovan. Pogodno bi bilo da registar ima mogućnost direktnog setovanja u cilju postavljanja
generatora u početno stanje.
U tabeli su prikazana stanja pomjeračkog registra, za slučaj kada je registrator resetovan
u početno stanje.

Pt A B C D Da

0 0 0 0 1
1 1 0 0 0 1
2 1 1 0 0 1
3 1 1 1 0 1
4 1 1 1 1 0
5 0 1 1 1 1
6 1 0 1 1 1
7 1 1 0 1 1
8 1 1 1 0 1
9 1 1 1 1 0
10 0 1 1 1 1

29
Digitalna tehnika
BROJAČI

Binarni brojači su sekvencijalne mreže koje generišu binarne kombinacije signala po


jednom određenom redoslijedu. Brojači mogu da broje unaprijed, unazad ili da broje u oba
smjera. U zavisnosti od smjera brojenja podešava se i početno stanje brojača. Po isteku punog
opsega brojanja brojač se vraća u početno stanje. Brojači imaju široku primjenu u mjeračkim
instrumentima (vremena brzine, struje, napona, otpora, ...), u vršenju A/D konverzije i nekih
aritmetičkih operacija. Pri projektovanju brojača koriste se dvije osnovne koncepcije:

 Sva memorijska kola brojača se vezuju redno, a brojački impulsi dovode se samo na ulaz
prvog kola. Ovi brojači se nazivaju redni-asinhroni.

 Sva memorijska kola su vezana redno, ali se brojački impulsi dovode istovremeno na
ulaze svih kola. Ovi brojači se nazivaju paralelni, odnosno sinhroni.

REDNI BROJAČI

Kod rednih brojača svi memorijski elementi su vezani serijski, a brojački impuls se dovodi
samo na ulaz prvog kola. Ovo su najjednostavniji brojači, imaju malu brzinu rada. Osnovni
elementi brojača su T flipflopovi.

Redni binarni brojač:

Upotrebljeni T flipflopovi osjetljivi su na negativne impulse, zbog toga se njihova stanja


mijenjaju kad kod se na izlazu Q prethodnog flipflopa upotrebljava nizak nivo. Možemo reći da
za njih važe dva osnovna pravila:

 Prvi flipflop brojača mijenja stanje pri svakom impulsu na ulazu.


 Svi ostali flipflopovi mijenjaju stanje samo kad se u predhodnom flipflopu uspostavlja
stanje logičke nule.

30
Digitalna tehnika

Stanja binarnog rednog brojača:

Osnovne karakteristike rednih brojača:


S1 D C B A
 Svaki flipflop u brojaču dijeli broj
0 0 0 0 0 impulsa na njegovom ulazu sa dva.
1 0 0 0 1
2 0 0 1 0  Nakon odbrojanih 2n impulsa uspostavlja se
3 0 0 1 1 početno stanje. Broj M0=2n naziva se moduo
4 0 1 0 0 (osnova) brojanja.
5 0 1 0 1
6 0 1 1 0
 Broj Nk = M0-1 nazivamo kapacitet brojača, a
7 0 1 1 1 predstavlja vrijednost pune skale.
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1
0 0 0 0 0

PARALELNI BROJAČI

Kod paralelnih brojača svi memorijski elementi su vezani serijski, a brojački impulse se
dovode istovremeno na ulaze svih kola. Sprege između stepeni ostvaruju se preko kombinacione
mreže, čime se usložnjava njihova realizacija. Prelazni režim mreže je vrijeme kašnjenja jednog
stepena. Ovakav brojač daje na izlazu sekvencu istu kao i redni brojač.
Loša strana paralelnih brojača je korištenje kola za spregu sa više ulaza, kao i
velika opterećenost prvih stepeni u brojačkoj mreži (izlazi prvih stepeni vode se na ulaze
svih sledećih stepeni).

Paralelni binarni brojač:

31
Digitalna tehnika
OBOSTRANI BROJAČ

Brojač može da se podesi da broji unazad ili u oba smjera. Kod brojača koji broje
unazad sljedeći brojački stepen se spreže na predhodni preko komplementarnog izlaza, a
početno stanje brojača je setovano stanje.

Binarni brojač unazad:

Si C B A Ki

0 1 1 1 7
1 1 1 0 6
2 1 0 1 5
3 1 0 0 4
4 0 1 1 3
5 0 1 0 2
6 0 0 1 1
7 0 0 0 0
8 0 0 0 7

Ako se kod brojača omogući promjena sprege među flipflopovima, on broji i unaprijed i
unazad. Takvi brojači se nazivaju bileteralni ili obostrani brjači.

32
Digitalna tehnika
LOGIČKI PRORAČUN BROJAČA

U logičkom proračunu brojača polazi se od njegove kombinacione tabele, koja se


sastavlja na bazi postavljenih zahtjeva u pogledu kapaciteta, redosljeda kombinacija,
raspoloživih kopmponenata.
Kombinacione vrijednosti binarnog brojača sa netaktovanih RS flipflopovima:

Si
P C B A RC SC RB SB RA S A
(K1)
0 1 0 0 0 X 0 X 0 0 1
1 1 0 0 1 X 0 0 1 1 0
2 1 0 1 0 X 0 0 X 0 1
3 1 0 1 1 0 1 1 0 1 0
4 1 1 0 0 0 X X 0 0 1
5 1 1 0 1 0 X 0 1 1 0
6 1 1 1 0 0 X 0 X 0 1
7 1 1 1 1 1 0 1 0 1 0
8 1 0 0 0

Za datu sekvencu na izlazu brojača potrebno je odrediti ulazne promjenljive svakog


brojačkog stepena.

U tabeli je:
Ki - jedno stanje flipflopova (kombinacija stanja flipflopova)
Si - jedno stanje brojača
C, B, A - izlazna stanja flipflopova
RX, SX - pobudni signali na ulazu memorijskih elemenata.

Ispitivajući odgovarajuće ulazne jednačine za svaki brojački stepen i svodeći ih na


najprostiji oblik dobićemo odgovarajuće ulazne jednačine u obliku:

RA=PA RB=PAB RC=PABC


_ _ _
SA=PA SB=PAB SC= PABC

Realizacija brojača sa RS flipflopovima:

33
Digitalna tehnika
KRUŽNI BROJAČI

Brojački stepeni u ranije opisanim mrežama bili su spregnuti na određeni način samo
idući od ulaza prema izlazu brojača. Kružni ili prstenasti brojači imaju zatvorenu brojačku
mrežu, što znači da kod njih redovno postoji sprega između izlaznog i ulaznog brojačkog
stepena. Osnova brojanja je 2n ili n (n – broj flipflopova).

Brojač modula n

Kombinaciona tabela kvinarnog kružnog brojača:

Si Ki E D C B A DE DD DC DB DA
0 1 0 0 0 0 1 0 0 0 1 0
1 2 0 0 0 1 0 0 0 1 0 0
2 4 0 0 1 0 0 0 1 0 0 0
3 8 0 1 0 0 0 1 0 0 0 0
4 16 1 0 0 0 0 0 0 0 0 1

0 1 0 0 0 0 1

Ulazne jednačine flipflopova su:

DA = E ; DB = A ; DC = B ; DD = C ; DE = D.

Svaki okidni impuls setuje sljedeći, a resetuje predhodni flipflop. Početno stanje mreže je
takvo da je prvi flipflop setovan, a ostali resetovani. U bilo kom stanju ovog brojača je setovan
samo jedan flipflop (logička jedinica na njegovom izlazu označava broj registrovanih impulsa).

Logička šema brojača sa kružnim tokom i vremanski dijagram:

D Q D Q D Q D Q D Q

CP Q CP Q CP Q CP Q CP Q
P1 A B C D E
1 2 3 4 5 1 2 3

P1

34
Digitalna tehnika
Dobre osobine kružnih brojača su:
- jednostavna kostrukcija,
- jednostavna logika rada.

Brojač modula 2n

Posmatrano sa konstruktivne tačke gledišta kružni brojač modula 2n je samo modifikacija


brojača sa modulom n. Izvedena modifikacija brojača sastoji se samo u tome što je izvršeno
ukrštanje odnosno komplementiranje povratnih veza sa posljednjeg na prvi brojački stepen.
Naziva se još i pomjerački brojač (formirani oblik napona u brojaču se pomjera od jednog
stepena do drugog) ili Džonsonov brojač.

Kombinacione vrijednosti Džonsonovog brojača:

E D C B A
Si Ki E D C B A J K J K J K J K J K
0 0 0 0 0 0 0 0 x 0 x 0 x 0 x 1 x
1 1 0 0 0 0 1 0 x 0 x 0 x 1 x x 0
2 3 0 0 0 1 1 0 x 0 x 1 x x 0 x 0
3 7 0 0 1 1 1 0 x 1 x x 0 x 0 x 0
4 15 0 1 1 1 1 1 x x 0 x 0 x 0 x 0
5 31 1 1 1 1 1 x 0 x 0 x 0 x 0 x 1
6 30 1 1 1 1 0 x 0 x 0 x 0 x 1 0 x
7 28 1 1 1 0 0 x 0 x 0 x 1 0 x 0 x
8 24 1 1 0 0 0 x 0 x 1 0 x 0 x 0 x
9 16 1 0 0 0 0 x 1 0 x 0 x 0 x 0 x
0 0 0 0 0 0 0

Iz K – tablica dobijemo sljedeće eksitacione funkcije:

JA = E , KA = E ,
JB = A , KB = A ,
JC = B , KC = B ,
JD = C , KD = C ,
JE = D , KE = D .

35
Digitalna tehnika
Logička šema brojača sa kružnim kodom i vremenski dijagram:

J Q J Q J Q J Q J Q
CP CP CP CP CP
K Q K Q K Q K Q K Q
PT A B C D E

1 2 3 4 5 6 7 8 9 10 1
PT

DEKADNI BROJAČI

Vrijednost modula brojanja dekadnih ili decimalnih brojača je 10, što znači da njihov
brojački ciklus obuhvata deset različitih binarnih stanja.

Dekadni brojač sa kodom 8421

Dekadni brojač sa prirodnim BCD kodom ili kodom BCD8421 odlikuje se time što se
redosljed njegovih stanja potpuno podudara sa kombinacijama konvencionalnog binarnog
brojača. Dekadni brojač obuhvata prvih 10 kombinacija, odnosno obuhvata binarna tetrade date
kombinacijama Ko - K9, dok su K10 - K15 nedozvoljene.

36
Digitalna tehnika
Kombinacione vrijednosti dekadnog brojača:

D C B A
Si D C B A
J K J K J K J K
0 0 0 0 0 0 x 0 x 0 x 1 x
1 0 0 0 1 0 x 0 x 1 x x 1
2 0 0 1 0 0 x 0 x x 0 1 x
3 0 0 1 1 0 x 1 x x 1 x 1
4 0 1 0 1 0 x x 0 0 x 1 x
5 0 1 0 1 0 x x 0 1 x x 1
6 0 1 1 0 0 x x 0 x 0 1 x
7 0 1 1 1 1 x x 1 x 1 x 1
8 1 0 0 0 x 0 0 x 0 x 1 x
9 1 0 0 1 x 1 0 x 0 x x 1
0 0 0 0 0

Iz date tabele, koristeći Karnoove tablice, dobićemo najjednostavnije oblike eksitacionih


jednačina svakog brojačgog stepena:

JA=1 JB=AD JC=AB JD=ABC

KA=1 KB=A KC=AB KD=A

Dekadni brojač sa kodom 8421:

Brojač automatski obezbjeđuje, nakon jednog impulsa, prelazak iz nedozvoljenog u jedno


od dozvoljenih stanja.

37
Digitalna tehnika
ARITMETIČKA KOLA
U digitalnom sistemu, za obradu podataka, postoji blok koji se naziva aritmetička
jedinica. Ona sadrži kola sa kojima se obavljaju osnovne aritmetičke operacije: sabiranje,
oduzimanje, množenje i dijeljenje. Ova kola su u suštini kombinacionog karaktera, koja pored
kombinacionih elemenata često sadrže i memorijske elemente.

KOMPARACIJA BROJEVA

Jednakost dva broja može biti konstantovana samo u slučaju kada svi biti odgovarajuće
pozicije u oba broja imaju iste vrijednosti. Funkcija ima sljedeći oblik:

f  AB  A B .

Realizacija višebitnih komparatora vrši se pomoću logičkih EX-ILI i EX-NILI kola.

Univerzalni komparator

A>B
A A>B
A
A=B
KOMPAR.
B A=B
B
A<B

A<B

(a) (b)

Slika 8.1. Univerzalni komparator a) blok šema, b) logička šema

A>B A=B A<B X = AB za A>B,


AB X Y Z __ _______
00 0 1 0 Y = AB + AB = AB  A B za A=B,
01 0 0 1
10 1 0 0 Z = AB za A<B.
11 0 1 0

Tabela 8.1. Funkcionalna tabela komparatora

Upoređivanje višebitnih brojeva može da se izvede redni ili paralelno. U prvom slučaju
brojevi A i B treba da budu smješteni u pomjeračke registre, pomoću kojih se omogućava
dovođenje odgovarajućih parova bita na ulaz komparatora. Upoređivanje se vrši polazeći od
najviših bita, a prekida čim nastane jedinica na bilo kom izlazu komparatora.

Do paralelnog komparatora dolazi se sintezom jednačina:

za A>B, odnosno A2A1A0 > B2B1B0 je

38
Digitalna tehnika

X = ( A2 > B2 ) +
+ ( A2 = B2 ) ( A1 > B1 ) +
+ ( A2 = B2 ) ( A1 = B1 ) ( A0 > B0 )
= X2 + Y2 X1 + Y2 Y1 X0 ;

za A=B, odnosno A2A1A0 = B2B1B0 je

Y = ( A2 = B2 ) ( A1 = B1 ) ( A0 = B0 ) = Y2 Y1 Y0 ;

za A<B, odnosno A2A1A0 = B2B1B0 je

Z = ( A2 < B2 ) +
+ ( A2 = B2 ) ( A1 < B1 ) +
+ ( A2 = B2 ) ( A1 = B1 ) ( A0 < B0 )
= Z2 + Y2 Z1 + Y2 Y1 Z0 .

A2
X A>B
> X
A 2= B 2
Y
B2 <
Z

A1
X A=B
>
A 1= B 1 Y Y
B1 <
Z

A0
X
>
A 0= B 0 Y
B0 < A<B
Z
Z

Slika 8.2. Povećanje kapaciteta komparatora

SABIRANJE

Sabiranje je fundamentalna računska operacija jer se na nju mogu da svedu i mnoge


druge operacije (oduzimanje, množenje).
Sabiranje binarnih cifara svodi se na primjenu sljedećih stavova:

0 + 0 = 0; 0 + 1 = 1; 1 + 0 = 1; 1 + 1 = 10 ( jedan, nula ).

Primjena gornjih stavova na operaciju sabiranja data je na konkretnom primjeru.


Sabiranje decimalnih brojeva 11,5 + 10,5 = 22 u binarnom sistemu obaviće se na sljedeći način:

1 0 1 1,1
+ 1 0 1 0,1
10 1 1 0,0

(24 + 22 + 21 = 16 + 4 + 2 = 22).
Polusabirač

39
Digitalna tehnika

Osnovni logički element za sabiranje je polusabirač. To je kombinaciono kolo


predviđeno za sabiranje dva jednocifrena binarna broja.
Izlazne funkcije polusabirača mogu mse izvesti iz kombinacione tabele 8.2.

A B S P S= AB  A B = A  B

0 0 0 0 P=AB.
0 1 1 0
1 0 1 0
1 1 0 1

Tabela 8.2. Funkcionalna tabela polusabirača

A
A B
B P

PS

P S

(a) (b)

Slika 8.3. Polusabirač a) blok šema b) logička šema

Potpuni sabirač

Polusabirač može biti upotrijebljen za sabiranje samo bita najniže pozicione vrijednosti.
Za sabiranje ostalih bita zahtijeva se kolo sa tri ulaza da bi se pored cifara koje se sabiraju uzeo i
prenos iz nižeg razreda.
Izlazne funkcije sabirača:

S = ABPU  AB P U  AB P U  ABPU
A B PU S P
= P U ( AB  AB)  PU ( AB  AB)
= P U D  PU D = PU  D , 0 0 0 0 0
0 0 1 1 0
gdje je: D = AB  A B = A  B. 0 1 0 1 0
0 1 1 0 1
P = ABPU  ABPU  AB P U  ABPU 1 0 0 1 0
1 0 1 0 1
= PU ( AB  AB)  AB( P U  PU )
1 1 0 0 1
= PU D  AB . 1 1 1 1 1

Tabela 8.3. Funkcionalna tabela potpunog sabirača

40
Digitalna tehnika
A B

A B PU
PS

P AB
PUD PU
PPS

PS
P S
S

(a) (b)

Slika 8.4. Potpuni sabirač a) blok šema b) šema sa polusabiračima

Na slici 8.4. vidimo da se potpuni sabirač sastoji iz dva polusabirača

Iz K-tablica imamo:
Pu Pu
0 1 0 1
AB AB
00 1 00

01 1 01 1

11 1 11 1 1

10 1 10 1

S = A  B  PU P = AB  APU  BPU
Tabela 8.4. određivanje funkcija sabirača

S= ABPU  AB P U  AB P U  ABPU
= PU ( AB  AB)  P U ( AB  AB)
= PU  A  B  P U ( A  B)
= A  B  PU .

Za brži rad sabirača poželjno je da bude realizovan sa što manjim brojem nivoa.
Realizacija sabirača sa I – ILI ili I – NILI kolima prema predhodnim jednačinama data je na slici
8.5.

41
Digitalna tehnika

S S

B
P
P

PU

PU

(a) (b)

Slika 8.5. Mreže sabirača a) sa I – ILI kolima b) sa I – NILI kolima

Postupci sabiranja

Ako se koristi redni postupak sabiranja, sabiranje binarnih brojeva se može izvesti sa dva
polusabirača, kao na slici 8.6.

1 0 01
Pu 11 0 0 S2
1 0 0 1 Z
101 P S2 10 0
0 PT
B 111 S1 P2
B 1 1 1
P 110
PT P S1 D Q
A 010 P1 0 10
A 0 1 0
1 0 0 1 CP Q
PPS PT
RD
Slika 8.6. Redni postupak sabiranja

Sabirci su smješteni u pomjeračke registre A i B , a zbir se upisuje u registar Z.


D flipflop ostaje u resetovanom stanju sve dok se na bilo kom polusabiraču na izlazu za prenos
ne pojavi jedinica. Sabiranje većeg broja sabiraka vrši se na taj način što se prvo saberu dva
sabirka pa se dobijeni zbir zbraja sa sljedećim sabirkom itd...

42
Digitalna tehnika
Paralelno sabiranje se odvija u jednom taktnom intervalu. Zbog toga je potrebno 2n-1
polusabirača. Sabirci i zbir se upisuju u stacionarne registre, s tim što se u registar zbira upisuje
prijenos sabiranja brojeva najveće pozicione vrijednosti.

23 22 21 20
REG. A 0 1 1 1

REG. B 1 1 1 0

A3 B3 A2 B2 A1 B1 A0 B0

PS PS PS PS

P 0 1 1 1 0 1 1 0 0 1
0
1

PS PS PS

1 0 0 1 0 0
S4 S3 S2 S1 S0

REG.ZB. 1 0 1 0 1

Slika 8.7. Paralelni postupak sabiranja

ODUZIMANJE

Oduzimanje je računska operacija kojom se iznalazi razlika između dva broja.


2) Direktno oduzimanje (oduzimanje manjeg broja od većeg)
3) Posredno oduzimanje (to je oduzimanje dva broja preko sabiranja).

Ouzimanje binarnih cifara svodi se na primjenu sljedećih pravila:

0 – 0 = 0; 1 – 1 = 0, 1 – 0 = 1, 0 – 1 = 1, uz pozajmicu 10.

Primjenu ovih pravila pokazaćemo direktno na primjeru: 150 – 106 = 44,


Broj A = 150, a B = 106.

A= 10010110
B= 1101010
R= 00101100

Sinteza oduzimanja

Osnovno logičko kolo za direktno oduzimanje je poluoduzimač prikazan na slici 8.8.

43
Digitalna tehnika

A B
A

PO R

B P
P R

(a) (b)

Slika 8.8. Poluoduzimač a) blok šema b) logička šema


A–umanjenik, B–umanjilac, R–razlika, P–pozajmica

R  AB  AB  A  B ; P  AB

A B R P A B PU R P
0 0 0 0 0 0 0 0 0
0 1 1 1 0 0 1 1 1
1 0 1 0 0 1 0 1 1
1 1 0 0 0 1 1 0 1
1 0 0 1 0
(a) 1 0 1 0 0
1 1 0 0 0
1 1 1 1 1
(b)

Tabela 8.5. Funkcionalne tabele oduzimača a) poluoduzimača b) potpunog oduzimača

Da bi se moglo voditi računa o pozajmici oduzimač treba da ima i treći ulaz (potpuni
oduzimač), tabela 8.5.b. i slika 8.9.b.
A B

A B PU
PO

AB
P
PPO PU
PUD

PO
P R

(a) (b)

Slika 8.9. Potpuni oduzimač a) blok šema b) šema sa poluoduzimačima

Rad potpunog oduzimača prikazan je funkcionalnom tabelom 8.5.b. Vrijednosti kolone R


dobiju se operacijom:

R = A – B – PU = A – ( B + PU ).
44
Digitalna tehnika

Kao i kod sabirača dobijamo:


R  D  PU  A  B  PU
D  A  B  AB  AB
P  AB  D PU
D  A  B  AB  AB .

Mreža jednog sabirača i oduzimača je data na slici 8.10.


(K = 0 sabirač; K =1 oduzimač)

PU

B
S/R
A

PS/ PR

Slika 8.10. Logička šema sabirača i oduzimača

Postupak oduzimanja može biti izveden i paralelno kao što je prikazano na slici 8.11.
Vidimo da je potrebno 2n-1 poluoduzimača (n – broj bita umanjenika).

23 22 21 20
REG. A 1 1 0 1

REG. B 0 0 1 1

PO PO PO PO

0 0 1 0 0 1 1 1 1 0 0
0
P

PO PO PO

0 1 0 0 0 1
R3 R2 R1 R0

REG.R. 1 0 1 0

Slika 8.11. Ilustracija paralelnog oduzimanja

45
Digitalna tehnika
Redno oduzimanje višecifarskih brojeva je analogno kao i sabiranje, s tim što su
polusabirači zamijenjeni – poluoduzimačima.

KOMPLEMENTARNA ARITMETIKA

Negativni brojevi se mogu izraziti na tri načina: sa predznakom, pomoću prvog i drugog
komplementa. Bit najviše pozicione vrijednosti ima vrijednost 1 čime se i obilježavaju negativne
vrijednosti.
Izražavanjem brojeva u komplementarnom obliku operacija oduzimanja se svodi na
operaciju sabiranja, a sa bitom znaka se manipuliše kao sa vrijednosnim znakom.

brojna vrijednost pozitivan broj negativan broj


sa predznakom I kom. II kom.
0 0 000 1 000 1111 ----
0 001 1 001 1110 1111

Operacije sa komplementom jedinice

Naći razliku dva broja sa n bita.

A – B = A – B + (2k – ) – (2k – 1);

2k = 1 000 . . . 0 (k = broj nula i jednak je broju bita u umanjiocu),

X = k – x, k = ln – 1  dobiće se komplement najveće cifre,


n – jednak broju cifara u broju x,
l – osnova brojnog sistema.

A – B = A + B' – (2k – 1)
A – B = A + B' – 2k + 1  jedinica za prijenos eleminiše vrijednost - 2k

Ako je
A>B  A–B–1≥0,

Stoga mora biti


A + B' > 2k .

1) A – B = A + B' + 1

A = 1101 A 1101 A 1101


B = 0100 B' 1011 ili B' 1011
R=A–B
A>B 11000 11000
- 10000 2k 1

1000 1001
1000 + 1 = 1001

Oduzimanje dva broja može se tretirati kao sabiranje pozitivnog i negativnog broja.

46
Digitalna tehnika
2) A = 0 1101 A 0 1101 vrijednost negativnog
B = 1 0100 B' 1 1011 broja ćemo izraziti
preko prvog komplementa
S = A + (-B) 10 1000
1
A B S 0 1001

3) A = 0 0100 A 0 0100
B = 1 1101 B' 1 0010

S = A + (-B) S' 1 0110


A B S 1 1001
u ovom slučaju se dobije komplement sume

A + (- B) = A – B = A – B – ( 2k + 1 ) + ( 2k + 1 ) =
= A + B' - ( 2k – 1 ) = - [- (A + B') + ( 2k – 1 )]
= - (A + B')'

4) A = 0 0100 A' 1 1011


B = 1 1101 B' 1 0110

S =(- A) + (-B) 11 0001


1
S ' 1 0010
S 1 1101

Operacije sa komplementom dvojke

Razlika dva broja maže da se izrazi i u obliku:

A – B = A – B + 2k – 2k =
= A + ( 2k – B ) – 2k = A + B'' – 2k

1) A = 1101 A 1101
B = 0100 B'' 1100

R=A–B 1 1001 ( prijenos se izostavlja )


AB - 1 0000
1001

2) A = 0 1101 A 0 0100
B = 1 0100 B'' 1 1101

S = A + (-B) S 10 0001
A B

3) A = 0 0100 A 0 0100
B = 1 1101 B'' 1 0011

S = A + (-B) S '' 1 0111


A B S 1 1001

47
Digitalna tehnika

4) A = 1 0100 A'' 1 1100


B = 1 1001 B'' 1 0111

S = ( - A ) + ( -B ) 11 0001
S ''1 1 0011
S 1 1101

Realizacija oduzimača pozitivnih brojeva posredno preko sabirača umanjenika i drugog


komplementa oduzimača data je na slici 8.12.

REG. A 1 0 0 1

REG. B 0 0 1 1

PS PS PS PO

1 1 0 0 0 1 0 0 0 0 1
1 1
P4

PS PS PS PS

0 0 0 1 0 1 1 0
R3 R2 R1 R0

REG.R. 0 1 1 0

Slika 8.12. Ilustracija oduzimanja pomoću sabirača

Potrebno je 2n polusabirača. Jedinica na P4 se briše.

Množenje se svodi na višestruko sabiranje. Množenje binarnog broja sa množiocem


koji ima samo jednu cifru izvodi se pomoću I kola sa dva ulaza. Kod množenja višebitnih
brojeva opisani postupak se ponavlja, s tim što parcijalne proizvode treba i sabrati.

Dijeljenje se svodi na višestruko oduzimanje.

8 : 4  8 – 2 = 6, 6 – 2 = 4, 4 – 2 = 2, 2 – 2 = 0 (operacija ponovljena
četiri puta).

48
Digitalna tehnika
9. MEMORIJE

Svaki memorijski sistem treba da ispuni tri osnovna zahtjeva: da u određenom trenutku
primi informaciju, da zadrži primljenu informaciju u nepromijenjenom obliku i da je preda
nakim drugim kolima.

Parametri koji karakterišu memorije

1) kapacitet memorije (broj bita informacije)


2) brzina rada (vrijeme pristupa plus vrijeme prenosa).

Pristup memorijskom elementu može biti direktani ili sekvencijalni. Memorije mogu biti:
- destruktivne (nakon čitanja dolazi do brisanja sadržaja)
- nedestruktivne
- postojane
- nepostojane (flipflopovi)
- magnetne (magnetna jezgra, magnetne površine)
- nemagnetne (poluprovodničke, kriotronski prekidački elementi – provodnost
provodnika pod dejstvom magnetnog polja, optičke memorije ).

Sa aspekta vremenske dužine čuvanja informacija memorije mogu biti:


- privremene – registri
- trajne – RAM
- dugotrajne – doboš, disk, traka
- stalne – bušene kartice, ROM.

MEMORIJE ROM TIPA

Memorije ROM tipa imaju fiksan sadržaj. Jednom upisan sadržaj može se samo čitati, ne
može se ni brisati, ni mijenjati (fiksne memorije). Ubrajamo ih u grupu kombinacionih mreža.
ROM memorije se izrađuju u bipolarnoj ili MOS tehnici. U bipolarnoj tehnici se koriste
diode ili tranzistori, a u MOS tehnici tranzistori uglavnom N tipa, jer je N-MOS tehnologija
najbrža MOS tehnologija.

X X2
B A Q3 Q2 Q1 Q0
A Q0
0 0 0 0 0 0
ROM Q1 0 1 0 0 0 1
4X4 Q2 1 0 0 1 0 0
B Q3 1 1 1 0 0 1

Slika 9.1. Konstrukcija ROM memorije za kvadriranje dvocifrenih binarnih brojeva.

Ulazne promjenljive ROM memorije zovu se adresni ulazi, odnosno svaka memorisana
riječ ima adresu. ROM memorija sadrži dvije mreže: dekodersku i kodersku.

49
Digitalna tehnika

Q3 Q2 Q1 Q0

X0
A Q0
ROM Q1
4X4 Q2 X1
B Q3

X2

X3

A
DEKODER KODER

Slika 9.2. ROM memorija sa diodama

Dekoder služi za određivanje adrese pa se i naziva adresni dekoder.Koderski dio mreže je


u suštini fiksna memorija. Kapacitet ove memorije odgovara broju čvorova, odnosno broju
presječenih mjesta horizontalnih i vertikalnih vodova matrične strukture.
Otuda je kapacitet ROM memorije:

K b  n  2 m  u bitima ili K b  2 m  u riječima.


n – broj izlaza
m – broj adresnih ulaza memorije ( n = 4 , m = 2 ).

Konstrukcija fiksne memorije u MOS tehnici i sa dvodimenzionalnim adresiranjem


prikazana je na slici 9.3.
VGG
VDD

Y Q1 Q2
DEKODER
Y0
C Y1
Y0 Y1 Y0 Y1

X0

B X1
X
DEKODER
X2
A
X3

Slika 9.3. ROM memorija sa mosfetovima

50
Digitalna tehnika
Sadržaj memorije dat je u tabeli:

Adrese Izlazi

X Y Z
A B C Q1 Q2
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

Tabela 9.1. Sadržaj ROM memorije sa slike 9.3.

Funkcije koje se realizuju pomoću ROM memorije moraju da budu u potpunoj


formi (u formi zbira potpunih logičkih proizvoda).

Programabilna ROM memorija – PROM

U PROM memoriju se može zapisivati program po želji korisnika. Da bi se to omogućilo


svako korisno mjesto u koderskoj mreži ROM memorije mora da posjeduje spojni element. Upis
sadržaja se vrši na taj način što se vrši prekidanje spojeva.
To se najčešće izvodi na licu mjesta pregoravanjem osigurača na spojnom mjestu.

Y0 Y1 Y2 Y3 +VCC OSIGURAC

X0 X0
TR'0

X1 Q0
+VCC TR0

X2 X1
Q1
Q2
Q3
OSIGURAC Y0 Y1 (b)
(a) X0

X1
(c)

Slika 9.4. a) diode sa osiguračima b) višeemiterski tranzistori sa osiguračim


c) dvostruki PN spojevi

51
Digitalna tehnika
Osigurači su od legure nikla i hroma ili polikristalnog silicijuma ( zagrijavanjem strujnim
impulsima na oko 1400o C, struja 20 – 50 mA ).

Programabilne logičke strukture – PLA

I ovdje se radi o univerzalnim strukturama koje se programiraju po želji korisnika, pa je


princip izrade i programiranja kao PROM-a.
Da bi se funkcija Q  B  BC  AC mogla da izvede kao ROM memorija, mora se
dovesti u oblik zbira potpunih proizvoda. Međutim, korištenjem strukture PLA ona može biti
realizovana u ovakvom obliku. PLA struktura se sastoji od dvije matrične mreže: jedna sa I
kolima, a druga sa ILI kolima, odnosno može se izvesti preko spojnih elemenata na isti način kao
i PROM, s tim da se izostavlja adresni dekoder.

I MATRICA
A A

B B

C C

ILI MATRICA

(a) (b)

Slika 9.5.PLA mreža a) logička šema b) strukturna šema

Ukupan broj m spojnih mjesta iznosi:


NS = ( 2 m + n ) P

m – broj ulaza
n – broj izlaza
P – broj predviđenih logičkih proizvoda.

Odrediti programabilnu logičkun strukturu za konvektor koda BCD 8421 u Grejov BCD
kod.

52
Digitalna tehnika
BCD8421 Grejov
Ni BCD kod
D C B A Z Y X W
0 0 0 0 0 0 0 0 0
0 0 0 1 1 0 0 0 1
0 0 1 0 2 0 0 1 1
0 0 1 1 3 0 0 1 0
0 1 0 0 4 0 1 1 0
0 1 0 1 5 0 1 1 1
0 1 1 0 6 0 1 0 1
0 1 1 1 7 0 1 0 0
1 0 0 0 8 1 1 0 0
1 0 0 1 9 1 0 0 0

Tabela 9.2. Tabela konverzije koda BCD 8421 u Grejov kod

Izlazne jednačine konvektora su:


Z=D
Y=C+DA
X = CB+ CB
W = B A + DB A

Ekonomičnost primjene PLA struktura izrazito je kod funkcija sa velikim brojem ulaza,
jer sadrže mali procenat od mogućeg broja logičkih proizvoda.

PROMJENLJIVE PROM MEMORIJE – RePROM

Programabilne memorije izgrađene u MOS tehnici imaju veći kapacitet od bipolarnih


memorija. Vidjeli smo da do sada opisane memorije prestavljaju kombinacione mreže.
Promjenljive programabilne memorije (RePROM), čiji se sadržaj povremeno može mijenjati,
sadrži specijalne memorijske ćelije. Jedna specifična RePROM memorija je EPROM (da bi se
upisao novi sadržaj predhodni se mora potpuno izbrisati). Kod drugih se sadržaj u određenim
lokacijama može promijeniti električnim postupkom EAPROM. Oba ova tipa RePROM
memorija zadržavaju memorisanu vrijednost i po isključenju napajanja.

Postojane memorijske ćelije

NEPROGRA - PROGRAMIRANO
ID
MIRANO
Poli-Si
gejt
S MG SG D
SiO2
S D

P P P P "1" "0"
Si N Si P

D D

SG

S S
MG VT1 VC VT2 VG

Slika 9.6. Struktura FAMOS ćelije sa jednim i dva gejta, grafički simbol, karakteristika

53
Digitalna tehnika
Princip realizacije postojane memorijske ćelije u MOS tehnici sastoji se u tome da se u
posebnim uslovima ubace nosioci elektriciteta u neprovodne sredine. Zahvaljujući vrlo velikoj
otpornosti takve sredine, ne dolazi do nastajanja odvodnih struja, pa se ubačeno naelektrisanje
održava veoma dugo bez ikakvog napajanja.
Najveću praktičnu primjenu za sada nalaze memorijske ćelije FAMOS. Ćelija ima
uobičejene priključke za sors i drejn, dok je gejt od polisilicijuma ukopan u izolatorsku sredinu
SiO2. Gejt slobodno lebdi, jer nema spoljašnjeg izvoda. U normalnim uslovima napajanja kod
ovakvog mosfeta ne može da nastane struja između drejna i sorsa pa se takvom stanju pripisuje
stanje logičke nule. Odnosno, logičkoj nuli odgovara memorijska ćelija sa velikim pragom
provođenja. Stanje logičke jedinice treba da bude takvo da se u normalnim uslovima napajanja
može da uspostavi struja između drejna i sorsa. To se postiže indukovanjem stalnog kanala
između sorsa i drejna, tako što se između sorsa i drejna ostvari dosta velika potencijalna razlika
priključivanjem napona od oko 50 V.
Na inverzno polarisanom p-n spoju drejna ili sorsa nastaje lavinski efekat, tako da
elektroni koji raspolažu velikom energijom mogu da prođu kroz tanki oksidni sloj i da se
injektuju u gejt. Pošto je provodnost oksida mala, injektovani tovar se zadržava u gejtu i poslije
ukidanja napajanja. Kao posljedica negativnog naelektrisanja u gejtu, neposredno ispod njega se
indukuje P kanal između sorsa i drejna. Na ovaj način se dobije ćelija sa malim pragom
provođenja (kaže se da je ćelija programirana za vrijednost logičke jedinice).
Da bi se ćelija vratila na nultno (resetovano) stanje potrebno je da se izbriše indukovani
kanal, odnosno da se odstrani električni tovar. To se postiže izlaganjem ćelija ultravioletnim
zracima određene učestanosti i trajanja. Pri tome se energija fotona predaje elektronima koji su
sada u mogućnosti da savladaju energetsku barujeru kroz oksid prema podlozi. Za prolaz
ultravioletnih zraka predviđa se poseban otvor a kućište ne smije da bude potpuno naelektrisano.
Adresiranje se najlakše izvodi preko gejta, koga ovdje nema. Zbog toga se na red sa
FAMOS ćelijom vezuje obični mosfet, čiji se gejt koristi za dovođenje adresnih podataka. Da bi
se to izbjeglo realizovan je FAMOS sa dva gejta:
- MG – memorijski gejt
- SG – selekcioni gejt (gejt sa spojnim izvodom).

Ako se na SG postavi pozitivan napon FAMOS se ponaša kao mosfet sa indukovanim N


kanalom, (kroz njega teče struja) i to je stanje logičke jedinice. Drugo stanje mora da bude takvo
da pri normalnoj polarizaciji mosfet ne provodi (povećava se prag provođenja). To se postiže
povećanjem koncentracija šupljina u oblast kanala.

Čitanje memorisane vrijednosti se ostvaruje dovođenjem napona VČ na gejt pri normalnoj


polarizaciji drejna prema sorsu, koji je veći VT1, a manji od VT0. ako pri tom kroz ćelije teče
struja, onda ona nije programirana (stanje logičke jedinice), a ako nema struje ćelija je
programirana (stanje logičke nule).

FAMOS ćelija prije ponovnog programiranja se mora izbrisati ultravioletnim zracima ili
X zracima, a nekad i električnim postupkom – MNOS ćelije. Kod nje je gejt izgrađen sa tanjim
sloje silicijum oksida SiO2 i nešto debljim slojem silicijum nitrida Si3N4. U normalmim uslovima
napajanja, zbog uvećanog dielektričnog sloja, ovakav mosfet je neprovodan (neprogramabilan),
stanje logičke nule.

Stanje logičke jedinice se programira na taj način što se ćelija postavlja da ima nizak prag
provođenja, dovođenjem na gejt pozitivnog naponskog impulsa (25 V). Pri tom nastaje
tunelovanje elektrona kroz tanak oksidni sloj između dva dielektrična sloja, koji će ispod
oksidnog sloja da indukuje P kanal, čime se prag mosfeta smanjuje. Čitanje MNOS ćelije je isto
kao i FAMOS ćelije.

54
Digitalna tehnika

ID PROGRA - NEPROGRA
MIRANO -MIRANO 1 0
25V
MNOS
0

SiO2 UPIS
G Si3N4
S D "1" "0"
CITANJE
P P
Si N 8V
0

VT1 VC VT2 VG

Slika 9.7. Struktura MNOS ćelije, karakteristika i grafički simbol

Brisanje se izvodi električnim putem, dovođenjem na gejt negativnog impulsa (dovoljno


velikog) da elektroni mogu biti tunelovani u poluprovodnik – ćelija vraćena u neprogramirano
stanje.

10. POLUPROVODNIČKE MEMORIJE

Za izgradnju poluprovodničkih memorija upotrebljavaju se bipolarne i unipolarne ćelije.


Bipolarne ćelije su flipflopovi, a unipolarne mogu biti statičke i dinamičke. Kod dinamičkih
ćelija memorisani sadržaj se može obnavljati, jer se čuva u među dektrodnim kapacitivnostima
Mosfetova. Najčešće se CMOS tehnologija koristi za izgradnju unipolarnih memorija. To su
memorije manjeg kapaciteta, a velike brzine rada.

BIPOLARNE MEMORIJE

Poluprovodničke bipolarne memorije odlikuju se najvećom brzinom rada. Bipolarna


RAM memorija je sačinjena od flipflopova. Da bi flipflop poslužio kao memorija on mora imati
mogućnost adresiranja, kao i jednostavan pristup ulazu radi upisa i izlazu radi čitanja.
Modifikacijom RS flipflopa, kao na slici 10.1. flipflop može da posluži za izgradnju
RAM memorije.

X ADR.
X
UL IZ
S Q

MC
UL IZ
R Q

C/U

C/U
(a) (b)

Slika 10.1. Poluprovodnička memorijska ćelija a) logička šema b) blok šema

55
Digitalna tehnika
Kolu se može pristupiti samo u slučaju kada je pobuđen njegov priključak za adresiranje
– X i doveden signal na ulaz za čitanje i upis – Č / U.

(X=1 X=1)
upis čitanje
(Č/U=1) Č/U=0)

Organizacija memorije sa ovakvim ćelijama data je na slici 10.2.

ULAZNI REGULATOR

X0 = A1A0

MC 01 MC 02

A0 X1

X MC 11 MC 12
DEK.
A1 X2

MC 21 MC 22

X3

CS

MC 31 MC 23

C/U

IZLAZNI REGULATOR

Slika 10.2. Organizacija memorije 4x2

Kapacitet memorije iznosi četiri riječi po dva bita. Ulazni adresni dekoder bira jednu od
četiri riječi. Vrijednosti za upis se nalaze u ulaznom registru. Dovođenjem logičke jedinice na
ulaz Č / U može se izvršiti upis u odabrane memorijske ćelije. Da bi se podatak iz memorijskog
elementa očitao i smjestio u izlazni registar potrebno je dovesti signal logičke nule na ulaz Č / U
već prisutan signal adrese.

Memorijske TTL ćelije

Standardna bipolarna memorijska ćelija izrađuje se u TTL tehnici. Tranzistori imaju po


tri emitora (x,y za adrese i z za informacioni signal ). Postoji i informacioni vod Z. Na ovaj način
je ostvaren dvolinijski pristup koji eliminiše potrebu brisanja memorijske ćelije. Postije i kola
preko kojih se ostvaruje veza sa ulazom-izlazom, koja opslužuju veći broj ćelija koje su
priključene na isti par informacionih ulaza.
Na slici 10.3. prikazana je blok šema TTL ćelije.

56
Digitalna tehnika

Z xi Z

yj

VCC Z Z

MC yn

Q Q
xn
TR1 TR2
yn-
E1 E2 1
x
xn-
V(1)
y V(0) 1

y0
VCC
Z Z x0
IZ

TR3 TR4 LOGIKA ZA LOGIKA ZA


VCC VCC UPIS CITANJE

D1 D2

VBB > V(0)


TR5 TR6

LK1 LK2

UL
C/U

(a) (b)

Slika 10.3. a) Memorijska TTL ćelija b) Blok šema TTL ćelije

TR3 i TR4 se koriste pri očitavanju informacije, a TR5 i TR6 se koriste pri upisu
informacije. Napon upisa x i y se dovode na „0“, TR1 ostaje uključen, a TR2 isključen tako da se
upisano stanje zadržava (pamti).
Za vrijeme očitavanja TR5 i TR6 su uključeni, a D1 i D2 su isključene pa taj dio kola nema
uticaja na ostali dio kola. Emitorska struja TR1 dolazi na liniju Z i stvara pad napona na
otporniku prema VBB koji isključuje TR3. Na liniji Z nema struje te je TR4 isključen, pa je izlazu
stanje logičke jedinice.

Statičke MOS ćelije

Ako se rade sa jednodimenzionalnom adresom ćelija ima šest mosfetova, a ako se radi sa
dvodimenzionalnom adresom ćelija ima osam mosfetova, od kojih se četiri koriste kao
memorijska kola, a ostali služe za spregu sa informacionim vodovima.
Data je šema ćelije sa šest mosfetova, ali sa dvodimenzionalnom adresom. Koordinata x
utiče direktno na ćeliju preko mosfetova MF5 i MF6, a koordinata y djeluje posredno preko
mosfetova MF7 i MF8, jer su zajednička za sve ćelije koje su priključene na iste informacione
vodove Z i Z . I ovdje je osnovni memorijski element flipflop (ako je na gejtu mosfeta napon
logičke “1” on je isključen i obrnuto).

57
Digitalna tehnika

VDO

MF3 MF4

Q
MF5 MF6

Z MF1 MF2 Z VDD


MC

MF7 Y MF8
MF9 MF10 MF11
UL

UP CT

Slika 10.4. Statička MOS ćelija sa pratećim kolima

UNIPOLARNE DINAMIČKE MEMORIJE

Upisani sadržaj se čuva u ulaznim kapacitivnostima mosfeta. Odvodne struje smanjuju


naelektrisanje tih kapacitivnosti, pa se sadržaj ovakvih memorijskih ćelija mora češće obnavljati.

Četverotranzistorska memorijska ćelija

Da bi se smanjio broj mosfetova, a takođe i disipacija, konstruiše se dinamička


memorijska ćelija, koja se ne mora stalno napajati. Dinamička memorija sa četiri mosfeta data je
na slici 10.5.
Memorisana vrijednost održava se u obliku naelektrisanja u ulaznim kapacitivnostima
mosfetova MF1 i MF2, zahvaljujući velikoj izlaznoj otpornosti ovih elemenata. MF3 i MF4 su
prekidači za vezu memorijskih kapacitivnosti C1 i C2 sa informacionim vodovima Z i Z .
Prikazana struktura je sa jednodimenzionalnom adresom x, ali je preko mosfetova
ostvareno dvodimenziono adresiranje. Kapacitivnosti C1 i C2 će se vremenom prazniti odvodnim
strujama kroz MF1 i MF3. Zbog toga postoji opasnost da se memorisana vrijednost jedinice
transformiše u vrijednost nule već poslije nekoliko milisekundi. Da bi se to spriječilo vrši se
obnavljanje naelektrisanja, odnosno osvježavanje u intervalima do dvije milisekunde. Postupak
osvježavanja traje svega nekoliko stotina nanosekundi. Za to su predviđeni mosfetovi MF7 i
MF8. Pri osvježavanju memorijske kapacitivnosti se automatski restartuju saglasno memorisanoj
vrijednosti.
Upisana „1“ se preko MF5, MF3 dovodi na gejt MF2. na njegovom izlazu je logička „0“
tj. VC1 = 0, dok je VC2 = 1. Pri osvježavanju mosfet MF2 vodi tako da je onemogućeno punjenje
kondenzatora C1.

58
Digitalna tehnika

MF3 MF4

MF1 MF2

C1 C2
Z Z

MC

VDD

OSV MF7 MF8

MF5 Y MF6
U/I

PR
U/I

Slika 10.5. Dinamička četverotranzistorska ćelija sa pratećim kolima

Tranzistorska memorijska ćelija

Na slici 10.6. su date razne varijante tranzistorske ćelije. Kao memorijski medij u ovoj
ćeliji koristi se ulazna kapacitivnost CM memorijskog mosfeta MF2. Mosfetovi MF1 i MF3 služe
kao prekidači koji omogućavaju pristup memorijskom elementu.

X
XC

MF3 MF3

ZU ZU
MF1 ZC MF2 ZC
MF2 MF1

CM CM

(b)
XU
(a)

59
Digitalna tehnika
XC Z

MF1
MF3
MF3
Z
MF2 MF2
MF1 CM CM

XU
X
(c)
(d)

Slika 10.6. Dinamičke tranzistorske ćelije


a) MC 3 – 2 – 2 , b) MC 3 – 1 – 2 , c) MC 3 – 2 – 1 , d) MC 3 – 1 - 1

Ćelija se označava kao na primjer MC 3 – 2 – 2; što znači ćelija sa tri mosfeta, dva
adresna i dva informaciona voda.

Nedostatak ovakve ćelije je u tome što se može desiti da dođe do protrčavanja podataka
od jednog do drugog informacionog voda, jer su istovremeno uključeni MF1 i MF3. Pogodnija je
ćelija MC 3 – 1 – 1, jer ima zajednički informacioni i adresni vod, ali je upravljanje složenije.
Adresni vod ima tri nivoa. Srednji se koristi za čitanja, a najviši za upisivanje i osvježenje.

Jednotranzistorska memorijska ćelija

Ovo je ćelija tipa MC 1 – 1 – 1.


Kapacitivnost CM je monolitno realizovana.
Ako je X = 1 i zatvoren prekidač P vrši se upis, a za čitanje je dovoljno da bude X = 1.

X
Z
P SiO2
MF X
VM Poli - Si Z

CM VZ A CM n n C
P
I/U MF
CP Si - P

(a) (b)

Slika 10.7. Jednotranzistorska memorijska ćelija a) šema veza b) strukturna šema

Osvježenje se vrši na taj način što se neposredno po čitanju zatvori prekidač P, čime se
pročitana vrijednost ponovo unosi u CM. Napon na informacionom vodu iznosi:
CM
VZ  VM .
CM  CP
gdje je CP parazitna kapacitivnost voda.
Uslov C M  C P zahtijeva povećanje površine obloga kondenzatora. Zbog toga se očitana
vrijednost uzima sa senzorskog stepena A uz uslov:

VZ > VT, gdje je VT prag osjetljivosti pojačavača.


60
Digitalna tehnika

Na jedan te isti informacioni vod Z priključuje se više ovakvih ćelija pa parazitna


kapacitivnost raste.

Organizacija dinamičke memorije

Dinamičke ćelije imaju jednodimenzionalnu adresu, ali mogu da budu identifikacione sa


dvije koordinate. Princip izvođenja takve memorije sa jednotranzistorskim ćelijama dat je na
slici 10.8.

X1

CM1 CM2

X2

CM3 CM4
Z

UP
( OSV )

SP SP

U/I y1 y2

Slika 10.8. Organizacija memorije 4x1

Na svaki informacioni vod postavljen je po jedan senzorski stepen (pojačavač Sp). Za


upis podataka u ćeliju sa kondenzatorom CM3, potrebno je da se postave naponi logičke “1” na
adresne vodove x2 i y1 i na UP, a za čitanje na adresne vodove x2 i y1. Poslije svakog čitanja vrši
se ponovno upisivanje posredstvom impulsa UP (destruktivno čitanje).
Osvježavanje ćelije vrši se ciklično i to istovremeno za sve ćelije koje se nalaze na istom
x vodu. Ciklična promjena adrese ostvaruje posredstvom odgovarajućeg brojača. U prvom dijelu
ciklusa osvježavanja UP se nalazi na niskom, a u drugom na visokom nivou (y koordinata se pri
tom ne koristi).

Osvježavanje ćelije se vrši na dva načina:


Prvi način: U intervalima od po dvije milisekunde se sprečava svaki pristup memoriji za
vrijeme potrebno za izvođenje osvježavanja.
Drugi način: Pojava ciklusa osvježavanja sa periodom 62,5 mikrosekundi. Pri svakom ciklusu
se adresuje jedan po jedan vod, tako da se osvježavanje završi po isteku dvije milisekunde.
Trajanje procesa osvježavanja je proporcionalno broju adresnih vodova X.

X  broj memorijskih lokacija

Blok šema memorijskog sistema za 4096 riječi po jedan bit dato je na slici 10.9.

61
Digitalna tehnika
A0
RAZDV. REG. MEMORIJSKE
I DEKODER CELIJE A0 UL (DI)
VRSTA 64 64 x 64
A5
IZ (DO)

64 PN (CE)
C / U (WE)
PN VREMENSKA SENZORSKI MEM.
KONTROLA STEPENI SC (CS)
(CE) 4096x1
VDD
Y 64 VBB
C/U VCC
RAZDV. REG. A11
(WE)
U/I I DEKODER NC
SC
(CS) KOLONA

UL IZ A6 A11
(DI) (DO)
(a) (b)

Slika 10.9. Dinamička memorija 4096x1 a) blok šema b) integrisana komponenta

MEMORIJE VEĆEG KAPACITETA

Veći memorijski kapaciteti se izvode pogodnim povezivanjem više osnovnih


komponenti. Memorijske komponente se najčešće proizvode sa lokacijama od jednog bita. Ako
se broj lokacija označi sa M, a broj ćelija u lokaciji sa N, onda se memorija kapaciteta M x N
može realizovati korištenjem N komponenti sa kapacitetom M x 1. Realizacija memorije
kapaciteta 256 x 4 izvedena je komponentama 256 x 1 prikazana je na slici 10.10.

UL4 IZ4

UL1 IZ1

U I U I U I U I
A0 A0 A0 A0 A0

256 x 1

A7 A7 C/U A7 C/U A7 C/U A7 C/U


CS CS CS CS
CS
C/U

Slika 10.10. Memorija 256x4 izvedena sa komponentama 256x1

Broj adresnih ulaza A se računa po formuli:

ln M
A  log 2 M  .
ln 2

62
Digitalna tehnika
Udvostručivanjem broja lokacija postiže se bez dodavanja kola. Za memoriju 2M x N
biće potrebno 2 komponente M x 1.

IZ1
UL2

UL1 U I U I
A0 A0 A0 IZ2

256 x 1

A7 A7 C/U A7 C/U
CS CS
A8

U I U I
A0 A0

A7 C/U A7 C/U
CS CS

C/U

Slika 10.11. Memorija sa 512 lokacija po 2 bita izvedena sa komponentama 256x1

Potrebno je devet adresnih podataka (deveti podatak služi za omogućenje pristupa


gornjim ili donjim čipovima).
Povećanje broja lokacija redovno se izvodi množenjem lokacija osnovne komponente
faktorom 2k.

Ova memorija ima četiri stranice podataka, čija identifikacija može da se izvede sa dva
adresna podatka A8 i A9. U tom cilju upotrijebljen je dekoder 2/4 čijim se izlazima vrši selekcija
čipova koji pripadaju istoj stranici.
Odredimo mjesto lokacije adrese 260,0 odnosno 0 100000 1 00 2 (adresirano sa deset
bita).
Osam nižih bita dovedeno je na adresne ulaze svih memorijskih komponenti. Preostala
dva bita (01) dovedena su na ulaz dekodera, koji eksituju njegov prvi izlaz i omogućen je
pristup samo čipovima koji su priključeni na ovaj izlaz. Tražene lokacija se nalazi na četvrtom
mjestu stranice broj dva.

63
Digitalna tehnika
IZ1
UL2

UL1 U I U I
A0 A0 A0 IZ2

ADRESE
256 x 1
0 - 255

A7 A7 C/U A7 C/U
CS CS

U I U I
A0 A0

ADRESE
256 - 511
0
A7 C/U A7 C/U
A8
CS CS
DEK.
1
STRANA
2/4
2 I
A9 U U I
3
A0 A0

ADRESE
256 x 1
512 - 767

A7 C/U A7 C/U
CS CS

U I U I
A0 A0
ADRESE
768 - 1023

A7 C/U A7 C/U
CS CS

C/U

Slika 10.12. Memorija 1024x2 izvedena sa komponentama 256x1 posredstvom dekodera

Konstrukcije memorije sa povećanim brojem lokacija može da se izvede i pomoću


demultipleksora, kao što je prikazano na slici 10.13.

64
Digitalna tehnika
VCC

UL1 U1 I1 IZ1
16x4
UL4 U4 I4 IZ4
A0
A0
A3 C/U
A3
CS

A4
A5 U1 I1
16x4
U4 I4

A0
0 C/U
1 A3
1 DMX 2 CS
3

0
C/U DMX 1
2 U1 I1
3
16x4
U4 I4

A0
C/U
A3
CS

U1 I1
16x4
U4 I4

A0
C/U
A3
CS

Slika 10.13. Memorija 64x4 izvedena pomoću komponenata 16x4 posredstvom


demultipleksora

U ovom slučaju osnovna memorijska komponenta ima šesnaest lokacija sa po četiri bita i
radi sa otvorenim kolektorima. Svaka komponenta pored četiri adresna ulaza ima još po četiri
priključka za ulaze i izlaze informacionih podataka.
Za selekciju memorijskih komponenti upotrijebljena je gornja polovina demultipleksora.
Na njegove selekcione ulaze dovode se adresni podaci A4 i A5, a informacioni ulaz mu je
postavljen na “1”.
Donja polovina demultipleksora iskorištena je za izbor postupka čitanja ili upisivanja, jer
se napon Č / U koristi kao informacioni.
Pri konstrukciji vrlo velikih memorija potrebno je voditi računa o faktoru opteretljivosti
pojedinih integrisanih kola.

65
Digitalna tehnika
11. POVRŠINSKE MAGNETNE MEMORIJE

Brzina rada ovih memorija nije prvorazrednog značaja. Njih karakterišu veliki kapacitet,
postojanost, dugotrajnost, ekonomičnost i male dimenzije s obzirom na kapacitet.
Za izgradnju ovakvih memorija koriste se magnetne površine debljine10 – 20 μm, koje se
nanose na pogodan nosač od nemagnetnog materijala. Magnetni materijal je obično legura nikla i
kobalta.

Princip rada:

Upisivanje i očitavanje informacija kod površinskih magnetnih memorija vrši se pomoću


magnetnih glava. Najčešće je magnetna fiksirana, a memorijska površina se kreće.

t
I

V
t

Ni-Co
AL
(c)
(a) (b)

Slika 11.1. Površinsko magnetno memorisanje: a) magnetna glava i memorijska površina


b) formiranje magnetnih dipola c) dijagram magnetnog fluksa i indukovanog napona

Jezgro magnetne glave je od materijala visokog permabiliteta. Da bi gustina memorisanja


bila veća, magnetna glava treba da stvara što veće polje. U prorez glave se postavlja jako
provodni materijal (bakar) čime se zbog vihornih struja još više potiskuje magnetno polje izvora
glave. Veća gustina memorisanja će se postići pomoću glave sa manjim prorezom i manjim
odstojanjem memorijske površine (10 – 20 μm).
Upisivanje informacija vrši se propuštanjem strujnih impulsa kroz namotaj glave.
Polaritet ovih impulsa određen je logičkom vrijednošću informacije. Nastalo magnetno polje u
memorijskoj površini formira magnetne dipole sa smjerom indukcije koji odgovara polaritetu
upisanih impulsa. Magnetni dipoli memorišu informaciju izraženu datim strujnim impulsima.
Očitavanje memorijskih vrijednosti vrši se kretanjem memorijske površine ispod
magnetne glave. Pri tome dolazi do zatvaranja kola fluksa remanentnih magnetnih dipola kroz
magnetno jezgro umjesto kroz vazduh, čija je magnetna otpornost veća nego one u jezgru. Zbog
postupnog približavanja i udaljavanja magnetnih dipola od proreza glave u jezgru glave se stvara
fluks zvonastog oblika. U namotaju glave indukuje se napon oba polariteta, te će biti još
potrebno da se izvrši izdvajanje impulsa ispravnog polariteta.

Magnetni doboš

Sastoji se od cilindričnog aluminijskog nosača, čija je spoljna površina prevučena


magnetnim materijalom.

66
Digitalna tehnika
MAGNETNE GLAVE

Slika 11.2. Magnetni doboš

Po cijeloj dužini doboša raspoređeno je više memorijskih kanala, a svaki kanal raspolaže
sa više lokacija. Adresni registar treba da sadrži podatke o broju kanala, kao i o broju lokacija u
kanalu. Obično se jedan ili dva krajnja kanala na dobošu rezervišu za adresne podatke kao i za
sinhronizaciju sa taktnim generatorom. Upisivanje i očitavanje se vrši istim magnetnim glavama
koje su fiksirane na rastojanje 25 – 50 μm . Najčešće je za svaki kanal predviđena posebna
magnetna glava. Upisivanje se izvodi redno, paralelno ili redno/paralelno. Kod rednog upisa svi
biti iste informacije unose se u jedan isti kanal, dok kod paralelnog upisa svaki bit je smješten u
poseban kanal.
Brzinu rada ove memorije ograničava vrijeme pristupa (vrijeme iznalaženja tražene
lokacije), a ono zavisi od brzine obrtanja doboša. Vrijeme pristupa se smanjuje povećanjem
brzine obrtaja ili postavljanjem dvije ili tri magnetne glave duž svakog kanala. Međutim , ovo se
nepovoljno odražava na ekonomičnost izrade.

Magnetni disk

Disk je magnetna ploča kružnog oblika, debljine 1 – 3 mm, čije su obje strane prevučene
magnetnim materijalom. Više ovakvih ploča (10) postavlja se na istu vertikalnu osovinu koja se
okreće brzinom od nekoliko hiljada obrtaja u minuti.
Za upis i očitavanje podataka koriste se magnetne glave na rastojanju oko 10 μm.
Svakom disku pripada po jedan par magnetnih glava, tako da one ne izlaze izvan diska i ostaju
tamo gdje su predhodno bile dovedene.
Kod memorija vrlo velikog kapaciteta često se koristi jedna glava za sve magnetne ploče. Trag
kod magnetnih diskova čine koncentrični krugovi koji se zovu kanali. Kretanje glave do
određenog kanala je direktni pristup, dok se rotacijom diska dođe do određene lokacije u kanalu i
to je sekvencijalni pristup. Upisivanje podataka vrši se serijskim postupkom.

67
Digitalna tehnika
MAGNETNE GLAVE
DISKOVI
SEKTOR

KANAL

(a) (b)

Slika 11.3. Memorija sa diskovima a) mehanizam glava i diskova b) magnetni disk

Disk je podijeljen još i na sektore, tako da adresa memorije sadrži podatak o sektoru,
kanalu i lokaciji. Gustina memorisanja podataka je različita na disku. Unutrašnji kanali imaju
veću gustinu od spoljašnjih.
Za paralelno upisivanje podataka u više kanala potrebno je da svaki disk raspolaže sa
odgovarajućim brojem magnetnih glava.

Proizveden je magnetni disk na podlozi od poliestera, koji je postavljen u plastično


kućište. Na kućištu je ostavljen otvor za direktan pristup magnetne glave jednom od ukupno 77
kanala. Ovakav disk zove se disketa.

Magnetna traka

Namijenjena je za čuvanje velikog broja podataka. Memorijski medij je magnetni sloj


debljine 10 μm koji je nanijet na traku od poliestera debljine 40 μm, a širine12,7 mm. Osnovna
koncepcija mehaničke konstrukcije je da se poveća brzina upisivanja i očitavanja podataka, i da
se smanji vrijeme pokretanja i zaustavljanja trake.

DVOSTRUKA
REZERVOAR
MAG. GLAVA
TRAKE

TRAKA
(a)

K
8
4
2
1

BLOK 1 BLOK 2
(b)

Slika 11.4 Memorija sa magnetnom trakom: a) mehanizam glava i koturova


b) magnetna traka

68
Digitalna tehnika
Upisivanje podataka vrši se serijski ili serijsko/paralelnim postupkom. Memorisane
informacije grupisane su po blokovima koji su razdvojeni praznim međublokovima dužine 20
mm.
Istovremeno upisivanje BCD slogova u memorijske kanale zahtijeva onoliko magnetnih
glava koliko slog ima bita. Redovno se predviđa jedan kanal za kontrolu tačnosti upisivanja.
Kontrola upisivanja podata vrši se i očitavanjem njihovih vrijednosti neposredno poslije
upisivanja. To je moguće korištenjem dvostrukih magnetnih glava.
Nedostatak magnetnih traka je njihovo neravnomjerno istezanje po sredini i krajevima,
što može dovesti do uzdužnog pomjeranja memorijskih ćelija.
Magnetna traka je memorija sa sekvencijalnim pristupom. Postoje tri tipa magnetnih
traka: na koturovima, u kasetama i u patronima.

69
Digitalna tehnika
12. D/A I A/D KONVERZIJA

Pod konverzijom neke fizičke veličine podrazumijeva se transformacija (pretvaranje) te


veličine u neku drugu fizičku veličinu:
1) analogno – digitalna konverzija,
2) digitalno – analogna konverzija (mjerni i kontrolni uređaji).

DIGITALIZACIJA ANALOGNOG SIGNALA

Transformacija analognih vrijednosti signala u digitalni (numerički) oblik izvodi se


primjenom tri postupka: odmjeravanje, kvantovanje i kodovanje.

M N
VA VD VA

A/D

ODMJERAVANJE D/A
VA
KVANTOVANJE REGENERISANJE

KODOVANJE DEKODOVANJE VA
VD

Slika 12.1. Konverzioni postupci


TAČKA M:

Analogni signal VA uvodi se u A/D konvektor preko bloka za odmjeravanje u kome se


vrši vremensko kvantovanje signala. U određenim vremenskim razmacima pod dejstvom
impulsa za odmjeravanje PO formiraju se odgovarajući amplitudni odmjerci analognog signala
VO, koji se dovode u blok za amplitudno kvantovanje u kome se analogni odmjerci prevode u
najpribližniju diskretnu veličinu. Analogni signal VO se transformiše u kvantovani signal VK.
Najzad se kvantovani odmjerci dovode u blok za kodovanje u kome se diskretne vrijednosti
izražavaju numeričkim vrijednostima (negativne vrijednosti se izražavaju pomoću drugog
komplementa).

TAČKA N:

Digitalni signal VD se ponovo transformiše u analogni VA pomoću D/A konvektora. Iz


bloka za dekodovanje se dobivaju odgovarajući kvantovani odmjerci, a u bloku za regenerisanje
se formira analogni signal.

DIGITALNO – ANALOGNA KONVERZIJA

Ovaj proces konverzije obuhvata dekodovanje i regenerisanje signala. Dekodovanje se


sastoji u određivanju analognih vrijednosti signala na osnovu datih kodnih riječi, a regenerisanje
podrazumijeva postupak formiranja kontinualnog neprekidnog signala. Matematički posmatrano,
regenerisanje prestavlja aproksimaciju analognog signala nekim polinomom.
70
Digitalna tehnika

Osnovne tehnike D/A konverzije:


- sabiranje komponenata struje
- integriranje naponskih impulsa.

Realizacija D/A konvektora na principu sabiranja stanja izvodi se pomoću otpornih


mreža. Struktura mreže treba da bude takva, da omogućava uspostavljanje strujnih komponenata
koje stoje u odnosu pozicionih vrijednosti cifara u digitalnoj riječi. Takve mreže izvode se kao
težinske ili kao ljestvičaste. Sa gledišta napajanja postoje takođe dva rješenja: sa naponskim i sa
strujnim referentnim izvorom (tamo gdje se zahtijeva velika brzina rada).

D/A konvektori na principu integraljenja impulsa izvodi se uglavnom korištenjem


pravougaonog napona sa promjenljivim faktorom ispune λ = tp / TC ili korištenjem različite
gustine impulsa. U prvom slučaju formira se napon sa faktorom ispune koji je određen odnosom
pozicionih vrijednosti binarnih cifara u digitalnoj riječi. Ako najnižem bajtu odgovara faktor
ispune λ0, onda se u datom opsegu faktor ispune skokovito mijenja srazmjerno proizvodu faktora
λ0 i brojne vrijednosti digitalne riječi. Srednja vrijednost ovako formiranog pravougaonog
napona prestavlja analogni ekvivalent digitalne vrijednosti. Prema tome, analogna vrijednost
može se dobiti iz pravougaonog napona pomoću integratora.

Kod D/A konvektora koji koristi princip promjenljive gustine impulsa, broj impulsa u
ciklusnom vremenu je srazmjeran digitalnoj vrijednosti. Promjenljive gustine impulsa mogu se
ostvariti pomoću brzinskog množača. Integraljenjem tako dobijenog impulsa nalazi se njihova
srednja vrijednost kao analogni ekvivalent digitalne riječi.

Težinska otporna mreža

Otporne mreže imaju samo jedan izlaz, a broj ulaza im je određen brojem bita u
digitalnim slogovima koji se konvertuju. Elementi mreže se biraju tako, da se na izlazu formiraju
analogni naponi čije vrijednosti stoje u odnosima pozicionih vrijednosti bita u kodnoj riječi,
ukoliko se eksituje samo jedan određen ulaz mreže. Otporna mreža mora imati i zbirni elemenat,
koji omogućava srazmjerno povećanje analognog napona na izlazu za slučaj istovremene pobude
više ulaza.

Vrijednosti otpornika u težinskoj otpornoj mreži se određuju prema pozicionim


vrijednostima, odnosno težinama pojedinih bita u digitalnoj riječi.

R0 M VAP
V0 IP
R1 RP
V0
V1
V1
D/A
V2 R2
VA
Vn-1 V2

Rn-1
Vn-1
(a) (b)

Slika 12.2.. Težinska D/A konverzija a) blok šema b) težinska otporna mreža

71
Digitalna tehnika

Ako je ulaz V0 predviđen za prijem bita najniže pozicione vrijednosti LSB, onda prisustvo
napona V0 treba da obezbijedi na otpornosti Rp napon od jednog kvanta DV, a ako na ulazu djeluje
samo napon V1 na izlazu treba da se uspostavi napon vrijednosti 2DV, a ako je doveden samo
ulaz V2 izlazni napon treba da iznosi 4DV.
Da bi se obezbijedio navedeni odnos napona, otpornosti mreže treba da imaju binarne
težinske odnose:
R
Ri  i .
2

Ulazni naponi mreže Vi odgovaraju binarnim ciframa u digitalnoj riječi. Napon Vi po


amplitudi mora da bude strogo definisan i stabilan, te se za njega koristi referentni naponski
izvor VR ( Vi = aiVR, gdje ai ima vrijednost nule ili jedinice ). Na izlaznom otporniku RP se vrši
sabiranje struja u ulaznim granama mreže.
Napon u ma kom čvoru mreže jednak je količniku zbira struja koje ulaze u čvor i zbira
provodnosti vezanih za taj čvor (Milmanova teorema). ( Rp beskonačno velik ).

V0 V1 V
      n 1
R R1 Rn 1
VA  0
1 1 1
   
R0 R1 Rn 1

R
[ Izlazne otpornosti mreže: R I  R0 R1    Rn 1  .]
2 1 n

Ako mjesto Ri stavimo R/2i, a umjesto Vi stavimo aiVR dobijemo:

aO 2 0  a1 21      a n 1 2 n 1 VR n 1
VA 
2  2    2
0 1 n 1
V R  
2  1 i 0
n
ai 2 i .

Ako je samo a0 = 1 dobijemo napon kvanta:

VR
DV  .
2n  1

Ovo je najmanji napon koji konvertor može da registruje pa se zove rezolucioni napon ili
osjetljivost konvektora.
Ako su svi koeficijenti ai = 1 dobije se najveća vrijednost analognog napona:

VR
V APS  (2 0  21      2 n 1 )  V R . ( uz uslov da je RP   ).
2 1
n

Ljestvičaste otporne mreže

Formiranje ljestvičaste otporne mreže izvodi se iz sljedećeg uslova:

R x RZ  R y  R X

72
Digitalna tehnika
2R C
VAP
V2

R RP

RY 2R
b
V1
RZ
R

RX 2R
a
V0

2R

(a) (b)

Slika 12.3.. Ljestvičasta otporna mreža a) princip formiranja mreže b) šema mreže

Kad se stavi da je: Rx = Rz  Ry = Rx/2, dobije se mreža sa dvije vrijednosti otpornika


Ri i 2R.
Ljestvičasta mreža ima prednost u poređenju sa težinskom, iako ima dvostruko veći broj
otpornika.
Otpornost ljestvičaste mreže iz bilo kog čvora a,b,c prema ulazima ili prema masi iznosi
2R, odnosno izlazna otpornost mreže i tačke gdje se priključuje Rp ima vrijednost R.
Ako na ulazu djeluje samo napon V2 = a2VR (Rp vrlo velika), ekvivalentna šema mreže
je:

2R C
V2 VA2
2V aV
2R V A2  V2  2 R
2R  2R 2

Ako na ulazu djeluje napon V1 = a1VR , ekvivalentna šema mreže je:

2R C
VA1

2R 2R V aV
V A1   1  1 R
b (Vb) R  R  2R 2 4
V1

Sličnim postupkom nalazimo da je:


2R

73
Digitalna tehnika
a 0V R
V A0 
8

Odnosno:

ank
V A( n  k )  V R , k = 1, 2, 3, ... n.
2k

Ukupni analogni napon D/A konvektora, pri setovanoj pobudi, većeg broja ulaza je:
a a a 
V A   n11  n 2 2      n n n VR .
 2 2 2 
Odnosno:
V A  a 0 2 0  a1 21      a n 1 2 n 1  Rn  Rn  ai 2 i .
V V n 1
2 2 i 0

( ai imaju vrijednost 0 ili 1 ).


a0 = 1 → dobijemo kvant ovog broja

VR
DV  , dok je napon pune skale ( ai = 1 ) dat sa:
2n

2n  1

V APS  2 0  21      2 n 1 V R
n
 VR ,
2 2n

i uvijek je manji od referentnog napona.

Ako je Rp = 2R, tada je analogni napon mreže VAP = 2/3 VA, a otpornost iz bilo kog
čvora mreže je 2R, a ulazne otpornosti na ovim digitalnim priključcima imaju vrijednost 3R.

D/A KONVEKTORI

Blok šema D/A konvektoradata je na slici 12.4.

A B C D

KU
REGISTAR

KK REFERENTNI
ANALOGNI
IZVOR
PREKIDAC
NAPAJANJA

Izlazni stepen ima ulogu da izoluje otpornu


VA mrežu od potrošača i pojača izlazni signal.
OTPORNA IZLAZNI
MREZA STEPEN

Slika 12.4. Blok šema D / A konvertora

74
Digitalna tehnika

Tačnost je jedna od najbitnijih parametara D/A konvektora, zbog toga treba obratiti
pažnju na preciznu izradu elemenata otporne mreže. Kako se napon VR dovodi preko analognih
prekidača, otpornosti prekidača umanjiće tačnost analognog signala.

Uticaj prekidača biće manji ukoliko se usvoji veća vrijednost otpornika u dekoderskoj
mreži. Međutim, takva mreža ima veću izlaznu otpornost, te uticaj otpornosti RP nije zanemariva.
Pored toga, konvertorska mreža sa većim vrijednostima otpornika obrazuje i veću vremensku
konstantu, usljed čega je smanjena brzina uspostavljanja analognog signala. Iz ovog slijedi da su
vrijednosti komponenata u otpornoj mreži konvektora određene brzinom, tačnošću i
karakteristikama opteretne impendanse.
Ako je napon pune skale veći uticaj prekidača je manji, odnosno morao bi biti veći napon
VR. Međutim i tu postoji ograničenje dozvoljenim naponom prekidača a i disipacijom.

ANALOGNO – DIGITALNA KONVERZIJA

A/D konverzija prestavlja transformaciju analogne vrijednosti signala u njegov digitalni


ekvivalent. Proces obuhvata tri postupka: vremensko kvantovanje, amplitudno kvantovanje i
kodovanje signala.
Vremensko kvantovanje ili odmjeravanje odnosi se na izdvajanje trenutne vrijednosti
analognog signala u diskretnim vremenskim razmacima. Svaka takva analogna vrijednost naziva
se odmjerak.
Da bi se moglo da izvede regenerisanje prvobitnog analognog signala iz niza uzetih
odmjeraka, učestanost odmjeravanja mora biti bar dva puta veća od najviše spektralne
komponente signala koji se konvertuje.
Amplitudno kvantovanje sastoji se u iznalaženju diskretne veličine koja je najpribližnija
po vrijednosti datom analognom odmjerku. Izražavanjem diskretne vrijednosti u digitalnom
obliku zove se kodovanje. Zadnja dva postupka čine A/D konverziju.

Tehnike A/D konverzije

Podjela A/D konvertora na:


- programabilne (postupci vezani za takt intervala)
- neprogramabilne (proces konverzije uslovljen redoslijedom radnji).

Prema primijenjenom konstruktivnom principu dijele se na:


- konvektore sa otvorenom petljom (vrši se direktno upoređivanje analognog
signala sa referentnim)
- konvektori sa zatvorenom petljom (ulazni analogni signal inicira generisanje
neke diskretne vrijednosti koja se upoređuje sa ulaznim signalom).

Podjela A/D kovektora prema tehnici izvođenja postupka konverzije:


- podatak po podatak
- kvant po kvant
- bit po bit.

U postupku podatak po podatak kvantizacija se vrši na taj način što se analogni podatak
ili odabrani odmjerak istovremeno poredi sa svim postojećimdiskretnim veličinama, kako bi se
odredila njegova digitalna vrijednost. Iznalaženje digitalne vrijednosti obavlja se samo u jednom
taktnom intervalu, ali je zato potrebno imati 2n-1 digitalni etalon ( n – broj bita digitalne riječi ).

75
Digitalna tehnika
Konverzija primjenom principa kvant po kvant sastoji se u mjerenju analogne veličine
pomoću samo jedne i to najmanje diskretne veličine, etalona, kvanta. Ako se konverzija odvija
sinhronizovano onda je za konverziju potrebno onoliko taktnih intervala koliko mjerena veličina
sadrži etalona.

Princip konverzije bit po bit karakterističan je po tome što se digitalni ekvivalent


analogne vrijednosti dobija postupnim određivanjem svakog bita posebno, polazeći od bita
najveće pozicione vrijednosti. Potrebno je onoliko taktnih intervala koliko digitalna vrijednost
ima bita, a njihove veličine stoje u odnosu pozicionih vrijednosti bita.

Konvektori sa paralelnim komparatorom

Ovo je konverzija podatak po podatak. Zbog složenosti konvektorskog uređaja


ogranoičeni su na digitalni izlaz od nekoliko bita. Zbog toga je realizacija ovih konvektora mala.
Ovi A/D konvektori imaju onoliko etalona koliko primljeni digitalni sistem diskretnih
vrijednosti, ≠ 0. Etalone čine referentni naponi koji se dovode na odgovarajuće komparatore.
Broj referentnih napona kao i broj komparatora upotrijebljenih u ovom konvektoru zavisi od
broja bita digitalnih vrijednosti i iznosi:
N = 2n – 1 .

VR VR
ETALONI KODER
K1
VA KOMPAR. 20 R R
VR1
A
VR3= 3/4 VR VR3= 5/6 VR

R 2R
K2 21
KOMPAR.
VR2 B VR2= 2/4 VR VR2= 3/6 VR

R 2R

K3 VR1= 1/4 VR VR1= 1/6 VR


KOMPAR.
VR3
R R

(a) (b)

Slika 12.5. a) konvektor sa paralelnim komparatorima, b) mreža referentnih napona

Prikazan je A/D konvektor sa digitalnim izlazom od dva bita. Analogni napon VA dovodi
se istovremeno na ulaze svih komparatora Ki. Konvektor se sastoji od etalona i kodera. Etaloni
su u stvari referentni naponi VRi sa kojima se upoređuje analogni napon VA. pošto digitalne
riječi od dva bita mogu imati četiri diskretne vrijednosti, to su potrebna tri segmentna napona
VR1, VR2 i VR3.
Dovođenjem napona na ulaze konvertora aktivirat će se svaki komparator za koji vrijedi
VA ≥ VR.
Po završenoj komparaciji analogna vrijednost napona je prevedena u digitalnu ali se tek u
koderu vrši vrednovanje stanja komparatora u nekom određenom brojnom sistemu.
Na ulazu kodera se koristi samo četiri od mogućih osam kombinacija, koristeći
minimizaciju imamo da je:

76
Digitalna tehnika
_
A = K1K2 + K3

B = K2 .

Analogni ulaz Stanje komparatora Digitalni izlaz


VA K1 K2 K3 B A
0 ≤ VA < VR1 0 0 0 0 0
VR1 ≤ VA < VR2 1 0 0 0 1
VR2 ≤ VA < VR3 1 1 0 1 0
VR3 ≤ VA < VAmax 1 1 1 1 1

Tabela 12.1. Kombinacione vrijednosti konvektora

Referentni naponi na A/D karakteristici ovog konvertora mogu da budu odabrane na dva
načina: da se poklapaju sa granicom kvantizacionih nivoa ili da padaju u sredinu tih nivoa.

Na slici 10.3.b. su prikazani otporni razdjeljnici sa kojih se uzimaju referentni naponi.


Prvi ima grešku – DV, a drugi ± ½ DV.

Za napone čije su vrijednosti bliske referentnim može da nastane dosta velika greška,
naročito ako se radi o binarnim mjestima najviše pozicione vrijednosti. Da bi se to izbjeglo
povećava se osjetljivost komparatora korištenjem regenerativnih kola sa pozitivnom povratnom
spregom.

Ovaj konvektor ima najveću brzinu rada ali im tačnost nije velika, jer se uređaj pri
svakom dodatom bitu na izlazu po složenosti udvostručava.

Konvektor sa vremenskim ekvivalentom

Umjesto stepenastog referentnog napona sa kojim se poredi analogni odmjerak signala,


može se koristiti kontinualni napon koji monotono raste ili opada. Kvantizacija se izvodi pomoću
pogodno odabranog etalona vremena.

Proces kvantizacije obuhvata dva postupka: transformaciju mjerene veličine u definisani


vremenski interval i kvantizaciju dobijenog vremenskog intervala. Pošto etalon nije iste prirode
kao i mjerena, to se A/D konvektori zovu konvektori sa uporednim brojanjem.

77
Digitalna tehnika

PT

UL
D Q
BINARNI
BROJAC

CP Q RD

RD
K
A B C
VR
KOMPARATOR
VA

TR1
C
R
TR2
DZ R

+VEE

1 2 3 4 1 2 3 4

PT

VA2
3 VA1

2
VR
1

0
t
T1 T2

Slika 12.6. Konvektor sa vremenskim ekvivalentom (sa strujnim izvorom)

Da bi se ostvarila linearna zavisnost između veličine ulaznog napona i trajanje


vremenskog intervala, referentni napon VR treba da se mijenja linearno sa vremenom. Napon VR
generiše integrator (kondenzator C i trantistor TR1). TR2 služi kao prekidač preko koga se vrši
resetovanje, odnosno pražnjenje kondezatora C, jer se prije svakog ciklusa konverzije treba
resetovati ne samo kondenzator nego i brojač.

Ciklus konverzije započinje setovanjem kontrolnog flipflopa. Visoki napon na izlazu Q


blokira transistor TR1. Napon na kondenzatoru VR raste linearno sa vremenom.

Ovaj napon će porasti sa analognim podatkom VA. Sve dok je VA > VR na


komparatorskom izlazu K postoji visoki nivo. Brojanje taktnih impulsa traje sve dok VR ne bude

78
Digitalna tehnika
jednak VA, čime se završava konverzacioni ciklus. Rezultat brojanja prestavlja digitalni
ekvivalent ulazne analogne vrijednosti. Ciklusno vrijeme konverzije obuhvata i vrijeme
pražnjenja kondenzatora C.
Struja kondenzatora je konstantna i iznosi:

VZ  V EB1
IP  ,
R
VZ – radni napon Zener diode.

Vremenska zavisnost referentnog napona je:

VZ  V EB1
V R t   t
RC
VA
VR t   V A  T  RC .
VZ  VEB1

Ako se ovaj vremenski interval kvantuje periodom taktnog generatora TP, nalazi se da on
sadrži N perioda. Iz jednakosti:

VA
T  RC  NTP
VZ  VEB1
Dobijamo da je:
TP
V A  VZ  V EB1  N  KN .
RC

Analogni napon VA je proporcionalan broju impulsa N na brojaču, što znači da je


prenosna karakteristika konvertora linearna.
U cilju postizanja kvantizacione greške u granicama ± DV/2 treba podesiti da se digitalna
vrijednost (001) na brojačkim izlazima C,B i A pojave kada referentni napon VR prolazi kroz
vrijednosti (0,5 – 1,5) DV, odnosno integracioni napon VR treba da započne prije nego što brojač
primi prvi impuls. Zbog toga je početak integracionog ciklusa sinhronizovan prednjom ivicom
taktnog impulsa, a brojač je osjetljiv na negativnu ivicu impulsa.

Konvektor sa frekvencijskim ekvivalentom

Konverzija sa frekvencijskom kvantizacijom sadrži dva postupka obrade signala:


- pretvaranje napona u učestanost
- kvantizacija dobijene učestanosti.

Prvi postupak je poznat pod imenom naponsko – frekvencijska konverzija, a drugi nije
ništa drugo do brojanje frekvencijskih ciklusa binarnim brojačem u određenom vremenskom
intervalu. Naponska frekvencijska konverzija se ostvaruje pomoću relaksacionog generatora, čija
je učestanost funkcija nekog kontrolnog napona.

79
Digitalna tehnika
P

R
OP KOMPARATOR
VA i VC VR

DIG.
GENERATOR BINARNI
SELEKCIONIH BROJAC
IMPULSA IZL.

TO

Slika 12.7. Blok šema konvertora sa frekvencijskim ekvivalentom

Učastanost ovakvog generatora ne polazi od nule, pa ovi konvertori nisu pogodni za A/D
konverziju u smislu određivanja digitalne riječi kao ekvivalenta analogne vrijednosti. Zbog toga
se ovdje najčešće koriste integrisana kola slična onima kod A/D konverzije sa vremenskom
kvantizacijom.
Kod konvertora na slici integracioni proces se ostvaruje pomoću operacionog pojačavača.
Kada se na ulaz integratora dovede analogni napon VA na izlazu pojačavača nastaje
linearno promjenljivi napon:

t
V
V0 t    idt  A  t .
1
C0 RC

Ako se sa T1 označi vrijeme za koje taj napon dostigne vrijednost VR, onda je:

VR
T1  RC
.
VA
Pri izjednačavanju napona VC sa VR komparator K generiše na svom izlazu impuls,
koji aktivira prekidač P za resetovanje kondenzatora C. Po završenom resetovanju prekidač P se
otvara i na izlaz pojačavača se ponovo uspostavlja napon V0 (t).

Na taj način obrazuje se testerasti napon čija je amplituda ograničena vrijednošću


referentnog napona VR, a nagib je funkcija analogne vrijednosti VA. Ako se sa T2 označi vrijeme
resetovanja kondenzatora C uz predpostavku da to resetovanje traje vrlo kratko, tada je T2 « T1,
onda se testerasti napon periodično ponavlja u razmacima:

T = T1 + T2 ≈ T1,

pa je : VA ≈ RC · VR · f , f = 1 / T1.

( Napon VA proporcionalan učestanosti f ponavljanja testerastog napona VC, odnosno


napon VA je transformisan u učestanost ).

Da bi se odredio digitalni ekvivalent analognog signala potrebno je izvršiti kvantovanje


dobijene učestanosti, pomoću binarnog brojača. Ako sa N označimo broj impulsa koje brojač
primi u toku selekcionog impulsa. Tad imamo :

RC N
VA   VR N  K  N , f  .
T0 T0

80
Digitalna tehnika
Odnosno, analogni napon na ulazu je proporcionalan broju impulsa koje primi binarni
brojač. Kod ovog A/D konvertora nastaje sistemska greška zbog zanemarivanja vremena
resetovanja integracionog kondenzatora. Uticaj vremena resetovanja kondenzatora C kompenzira
se dovođenjem serijske otpornosti RS u povratnoj sprezi operacionog pojačavača, pa je:

RS V
VC t  VA  A  t ,
R RC
V
T  T1  T2  RC R  RS C  T2 .
VA

Uticaj vremena resetovanja kondenzatora biće eliminisan ako je:

T2
RS  , pa je: V A  RCVR f .
C

Konvertor sa programabilnim brojačem

Ovaj način A/D konverzije koristi zatvorenu petlju sa programabilnim brojačem.

KONTROLNA PROGRAMIRANI
LOGIKA BROJAC

23 22 21 20
A
B
C
D
K

KOMPARATOR D/A
VA VR

Slika 12.8. Postupak A/D konverzije pomoću programiranog brojača

Kontrolna logika sadrži generator i program po kome se odvija rad brojača. Brojač
odbrojava impulse bit po bit polazeći od bita najviše pozicione vrijednosti.

Postupak konverzije:

Iz resetovanog stanja brojač se u prvom taktnom intervalu postavlja tako da stepen


najvišeg bita bude u stanju logičke jedinice. Na izlazu D/A konvertora ova se vrijednost rezultuje

81
Digitalna tehnika
u etalon analognog napona VR3 pomoću koga se ispituje postojanje bita pozicione vrijednosti 23
u analognom ulaznom VA. Napon VR3 se upoređuje na komparatoru sa VA i ukoliko je VR3 < VA
na izlazu K uspostavlja se stanje logičke 1, a ako je VR3 > VA stanje logičke 0. Ovaj podatak se
prenosi preko kontrolne logike brojača, koji ako je konstatovao da je V1 > VR3 zadržava već
postavljenu vrijednost najvišeg bita, ili u protivnom ga resetuje.

Po završetku određivanja najvišeg bita kontrolna logika postavlja brojački stepen 2 2 u


stanje 1. Na izlazu D/A formira se novi etalon VR2 i analogni ulaz VA. Komparator daje
kontrolnoj logici podatak o vrijednosti izlaznog bita pozicije 22.

Na isti način se iznalaze vrijednosti bita u pozicijama 21 i 20. Veličina napona ovih
etalona odgovaraće ne samo bitu brojača odgovarajuće pozicije, već i vrijednostima predhodno
odrađenih bita. Kod svakog novog etalona udvostručava se broj vrijednosti u poređenju sa
prethodnim. Ukupan broj vrijednosti za n etalona, koji treba očekivati da se pojave pri
sukcesivnoj A/D konverziji je 2n-1.

VR3 VR2 VR1 VR0 Digit. Izl.


0000
0001 0001
0010
0010
0011 0011
0100 0100
0101 0101
0110
0110
0111 0111
1000 1000
1001 1001
1010
1010
1011 1011
1100 1100
1101 1101
1110
1110
1111 1111

Tabela 12.2. Kombinacione vrijednosti etalona za četverobitni A/D konvektor

Primjer:
VA = 11V

Rezolucioni napon konvertora DV = 1 V.

U prvom taktnom intervalu brojač se postavlja u stanje 1000. vrijednost referentnog


napona je VR3 = 8 V. Pošto je VA > VR3 digitalni izlaz 23 dobija vrijednost 1, itd… Daljni
ciklus dat je u tabeli 12.3.

82
Digitalna tehnika

VA Taktni Stanje brojača Vrijednost Komparacija Digitalna


[V] interval DCBA etalona [V] vrijednost
11. 1. 1000 VR3 = 8 VA > VR3 D=1
2. 1100 VR2 = 12 VA < VR2 C=0
3. 1010 VR1 = 10 VA > VR1 B=1
4. 1011 VR0 = 11 VA = VR0 A=1

Tabela 12.3. Postupak konverzije analogne vrijednosti 11 u digitalnu 1011

Glavni izvor grešaka kod ovog A/D konvertora su komparator i D/A konvertor.

PRIMJENA D/A I A/D KONVERTORA

- mjerno – regulacioni uređaji,


- obrada slike, prijenos, snimanje i reprodukcuja tona,
- upravljanje sistemima prikupljanja i obrade podataka.

U zavisnosti od dinamike promjene pojave koja se digitalizuje i obrađuje primjenjuju se


konvertori odgovarajuće brzine.
Zajedničko za sve konvertore je da imaju mogućnost sprege sa računarom.

83

You might also like