Professional Documents
Culture Documents
Dadda Multiplier VHDL Code
Dadda Multiplier VHDL Code
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity convelution_encoder is
i_rstb : in std_logic;
i_sync_reset : in std_logic;
i_data_enable : in std_logic;
i_data : in std_logic;
end convelution_encoder;
constant C_XPOLY : std_logic_vector(5 downto 0) := ("111001"); -- 1 71 oct, first '1' is not computed
since is the input
constant C_YPOLY : std_logic_vector(5 downto 0) := ("011011"); -- 1 33 oct, first '1' is not computed
since is the input
begin
p_encoder : process(i_clk,i_rstb)
begin
if(i_rstb='0') then
elsif(rising_edge(i_clk)) then
if(i_sync_reset='1') then
elsif(i_data_enable='1') then
end if;
v_x := i_data;
v_y := i_data;
if(C_XPOLY(i)='1') then
end if;
if(C_YPOLY(i)='1') then
end if;
end loop;
end if;
end Behavioral;