You are on page 1of 1

library ieee;

use ieee.std_logic_1164.all;

entity Primos is port(


A,B,C,D: in std_logic;
F: out std_logic);

end Primos;

architecture Numprimos of Primos is

begin

F <= ( (not A) and (not B) and C) or


( (not B) and C and D) or
( B and (not C) and D) or
( (not A) and C and D);

end Numprimos;

You might also like