Professional Documents
Culture Documents
Ultimo
Ultimo
use ieee.std_logic_1164.all;
entity motor_dos is
port (
RST: in std_logic;
CLK: in std_logic;
CM: in std_logic;
CE: in std_logic;
STF: in std_logic;
STL: in std_logic;
M: out std_logic;
Y: out std_logic_vector (1 downto 0)
);
end motor_dos;
architecture simple of motor_dos is
signal Qp,Qn: std_logic_vector(1 downto 0);
begin
combinacional: process(Qp,CM,CE,STF,STL)
begin
case Qp is