You are on page 1of 1

`include "pvips_enc_dec_pkg.

sv"

module top;
import uvm_pkg::*;

bit clk;
bit reset;
always
#10 clk = ~clk;

initial begin
reset=0;
#5;
reset=1;
end

//INTERFACE INSTANTIATION
pvips_enc_dec_if inf(clk,reset);

//DUT INSTANTIATION
initial begin
//SET INTERFACE CONFIGURATION
uvm_config_db#(virtual pvips_enc_dec_if)::set(null, "*", "vif", inf);
$dumpfile("dump.vcd");
$dumpvars;
run_test();
end
endmodule

You might also like