You are on page 1of 2

module dice(a,b,c,d,e,f,g,A,B,C);

input A,B,C;

output a,b,c,d,e,f,g;

assign a=((A&(~B)) | (~A&B) | (B&(~C)));

assign b= A&B&(~C);

assign c=((A&(~B)) | (A&(~C)));

assign d=(((~A)&C) | ((~B)&C));

assign e=((A&(~B)) | (A&(~C)));

assign f=(A&B&(~C));

assign g=((A&(~B)) | (~A&B) | (B&(~C)));

endmodule

module Test();

reg A,B,C;

wire a,b,c,d,e,f,g;

dice mode(a,b,c,d,e,f,g,A,B,C);

initial

begin

A=1'b0; B=1'b0; C=1'b0;

#100;

A=1'b0; B=1'b0; C=1'b1;

#100;

A=1'b0; B=1'b1; C=1'b0;

#100;

A=1'b0; B=1'b1; C=1'b1;


#100;

A=1'b1; B=1'b0; C=1'b0;

#100;

A=1'b1; B=1'b0; C=1'b1;

#100;

A=1'b1; B=1'b1; C=1'b0;

#100;

A=1'b1; B=1'b1; C=1'b1;

#100;

end

endmodule

You might also like