You are on page 1of 46

- ,

r,.. ,.,
""-1 ()l ie. 5tA te
~'~

-Cin/-f-e I i~ [t (p.() be y~;,entZd wflrYj ~'I)a[ nl!trnhm of-

_J l:-c--c~ .
£_"),{ ~ £.est ~~oJJ e:1c~tJ. (cGntwng ~nlt:-e ))ht~) ..

COYlMnde:r -\:'VIe J>~~ JJCk8,'YCAtr> ~hown 'in -\'{S-I f;dow,

\/ () ,

e- -h'"'b';. ~we dI~o..m


I~ 0...
__ it 6~~eJ 'mlYl.~tw-

Ct\4 DIp VD7l~C\~L~ ~ ~oJ' . ,


(§~). 8 ~ l AI r?,. t- e, , 1) J
S-t~ls -1
- ~ 40, I)
01 pIS 0 ::. t 5 0,1

1
.3uc__.€ e »., 01 : .- w~ pv-v.,-e;,J-!J We
e--, ~

1.-:'1:
:

Pm¥Y7 ,,~+e dgm., we - LlA.n Ae..(} In~/


\p.., r ~ ~ {p {b \,; -fne ne.'>Lt- .t.J1ctte ,'I:, 13. d-r') 0~ ""~

~u.~c. lJ- PI, H) CA. III - SV\f t ~Moi ntl\


c ;-6 Cl.. 11 - (?M.O'1 j)

~ So an
r: "IIp /l~
-7 C;:Y) '5eNJ1oJl I we (jAn ))lA Cl hto-X, f~ 0..0 WJ;\~

So\
r-:
go
'I( -k-... ~eJ:, 01. . h'llA.~ t l-\a. ~m S 't-oJ-e -tu £~ J I

---j.
UNIT - VII
SEQUENTIAL CIRCUITS

·.FINtTE.sTAT'Ii •.:fJJA.CHJrtE

Clock pulse

x.
!
:Ita •


·
••
Xt

--- ..... - ______ oIIIiP ____


r-

Y1LI '(,
I
fl I
..~ I
I
....
I
'"' I •
I I I
Yki I J VI«
,------------
Figure 14.1 Block diagram Of a finite state model.

1 I Page
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS.
21Page
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS.
MEALY MODEL
When the output of the sequential circuit depends on both the present state Of the flip-flops amt on
the inputs, the sequential c~t is refotted to as Mealy circuit or Mealy m.achbl:~.
Figure 14.2 shows the logic diagram Of a Mealy model. Notice that the output depends upon
the present state as weUastbepresentiDputs.Lookingattbetigure, weca.neasily~thatchan,gea
in dleinput during Cbe clock pulse cannot iffecttbe state of the tlip-flop. However~ they can affect1be
output of the circuit.1)ue to this, iftile input variadons are not synchronized with a c1ock, the derived
output will also not be synchronized with the clock and we get false outplUS. The false outputs can be
eliminated };y allowing input to change only at the active transition of the clock.

Figure 14.2 . Logic diagram of a Mealy model.


1'be behaviour Of a clocked sequential circuit can be described algebraically ti means Of state
equations. A state equation (also called transition equation) specifies the.next state as a fonction of
the present state ana inputs. The Mealy model shown. in the figure consists of two D flip-flops, an
input x, and an output z. Since the J) input of a flip-flop determines the value Of the ne&t state. the
state equations for the model can be written as
Y,(t+ 1) = YI(t) x(t) + 17,(t)x(t)
=
ylO + 1) Y l(t) x(t)
ana the output equation is
2(t) = (yl(t) + Y2(t)} x(o
where y(t +1) ia the next state of the flip-flop one clock edge later. x(t) is the present input, and z(t)
is the present output. If y l(t + 1) and. Y2(1 + 1) are represented \)y Y1(t) and Y2(t), in more compact
form, the equatiOIlS are
Yl(t+1)=Y1 =YlX+ylx
Y2(t+l)=Yz= 1.X
z=(Yt +Y2)X
The State table of the Mealy model based on the above state equations andowput equation is
shown inPipre 14.31. the sta~ diagram based on the state table is shown in Figure 14.3b.
010

PS NS
. OIP
x.o " ...1 )(",,0 ,,-1
Y1 V2 Vi Vt V, Va. % z
0 0 0 0 0 ,; 0 0 110
0 1 0 0 1 1 1 0
1 0 0 0 1 0 1 0
1 1 0 0 1 ·0 1 0
(I) Stale table (b) Slate diagram
. Figure 14.3 Mealy model.

31Page
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS.
In gener81 fornt, the Mealy circuit can be represented with its block scl1ematic as shown in
Figure 14.4. ' .
r;::::=======;. .,. ======:::r----,
"

.
"•

figure 14.4 Mealy circuit model.

-.~:;:~ ;;::;:: :::::~::::::;:~:~


.. ~ ~
~~
~~::::~:;::;:::::::::::::: ::: ~:~:::::::::::::;:::::
~ :::::: :::::;::; -::;: ~;;'::;:::::::::;: :::::;:;;:: ::"::: ;:::::::::::::::: :::::;:;~:::;;;:;.;;;:;:;;;;:;.:;;;::;;;;;;;:: :::

the.~..
: :: -: :::::: ..

•~Itleriti~tatllet,~~ thb (1tltputof~.~~ circtdtdepends ()myotttfu,Prtseht.~~f-


the. f1ip-B()p~.the. sequential cUc1lit. is. :tefertea. to. ".1be.. M~. ~uit. Pr. inaCJjiie. •
.~ 14.5 s)l()ws the logic dia~· ofa~OOtO. cltWit. ••••·•....••....
..•••..••••.•.
.••........ ••.•••••••••••••••••••••••••••••••••••••
.~.~~i~t::tol=~==~.t~I~~i~°!otE:ro
TllC sh()\Vri ilaS. tWo fiip..flOps~.on.,·.iDPJt .·~••and One CIlli.'be. desCriI:Jett.
Jj~Ca1lYP,ycil\1{ljttW9 jJ1pu.t
()'QtpUt. T
equ.tiCnlJI#a ~ ()1ltp!Jt ¢q~f)~
(){Itpllt~.•It
.. .. . .. . .

. ···············1"t¥.~~·
.- - - _.
'1'2=*" ....···········
- - _ - - - - - - - --- _.......... .
.........
.. . .. --
:::
....
: .. _ ":::-,,'
-_ --- .. _-_ ....

.......•• :P=YIY1

Ya

Clo-----._----------I
Flgure14..5 Logic diagram of a Moore model.
The cba:racteristic equation of a T flip-flop is
Q(t+ l)=TQ+ TQ
The values for the next state can be derived from the ~m~ equations ~y,substituting T I ·aridT2 in
the characteristic equation yielding
Yl(t+ 1) = YI = (Y2X) EElYl = (YiX)Y) + (y~»\
=YIY2+Y1X+ YIYlx
'2(t+ 1)=xE9Y2=XY2+ iYl
The state table Of the Moore model based on the above state equations and output equation is
shown in Figure 14.68.. The state diagram based on the state table is shown in Figure 14.6b.

41Page
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS.
PS NS O/P 1
X:O x:1
y, YII VI V2 V; YZ z
0 0 0 0 0 1 0
,
0 1 0 1 1 0 0
1 0 1 0 1 1 (.
0
o o
1 1 1 1 0 0 1 1
{b) Slate dfagfam
Flgure14.6 Moore model.
In JeDeral fotm. the Moore circuit can be represented with its block schematic as shown in
Figure 14.7. Figure 14.8 shows the Moore circuit model with au output decoder..

51Page
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS.
i'4eah_anl Noo"e Mod,ı)s al Finik S*oJq MachinB_s

-Tı|e-ıu--are- -l-u * "lr-c-;fu, Tfu lıea\ moalı[and 1,1ız


*1ue--,h'o!*
^o/nJ'
MoorZ nodıt slr1o*n bo|.ow .7712 only lÇfu,ena rş orıLto_gıprrııakbn 4 E ,Ja*İ -

Mcalş Machlnc

Owuu
(Meal_v-*peı

lllooıe Mmhinc

0uıpus
{Moorc-rypeı

Block diıgnams of Mealy ınd Mooıı stıtt ın*hlnec

Wr ü. o'b,rt iş qfun eh'on


{ bo*]- 4lro pr<sr.*L,rl-,ü,

fu^aL +U lr
I"ı tho Wo* node!-,lh+ oM c! d-{*"c},>" (o* lh. goÜ '&,
ru hr, ,no,Je* a/ a-g?"urt>,!. d-*ır;h a,ıı ,+{e,"ea -h a5 a- Finik-Sl*+e-
Ma-&İne- (ran) ; Th%,-'""' *Çrr*a_* as (or lı* noch),^ı-)-
alLd. l4o0ıg E§la (or 1,1oo,e n^a",rğ .
'"4F§ı"ı

An eıa*n7lo ğ a Neo\ mo dal.. iş qı'r<r, ıh Fig, §,l{ o} +i"4 booL (/l,auxa"ıplo


ııilh Frs. l+<üv.- ) W,-
{,ıo. D
",r+* u is o-,fiıııc*'orı ,d be itıpü r a-^A-**a

p,<sa*l ohk' "{ A an a b,

An exa:^nple
"! a Moore,n*rdn!- a;rre,^
iş i4 Fiç;5.1t 41ta hot ( Ül*exaopL
N;th l*o iK {Çs. H<se,ltr,,ı- oıı}pı* 3 o Ç,*,o*o^ o! ++,L ç,csııL s+""l€J anl"4_"

- 29_
Ano|lrüf e*ctrür\ple o! ._ ı,ııoore is,İl,\a se|uent1 o!* rürr;)*.rith 1uıo T rFs
^oAlL
shoıın in Fb, ZO "/ ü1* bgoh*. He.e, a+,ı-o,-.*p,^t aa"<,,Jı only +ı ]tr^e_ Ç?s
o urrpJ v a.Orııı --ı\"ich are f.gn*Şt ows of, ta"-o- rır<-se--* stıtz 4 ,

M , W oqrh^Js *!
1vg'-*;,a-L ,ri"rr;* a.e JU nchçv
++,2- aiıı_d
ııi|n 1I.'z üorL, fu.c"şısq.- ++r.r5 d2+4r-J- or,tö: on f-F *ho-t o"e
^o,^*pt
Üı.oa ,^ıil4ı }lg 4o.1-| 3J^ o t lr""Jr!
n^- an!_ ) +vrL o\}?^J., ,^.5
"?-.h".
choru6,a iA W- t"p"J, ,J.*"?sı- 4 lhz-cLoJ-. Thr|s caıı-l4-5 1*o,""e-^loıX-flln
vo_Lrroı a* ]aa or-tp,^*s bzaıı.su 4 ++,,L a"\: bel"rç-p,.l&,a inp.,rİ raryı
oııL *l,^-t- ?F ory cho.ç.t, lnorJ.ır k s-,tr^ü.rr.,j2e_a
+lı,Linpu*s o{,W,4 sellııil-o! crtr oıı,rL rınıı-s l Vz_ 5v,wc).rpnizıJ-,ıi '"* Wa/rui/.,
LL,_ l/<_

e|,ocl,+ ru*d +h2 o,,dTr,-,J, ın^r.J,l bz sa--ıpLıJ. ;wrr,ra_L"}efo b.{oı<_ ll,,ı e/prıedff.
The inp}S a,,e üıorı,çıdü- +1^ı ina"t,rre 111^; cİ"""-l,_ ]o
e.ıa§2ara *}ıaf
"dr
-lha inp,rJa
4 +lo FAs s}a.biLrz bzk* +ü.a- oc*;E edç_z_l+fu_ clo"l-"/f,
o ezıı-rt . Th^^J , *la o,ptr.$ üİ +|r,,t lü24 ry\elfu- k IV.ı- valıı.e^ -}h.* *

?*_s4J^t thp""L'aJlt ba,{"* lL,a


^r*^r<
rİ- oİ +lr,e_ da.t- ,

1" *he s}q"le- dia3rc",* ol', ü. Mea\5 ı"rodıJ* c,..*t.t o.*.tp,^|ı a,r( marl"ad
on lhe a,..cs i,, *nr- &* d " inp,r*/o,r*pt". lr, *lıe. sdn{<_ &'n1rz^* o{
a_ i\i.ooce örc,r^;L o*[Çuİa aae ft|*k(cd in }t.ı. cir.İes in lfu {.* c
+
Sfo,k/o,r*p+tr "

-3o -
4NALYsls 0F cLocKED sEquENT,tAt cİeütlT§

ıAnat5sls "f a drcüt J"s".iks *|e belıavior


c)o<.|.eol s.qtı.ı/*}i4[
"J
*ha
cı'reır.* fro,^ tha inpu}: , oc,*çu*s orıot -the stale { ''k Frs .

rhe oJpJ' ar,,d. the nexts}"J* are bo*h €r,.$o*o{ lha i.,puts qnd-l?a
PreSaıııl S*a{e "

Tlr. ana/7is of q se1uemh'ql cr7arr)* consk l, o( obfaİnı'ng }hn_rl&


anJ then- /he gl^İe l"Llu o. slokJ-io€.",n,

;tate fuqglo* : A s.lole e1 uahor.,- (orlransitıbn flrıalion) is an «tgılio;.EnoJion


*haıL specifies /he next s}"le 4s,a,fi"no{ı"n }h-prııır,} şlak qnel^ inpuls .
"{
Fyampleı üns,Jıt lhe sa7"en];a& ceftr;^tshown belorı. I+ coı,süıts d h,
D FFs (A anolB) 2 and an ,input X arıal aıı outprt 7
,

pt{)x(*)

A'(t)xtt)

{Attı+BQ)Jx't+)

Fo" q Ş FF , 4he nex* shle Q(t+ı) ,s EuJ 1- *he D input +ftçr ttıı
cloc}- {raııg1+,}n. -fhe«{o« ı qL caJ^ u,ü"iJe +}.4 6l/a,ıl^5 si,ate elırı$ons i
A(++,t) = AC+) x(t) a B(+) x(+)
ts (ğti)a A1({)x(+)
_48 -
The l"(+sile a{{h. J]d{€o1u.hi,'rn, ,.ıJ)+h (++ı) Jur,o kç thgncrts}o{. .{ +h.
FFs one c)ü,uür ia*er. a B*.l<n'",
llr.Qiph+sıHı_C +t^4 s{""l.e Eııatİo*'nş
eı{pneısbn }hat specifi'<_ı tht ğıe"t §üıı.k otnol. tha i*ç6[ cenJı{br,, thot
yl6jıı_ tL.e nZxl-s},^{a e?ııa0-{* L.

5.nı- a\[ 4he voılabtaı in I*ıe %""le.",. axpnassİans o{?* o,


F*"Jr-r,., of Jloı-
pr-eszrı}St *,l,.ı*., uJe ca.J,.\ o*,.^İL {hc J*,gn ^*ion (ü) af{e. eruci,q vçg,i|",bİı
{o. e.-orrvenı' enaL a-y\L co-v\ e*fr<ss ll,ra, s*","k elııaÜ'ans in {hı- rıruoeQ otıpıü
.p..^

= Ax + _Bx
,A (t+.{)
gCL+ {)
= Alx
Şü^:r*3 , }hu pr<x,n#-slqle ro[,^ı_ o{a+ıuo*tp*^.ü ca-- be_ eıp.e:sao(
at6ıl"nl c,,lLx a.s
t(q = LA(ü +B&\J x'k)
B1 ne,."ovlnp, +t" ,a*"U{ (gl Ç- l+.L?,asfu-t slata ı uQ oblİ" /4<n ouÜr^İ
blalU,nelıra-h,b"l /ı _\ t
(a *b) x

S*q"le Ia.b t€- ', Thı- #^o §.pqtı_e^<A


"+ inp,_u*s , o,^ipu}s o_r.d Ftr J.taJ€J
ca*,-be s|ıo*n iı, ü s+aL hll. k.}r.rrLirr: +*İ.1 }n orıe of 44<_
,$.fluuııhp *wcı S.*r.

stote ToHç for the cirçuit


prgıçnt i{ext
§tıte lnput Stıte Output
§Mi*rırr'dr.ffilffi
AB
00
t8
00
İİata
yıP"
ıııO ıal
00 0t ııO ıııl
0l 0ö i l t la } t
01 ıl ^
l0
l0
00
ı0
o o 00 0 ıoü
0 ı 00 ıl0
ll 0ö ı 0 0t| {ıı0
ll l0 l l 00 0ı0

fn mqb"rf a ıüak bbla, h"Or/.-}o ltsl all possible @mbı'na{_r'onı


ı»,'^

4 lh" pre"r.ü,. sla.k: u,l ı'np,.{:s ( A,{b,and ıc $r *lüı eıanple),


_4q-
-(ha ne*t
sl'z.k val,ııs a"ç fhar. de*4r-'İ"AJ fo^*h. ş*ak elu*'eı,s
(or fun lhe logi"- Jroı*),

lnı gzrr.erıl-, L sJııp4+'ol ok;.*,ui*l. rn FFs, oınA n İnpu*: nead,s 2^*n


novJs in *he s}atı üıbte- , -T-lnp-"nu*| shJbı|| şeüo,, h*s rn g,lonas, on€_
F. eorı FF- :.

I.n a. s}a.}e )lot,n,n,


w?Vi,ca|ly.
-B ,fu| i. *lo,ç5qa+€l. bt n ,İr.Oa.,
- (e l".k 4n','af^.L) fra-"sİlı'o w bllwçaıv
's,lz.:]g5
a.e ıhJı:oJe"t b6
dİcec*€ol ltnel co,,na<,}ing Jt,ı dnJe,s,

e s*^le *ğra^ ,! sl,ıı^IM cütnt ıi


W-^ ,h
-'tlı ++.ı- l+,ı a"o-mple
şhouın v)ouı

Sfak_ J ia 9*^

yg,""lu he
-The
slo]e infoonah'on as ll,g- slate
fu*
l-heL;no-1 nenbqr
.sn"ne la-blq .
,iııİdz eac}ı
ideı,h',feı {Aeshte's
c,'relg +lrı_rrr.
"!
t! 7he d,'recled /İnes a"ıe labeleal "ıilhluo bna7 nı.ırb,eıs sepa,Jl<l
b? n sla-ıh ( r., scn| value fh" iopni /İ*ron&'rlş outp"t ud,n)
"I
Nok -İhat 1h e ouİp** ocı,ıır' duiaş the pr<sent-ş*a t<- ar.a[
wi#, *lıe lndi"a|çd tryü, and hoS ,,o*hhs {" dı u'|lh lheJraasilon
$" *he naxü slu+€-.

kr exar7le, [hp- J,leıJed l,ie $a^ stale OO 4a Ot ts labeterl Jl/o,


neaniıy that uıhen lha sEuç*hoJ drr,n* fs in fhe p*sent s}ata oo
o"d t|ne tnpui, is 4 ı lhe ou,lpuİ ı\ O, A(ü# }he',,,e+ cloct q"le,
Ihe drcA;t re, {" the hextsh{e 91. Ifthe ,'"r*t <ha"ıys L q
_2g -
}ha" ]l,,c nrr+rrrÜ beunes l, es
shifts lo Oo slatr-; ,r+h.
o^d the
lnp,rİ ü*Üoh L, *hav, -l/,u.-,qrt bzeşne, Oıor.d *hı FFs g"er h
sta{..ıa 1l -

A J;,.e"lel line eonnec{r'nto cindc uıilh l+A( ind*'ea{eı +l4"* no chor.çe

oÇ ı{ıle- occu,ı,,,

1he.e ıs no d;fiQ.enq beluıqer\ a SL}a Üıble and as{aJe d,'a6raı" excıpt


,
İn lha ,.o.hn er -f, ı<?,esoırbJio,a.
- The s}a*ç ta»le is aasİer h Aerivc-- fror^ agnve{ byL Jla6ın ^ and
*h a s{.'o-{e €1ııa*ı'ons .
-fhz sl,,"}e- d,axı-ov"
w- PıİJen'a{ vieı^ı of sL{e {ra",sİÜbns arıd.
İs ir-ı *ha ftn^ ynofe suİ*oL\a p_ huıno_^ in}erp.efu#on +++rL
eira"lit afrıııa;lieı. "

F l ip - Flo p lnpuİ Eq rolr'ons

Tbe boolean {,ru,r}r'oos +hoİ, du*il*-l]ıa İnp*h ffs


"#}lQ an<_

cqlleg| fF inrui Erıel,ı'ons (orrsome*imeı, axd{u*ba e7ııa*ions) .

77ıe Boo/ea"n {*cl,^ons deıcrı'bıh6 +1,4 püo{ 4t" *,$ına*ion*(.h.r*ij


,Ü*t 1ıııaıetes ++ıı e;rJ€ıv"aL a,*puts. *- cJle.l owtp'i Eıı,aiıbns ,

Fo. exo*n,plç, the t1,7, - çl"o ı'n1>,ıL eq ugfrbn

Dq=x*/
h,,o*, a D Çltp-ÇLry uıhoıgou:tpıı.f ts la}de{,wi{h a+,ırynbJQ,
yt)eo,nı
a-nl uJLıısı- ıhPlı* ıb obh.İ"e4 fun qJ\ OR Tp,fu ,,ıJia ıhpıı{s X aııal 6.

For *hep,wvfo*s €.xqiüpleı crnsislrhg Çl *,,ıo § trFs A o.rd, Bı r inpef,;<,


aad e*, o"*P** gı 441a^ FF inpnf, 49u*}ions aııd ]-+rg-o.rğu*
E,ıÜanı
Dı = Ax + Bx
Dg= A'x
y= (a+B)xl
*2ı-
The FF irıp,,i y'a{lans i^plnY fur" ./ *lıA tr {*^ .l&4- l,Lt4Q-

gİ^bil, anol *2 Ürllx 7e.'{{.oı"bınoÜı,na4. a.l,z,i*" +r^ü Jr*'ı.qs


tLn FFs ,

Nale U^t *l,z iıxpıı} Eııai,o, o D r is iJenl'coy' h t-h* stal.o-


ü
Q4 *ah'on- Th ü B bı-uıı:ı" /to chara,eaçrthL eryıation tha* ]hz-
Eurİzü
ıwxL *h{e lo *1,2 ualıı- of li'L 9 h7*t :
Q (+ ; İ = DL
A na l,,ts ı! wilh D trliı> - F/oP5
\ #4
"l."r.jİ
Suporo il""t-6a* ]. oor"!iıa_ is J,zsc-.'b.ed bx,lha_in p,r* qq,J-al;on

Da=Aoxey
7he D^ s5rnbot impiieı a, 1) FF ,ıiü ov*p,** A. The x and- y vüülu
aca **ıa inp.,ııls ,lo +l,,e üırJJr*, Na o*taü e7ı*bn3 a-Fe g^lwr, ,^.ı kel
iqplieı lhoİ ,lde o":}p*f c-oın43 {rın ,ll.c oui7*of lha FF.

t'/ze logıL d,'açn^ İs oLh;neü fu*, [he ıhp,ı..t eyat;on a.s $lloos:
Present Neıı
§tııe Inputs ştato

r} A
0 00
0 01
A 0 ı0
A(t+ı) -_ A&) 0 ı1
xo/ 00
= D1-- A a 0ı
ı0

(a) Circuiı diagram (b) §ıaıe table

(ru, ll ,+ *.*-.:
:*
( t
00.lt

01, ı{)
(c) Slate diıgram

EquenÇqL circuiL ıııi{h D FF


^22-
Ihe stqh lobİ* hos ora oıl,ı^n $*ila.v.- ycse:* s+d-tı ,İ A FF ; |uıa catwın3
.fo" 1hc-{"ıo i"puJı (x anAy)l one 6olııınn {2n h,* ne*|slaJeaÇA . AXy aı<-
lükrl {.1,. ooo ]+nough | 4 4 . TLıo- ııext s{ı,lg va lııı: aıt- obLÜnc,ıL {ıort.
*ıa Sta+<- edıııüipq
A(++{)= Aoxoy
-ihe eşPı<ssioq
s7ecı,Y'u an odat {**J-'on anoL ;s.Euıllo t uı|ıınonly

İn llre şluırrn fi.flıl- ,q-oı{ slala ai^ A .

-The arrİ*, has ona FP aı,a" *ıosl,".Jeı , lhe. s{"E &q*^ unsiılsqf
İ"lO cı\ıte*S ) or.Q_ $r ach s{a{a . The pıcsnn* o& and-'t{reou-ğırt
cı.r. te_ O or 4 , r İnalıig*eJ" by4lıı_wu-ı,.b* ,hsida J{"ı- c.',elas. A slash
on ııl,-r-ü'rec:l€4 lİııes is no I neıAeİ", beca.ıı:ı-- Jlran is no oüpu.t P. ++ü-

aon.bino-L\on& c"}u^iü , Tha ]uo inp,*k @1 harıc_ {o,r possİble


a-9y,^ti.,a{ıonu 6n eo-cl^ sta*e- , Tw o inpü co*Linc.fiUn, d,ıfit^& gıe:chS&ı{e
tar,si{ion arO J€fanı_H U.x_a_ .pv,*fua *o sin"p\ıQ *tı- uohİa^.

-2? -
#Ano!
Fpr a_ D rF /te_,/a/+ eÇıı,a.Lbn{lresamı *E *hı. kr} e.'niion.
ı)
u1ha^ a "Fp olhu ffi*, tlr-e_ D İw ğ ııiıd,r 5ıcA as TlLor T, t isı's nea,ıs*1
Tr,.t ne
*o ıJJa İI.* ctaraate,n:ıhb-l ü,ro,t*n' /o oblo.ü /}ı,a rız*t e h b- Va[ııg-s ,

Tç* ne* sl"Je. -"-9rzr Ü - *futlJ;rr.İ d*^^İ:ğ J+rrt ü,ıeı TlLor r ü,r
ffs an* bLüpürWJ as follous,
1, Dp_l(,-,-iw,ı, l+,L rc ı^nçJ e{uılja^s in ,l€fyyrJ o{ İl,L 7r<sı*_b slala
O-r."d- )op.u* v^lrıbs ,

2,, lr:+- binfl ,ra,.lrrğr' {", eüırJ" ı'npı.* ,fl*a,İrıa,


3. ,ısz, ll.ı .-l^o,*+.Se;r+t +c.ü Le-(on t"l,ı- stqk EuAhbnS ) +, ,'fElarmıhe
}1r- nıx| s{q]c-- volr* in *}.o_ s|-o,İA La,Llz ,

Evovn?le- l Ob+öLır^ #^.u s*aü, +ajr{ı *ıJ _ll,ı" s{aİ...c- oln'arLlZ"o^ .Ço. }he
ıe.lııın*iol erfu";r Sh-attın blJo"ı , ,

ğ,

üio*t

7rL4 _irınat e?uaİ*rs ot lhe c.i--İİ :

Je=ğ Ka=ğ*,
lB= N' f(a = A'x * A.T' : dsx

-24-
Tle_ s*al* L*bla oİ lire ci,e,ıi.ıt
rywJraL, ;

Prg*snt il|.gxt r*p.fıup


§tatt lnput üürtĞ l,nputu

Iı fr4 İg l(g

t}0 ül 00l0
CIo 00 000l
0ı ll lıl0
01 10 l00l
ı0 1l 00ll
ı0 ı0 0000
ıı 00 ltıl
tı 1l ı000
,t*ıe i*p^*
Eıe.*,iı§*,",{s-E 4§ü,pğrİ
o{,tlra ı*ı{e +Ak ) b't aıe. {".|t,,a"
(os "dg/*
spau§eL in s44f 2. üİ 1-1r* pıo*llıuÖ " Theıı
çrıa.lıaİıJı o{ Jha vıçxt, ğ+rk
.,o[uı^r.s aı<-tot neade{ ">lr,şn +}.ı-stak aqLh;ns aı<" u-şa,l,

/lıo b;"ry naLas FF i,ılı,,^* (ıı, Kı, % t oı^Acg)M {o_ 4*e c_ombinaiprv
4 ftlaprcıı-ıt ,rlaleS A üJ,,,d. b, a+ıd* 44*" ıilı-ır* X .

Tha nex* s{ck { ede FÇ /s o[hi,,-a {,o,- |İ,c aorrtspğ"al"ğ TK ı,"paf_s


..,^1 +4o_ aha35.*t+1,ıh'a-, Wt* o./ tr* ,Tl< FF ?;)ıı-ı^ bÜonı ,

C}raıac],*rr*Z, 7a\le
Q&+ı)
oö No ü*ız
o/ı R,ğJıi
4o 6,ü
11
The neırl" rld.Ix v*Lııel an *ts" be obh*nql, bI e^rğılıuı,l.'nf, +*ı.c §+o&"

9,rsü.ns .fiov,,o {+re *}ıa.,gıcJern"{l E,r<,-rbı 4..( ,6rllrws ,

CVıa,ızuws§c qıınüon ,{* 7V- ç" ı Q (I + t)= Jq'r+) + X'Qr*l

5*! s}, }iç A a"nd b "I q LL} ,


ı'nr]€ad
A(t +a)= TA/+*ıA
b(,++|)= ıBı+Klb
- 2Ç-
5"ls${.*l7 İ.-val*es .* JA , |14 r Ig, uı,L(-E ı'n ***ohove elua}ions
e ot>{oın hhe sJaJg.- egıııı-Üoas' :

A&ffign,)'A
W

=
A,rr+(a)+x)A. A'b*AB'+ Ax,
B(t+ı) ,>ı'B' +(Ae x)'B= B'u'+ (*qx+,Ax')'b
- B'ı.' +[ça' *)', (lv')' ] o
L$ K') , ( A'+* )]g
= b'xt +
+

=gL|+ (ğ*Ar+4'x **x')a


ç
o o
= ğ'*. + Atsx + Atğ xl
Thesc s}aJe eqııatlı*s 7on,'Jas +tL brL volı*s &. *ho_ .o|ııırra heo{pj|
'ı Nü"b S{ok" in the s{,-r/+ fublc, No k **at +^a aolgyıtns heo-{ed
" Flı'p-{lop lnp,*|ı " a,<. rwl neeJ,^oJ- wlı-ıl,. when s{ataqua,t'oru oı€-,ı.szd" ,

Lahle 4s ftLlo ü g i,

l Nole- ü*, ,İ,rq_ {hLüftrr;t


so hoş no o,gful, t lhe-üre"e*e"t
fnp,.t x linaı eü .t +hLcır-Jes are
l-- O morlıııel »i{t^ 1}v- v".[rr,* a{ X
onLg ,

S1 S2
L

-26 _
Anahjsü wı{ı T pltp-Flops

-Thu
o,.*Lıtrı iı Q a" solıııır*ıLl cj,-u^t » i-tlı T
r",s i. si^ita,^ {p {dJ
+İK FFs , 7h4 me*l
"*"{e
vo&ı-u, in *luıı s{.alıı ]ohlo coıı- |n obhuad
or *:Lrp-cnaracJe.4zt
ğ,ra*l" ,

Tho cAorıcfu,tblI #ta "f a T ft'e-floP


T QC*+ ı)
o q c+) No ahary_
4 q'(ğ) Cor*p l+rfueı-b

The h'- E,ı"otran e{ a T FF i Q&+l) = f@ = T'0 +Tq'

Ç.xawpte ı Obk,; *,e. s |o&-la+b a-,.a,5+*Se d;a8J7,!* üİ *}* ouq ,ıey,,İ,ra,.a


aircJ,it she urn bç-Low ,

AlııcJt rasğt

7he sı"l"ı-h'İ q'r,curi has l.,.ı o FFs A andB ,, ong irıpu* xro-ıd or* orrlpoı|* y,

^2?*
lhe ,:rpıı't anal oulp,ıt Eua}ı'ans :

TA=Bx
TB-x
ü--Ab
S{ql EuaJıbnr afe otta-'rned Uy suls*{r{ n?.lM i"pü eq,J§-blons Tç:and
:f,g i" ]lıe <hou"ac-*e"r's*ic- e1,+a}lor,s ) ,d^eldi"E

= (a*)'A+ |br)A'* (B'+ x')A + A'Bx


^(L+ı)
= A b't Ax + A'Bx
B&.ıı)= x@9
TtıL nexl,slok- ,r"lrrıa A a.r\4 B dl<" oblaiheJ ta+-, theı,e slg"iıal*ol+bns

,

a,,.d,,'.sen{*.{ in ila_
fr{lrrı'n| ,al- Ulİ*.
ğlt* Igfri* for.$*qııenfıoi ğiruuif ıııifiı f Fiip.fiopı

Frgruş ltlşxt
İtatĞ lnput §tıte
İü JlB
0CI 00
00 0ı
0ı ül
ül ı0
lü J0
t0 lİ
11 ]l
1t 00

As Lrn? * x is q,ıaQ L! U.,,L .k-;t Lzh*rı<ı


4,1 a blnrx wil4ı a
ı4Jıııııca 4 rr,*
oaı ^,].,^l"*
Jll
04 , Lo 1, ı a^d- ba-t 1" oo,

A/o{e +ho* sin<.a *he cirÜL has ,ıo nd*k,


}he d",reJr?pl Lings o*"I +|ı,e*üreJı; dı4 m,nkc}-
,,ıilh ]4ro val,ı-a öt İ+,ı" inp** ı oıL1, -fhı,ı-,r6lrr44
C +4,4 ou}pu* ? a-re 5}ıo,ı^ i* lha_ crYales ^fl*
ain glaŞh beraııse Lhı" a,r}rr"İ ç &re^ds ontg
on lirı-p,ell*S.la-l+l A.aı,4_13; ,{ ıi ı'n4epü1,/4ırt oİ /t4 ıhpuİ.x"
*gg *
DEs t6N PQ7CED4F,E-

Tlre oleıi3n o{ a clockeüsejuen},al cıİcuj* stor}s wiflı a se} oÇ "çcr(ıLa{rb ns


fu,\d. end,s q t^ıi{,[ı q. lo2l'crr1y o. : tf, BooleaJ^ {,ı,a^ıııons
'ül
f-io"" ,^.ıhı,'eJu r!,l lOglL ü otlz^ı,\ ca_F be obtraiı^e_*.

Du, ,^"-l^Zr1,

4 - {=rı,- ı*ıoı4
d.a-scn'p{bn ar.at spLi€'caibn,
l*ı.e- al +r,2- Jesireatopoızhin,
4e,r'vg lAe slole- &.a1an,,* o{ 1+r4 clrcüİ. )

2, aürr"e t+r4 n,ı,,ıbç o{ s|-ol<s if neass%l

Z , ft ss igrı- binar1 ,ıu-L,as k l-İ,,4 sk-,les,

+ , O b }aİn +]ür4_ 5 inğ3 q JeA sü*{a +relg.

*
5, Ü,pge_ l4,,,J-\e
"L++^L
Fps {p bc ug/_,

Ö , De-r'ng {i.g_ s,}^ pçÇü trıp,r* quo./üas ar".d ou*Prrİ E'ı-a,İİlrrs ,

? , Drgrrı fu b?r'. 4^ot)ı-o-,

Ex"rpl._,
Design a- ,j*r^lk +hot Je-J<,*s o *liu;e,z.ıo, a$ }hreL or N|ofe
consı.cııÜiıe l's in 6- stı,ln5 o! LiB uning lhaarh a*, in7,r*Line
(ahe input iş a se*r'al bt*'ı/.ıcaın) .

The s*"fu S. *}u's .-ırcıı^t is derive


J*ogr*,^ d \ sla.ıTng ııİ{h 5,,
,eg,t s+at(,
o / ıinp"*soqlpul
41re
5J*q
7+, T( i"pü.1 ,|he- dfuJ,t rernains 64aJe So
5o ı00 (5"lo lıı ^1
'\",
$ı0 l -7
to ü<rJe {üd+ 4 fı l&c*eL,
in
S1.10 ol l
Io
If neı* inp*t = L ) Eiq*g pe, {o _Sa
5ı,li \ 1 +h€"
e )t v S.üa
k i"Liro"o +-f,ro o;nn"! 1v 4ııo
:3 1 .g1ns4oııl4jııl l_ b,'+ğ.
:ıÇ +h4 heü| lrıp,ıi = o ı sda-{,o, re, h So -
-9A -
-l.kL {lr]H unsecııhk l- seAds +{4.8- ÜJ,-A^İ, {"şt",{e 5t, lf r",ore. fs
efe J€.*ftJ€d-/ .++u* cd,"cııİf ;Sags ılı.ı §3 _ antr o ;ırp,,* s€^d.J +Ağ .İ*u,t
boJ*{ıa. 5o -

This ı! Mooıe w,o&A §r.x,rur^+JAl ,;nrhrsinc.e ++,J^ o,Ja-r, U L


a.
,rrhen +hı_ c.s,crlt i} in r}ı{ı-S, ö.,'A ü O a{İ,,ııalıa, 7h"* ı\ rl4**
o,ır&p,,.* ü *-Ç*r4'o" r^- p,esı,* fub.or1şr'bo* ,ro}-a f'..*chb n o}
"İ.
++,L ;wt.
'To JzJ ,'1 L Jl^L dnJi-t \ ha",d, ) ı).P, neeJ, *, aısinı_ bina4ş codes +" ++4
statı,ı aJ\L trtl +t4- st_la-hbla (n
^
*lk ^*tl*
l+n Des e^İp i \o
n laı,gwgn fö. *hı- o hiı d"'ax--Ö
"l*oo.-
Stu-tı Ta$la fon Sefıııı<ı- DeJcc*on
P.eş&"t NeL+

Ab
tfu;{<.
rld
x Ab3
S*a{e Oafiog*

oö ö o o ö
oO 1 a ü] ö
ol 0 O o ö
Ol
ı0
1
oö o
ö
ö
o
oo
0

ffi
ıö t (ü o
e ,l= l {". ++,a Prexr^* *loİe Ab=4l
0 ü }
l (ü ü f,: )
k{'s c-hoosa O
FFs *a .vçreseınİ *}ra 6r, s{r^{es, aü\d" LoJoıL ]1,2; ourtpJı
A ar,o\ B " fLııı.e i5 udğ o^e*in1u*X o;,.{ oneo,ü1,r, a.

f\ıp üıaıva{<,ish'c
Eu",irun aI^ +t@ D FF iı q(+f|) - Do ,
".ıhıLh
ff\oo,ns ++.raÜ {+'Z rı,arl sdııte no.Lr,,ı-s in *l,ıa s+*,|ğ l&Lc ,p*;FJ +la ü inpuİ
.o.,ü§a n q{++u- FF,

Tho ÇA i"prı& el*üıns a.e J-*.ğ*}ty ob}aineJ .Ç"* t+""nar* sl"İe.ol**rrs


4 A *d B i^ sl* -o!-*tnÜn^r 6.^ as {ollouıs ı
^A "-pıeısz/ -3^6 -
(Lıts, n) , 2(z ,r, +)
l
A(++ {) = DA
inp** nüaJr§rü
ts (t+ t)
= D, (A,g,x) =
2 (ül,€,?) J "8-11a
*s
eo,ıı,Jl'oı
gCa , Br*) -_
J o,*p-i
'(6,?)
Lüs s;,nnp\iQ +hr,'"p-d ey,.fio*s nl ,,--Jı- }<arncıı.6h vnaf
% ^T*'
.

B
A 0ı 1ı ı0
0 0

,{,
"{,
T
ğ, =l*1 s* Da= Ax + Blt

'-rbıe l,$eal iap,,vt elua,lı'on, aüt-


sı'r,y> ,

D^, Aıc+ üt ,,j Dg=Ar+Blr y, AB

Tr.-0_ *riıa*+ay_
ü ,lnr;aııı'i.ı4,'1r1, ,D PF ,s +fut +la i"p** E*eİfans
ü|cd13 "bİ,!u,d, {ıpm.}lnJ* shk- bble
arv-"

*u üoTuJ"ğrw,rn,f lhe *f ,,fu,*d,eJ<,r-*or ı


!,

-3? -
çTATL k$.ücrıoN AND As§l6^J MEI,]T

Stak redııAo " is re#-ııed, h as W,2- re_d.r.Üa n ir| ,lla nurvhı,. nl FÇs
in a ,tl- ;ryı-a
3*r^a"l
akJr^Ut,, ,,ıl,ü-lı.
@ -o,,*p,.*
ry;M
tı"ıodarfu<d, Sin"ı-- rh FFs proJuroç 2n s{o:lzs , a ıç,dJ,§L}o^ inf,l,g-vvv^/ıleer
+t s{*k, rh eÜ_ (or nag_na?) reııı-l} ıh a r€dr*o*,u on io' lho nııy,-ber a/ Frs,
Paılıır;^ç ll,ı_ nn*b* *t FFs soır<}o^z_ı €aı_ı_3ı_3 al,.- inu<.a.5L i"."tho-nJr,,,b4r €
cornbi""o"ijpnil afu in{l,a e|üva!a*,b clwu/e , U)e -ltl İ[l,ıık"lZ U,^2_
s+o,Je__ *duııİbn fı rı cz-Aııı<- wi$ı_ aL e_{^ı"\-plt .

Ex.or^ple : Fo. +.hL S+e*L J.t.f frı,,,, qü;J4^ Çılouı, qnsiJ-ı- *+,l t"p,r* quq^L
o t o^ ol l o t O o sla,tio5ı {on^ {hı_ inilıo2- l{"*ı q, Ea-cL,
inp"* ü{ O a.,d 4 ?ad^ıas aı,. ovtpı;g. fl O a,r.al 4 or,,d rg,ı*Sa-J {4r/-JürJü,,,İ"
]" p ,to lhrgneı{ slrr*ı-, o/o

Q, |lnis euarwp le, ,İnoz lU ıh7,,,* _o",|pt


"^g
941vfu^.c-l/> are- İnp o,+4Af) #a fnk*noLı {oJ<l alZ
uua ^"4 h p,ov;4ı- lLı-xl,rrr.e4 qLq-^LL ı.?q
stq{e, noı*ı4 ,i-,ıı'Jı.. lhı-"j^l2s a.e_da+o:Ua
4
İellert inrle^a q1 bi.ro.fr*v&ıı),

Frö-, }+,ı-sk}ı- Ü5."r^ ı vJL ob{err' 1+r4-

o*pıı* o-.,.A 3\r_eJLeı_ fo. {+a..pt e.ı" ıVçıı,§

jv.ılı,ıL aJ $|ürwıı
ü;++v J+,o circ:n^b ;^ i"it'J sl-fu a_)
a-n ,'^p; ,{ O p raAa.eı a^ o^-Lp** ğ O 5+al€
ar"a W,ı- ü-*,,* MJ^"; inıh*a a_ ,
uJ,{k p"eıcı"*'staİe a* a^L;npr^b o( L, fu o1aJıaıJ İs zq.* ar.-d lhıy.r._*
S}aJı. ,'5 b , 69^{-,nu-inx? LLrr, ?focJJ, ,o $'"l ]tı- cot*çle!-- s-.1ıü,a-yLı4
+d bı fus {-olLo,ı 5;

5+-J2 Lüb c d e { { 3 { 3 L
tnpırut 04g1olto,t oo
Ouh"^* o0ooO1lOlOO
-3ı-
In ü-h col*ı,,^ we_ haır.- +h1pr<ııı1İ cfaJı_., inlı-^} a-o[ o,ı!r-,* ürı!l@},
rlrre ıq"r*,slala ı} wi{k^ un {op ./ /l,_L ı,ıjJı} colw"tw.

Ivı {4ü L;-"r/;t IL,a-Sl"}"ıı on o{ nı-anaturvs ir,npor4z.,..h,q, bacaııae wa,uıĞ


İn *Lo o,ı!7ır^t sılArJı,aü ,"runn" 7 Üİ* |*p--* xJuıırcı,ı, T1,4
İn{eş«{eat or,l7

pobkn, ğ s{"J,o redıı^*;on ı,i +o {;"üwaı!4 "İ *q *t c4.*ı


^,r-,&er
L sy;*i*J- JJırr,* wii1tıı»u,t alJ<nhp UL lç*
';^
rcjatçbpıry
",lp* ,

Sin,a ]he j;l-iı-


,'o nori-- Cşnveniewt .+. *p4 s[.lu-ceJırc>l-ı'on proczss
1or!,r(2-

ro]ü,ır {haw }]na_4u- ğ c/*lı dlb,{nh , tı.}Q- ı,,za-L--lo obüıw )4,ı.* ç{uJe_ "l"U-

,S}a]e Tahle
Npxt {+4k Ou}p4t
P,vsprrt El"l,e- X=O X=./ Y=C v+/
a- a-hoo
b cdOo
adoo
efa
L
J« o
q
4

öe L ,,{a o 1

,N ffr İ". ,p' J/


=l
1İ "{ ,f ,ğ ,f
-Tc,ıo
slokarc- .ro.J J, bı equLivalrü,b iÇ, {"r efu"la- inp'İ- +4_ 3*
*ü-.}ü-{-sa-y.-ı- o.,.ılpr* -^a çu^A $hr-dre+ı;,r e|+1^4ı +o +ü4 taJ/^,a ç[a},ı.
or Ah
Eui*lo*t s{r,tL. ı.ı.ıl,,z,^^ *t,e. {ıo _rlJea a"*, exulla-Oır* oh.a*"İü]ra^
qr* fu,, ney,no,,ı€,l_ wİ{lro al{<*r' nB |l42_ Lyur* @,u4p* r,d-a'
"t ^ı Q,

{,^ IL.-e- #L,e- / be_ [oot- $. _l".ıo yr<ser^İ, ü4"*r, }lrr tr 1, l1,,2 ıqıne-
"rJ-iilq
rteıt| ,ı{dJa- qv,)- hNrQ- 4a S+r,,ı* a,^!v-,t Pr boil+ ;"r"/İ or",ht,**h'ats .

- P"eş.,.t9+ateJ t.o^d Q ,&c€ ş,ıç}" +uJo cfusa-r, (gr ea,h


") ",}ep\a.'l +b3 "ul'|t
{i*e t| ocçıı.ıs ;n |hı_ rı<xl slo}ı-'| co[.ı-ı,,,,. , and- ıeı,yto!^( *Jı-açoro
a 4+'-e

7,<n* .flufu" ? ,

Pr<;en*s{a{e: { ff,
and. r[ a.e_ eqüvole,* J) , ?eçl,a.a ( bu J r-r,ch J.i^,_
onr*+
i} o.rr"ı in -lha* rhku Coliuna , anrt n€ıınııııq $,ı_ r"ıa *'41o $z._
preseı,* ,]z,lı.- { ,

-32. -
nL sl& lalolo: :
re/Jkı./- ,)
flre ıadur g*n{n" e'ağrah
P,erQ}"h Nerl- Stak odr^,* alo
S+4]-, ,r,-O y-J *=o 4
ı
"-_
q,aboö
bc)0O r'\«
/o, o

C,.adOO o l c
dLJ04 | İü, ü/0
L&J04 o,)c ı/o
a

llq- Qllo wi"p Lsl, "o."- fo- /aı',^ed- fü,* al,- reilrrr,al_ s/ak a'qp*, ı

Sl4Je-a,Lbcle-JJed4a_
lnpııro1o'lO14o1oo
o"lp^,to o o o o 4 4 o 4 o @

Lt is oL ıı-*<L l+nA,*
/ fi. tl* taevg- inlru* ıLt4* pr"J/,'o oıslş,
1u^.rLcL
İ,usn jıJ-ıJ^,ız__ ,<sıı-a}i, a-ttho*flk tlr4- ç,]**e ğaq&QlLq--is'üfu<**,
^o o,^}çr^*

f,' ]4ri e*aıryle , }}.a n*"v*h ır *{ ghJç.s aıg red[ı.A€cd Ç*^ ?h


Hoılo+xqı_+q'
ry rer yııan*İl,e o. §"r,*Y ı'n-$ue,,,,r*b.rr&'. Çtsoı^
1hı._ auvıbır e{ f" ,

-jg -
SrArz A ss ıGN N eşr
fn daEİlnİn$ ü t441uenl;al .t"rrr;hz, il is neags%! =l- assı,git u^|,quı-
cod"ed brnon4 ,ıairı,s +- }he s*e.*ç-s ,

fo, a c,'rtııri* n staks , 1+r4,. eo&.ıruısl eortoi^ p bt tı ,, whırı*


*ilh.
Zr*}rn (o. bço^), For e;ı.oJı^{lq .ı,iH. 3 b\h, iS is fossib|e
"Z
+. OJİ,ir+ e.oatas + k-s+a*e.r , lt "^ly Ç sta*<: r,ı,ıJ bin a2 qd-e.
*ssi6^İ, eü ı -}l,ıa uıı u ,J b ={^{eı ar{ ]*^e/ os "d"on'r Je
n o"Ail,}o'.'

in ++14- aı.sğm ,

7hn" poııiblg Binar7 §hh Assişnma,ü

t, ASsipmeı*. ,t Assix nmeırt- 2 Assi6"*crt 3


)fafg
<
B,n.g=_ aüg aa.- oiz: Hoğ
a_ Ooo p
o.9 ooCIo 4

b Oo4 ool ooo.1 o


c olo oıl cıloö
d o|l olo otr'oo
?- t 0O loooo (6sk,l+ı,5bılr)
;'l|C
1- one,-hot asıi6wr,.a,* , *L o-gıverıor.a btl ü
.[ı\ı*ı_, o^[s
şrv.-
1ua0*4ı Şdnfu
ııı}*te o-1!* alhıııç aı*- O . ThrL ]"r+usıl4nv,n,o.r1 üi,"L, or.* Ft 1ı»:
önı-h,abe",.,ı4ı.İç,r:-uIa l egr-s h
sı',*p lcr )nıa&hs loy,",. 6* .J"f^Je_

machüzı .ıl}h solü,e},ii^i- 5ln*6 aırı-Lç,

Tl* bıha.y for^ ü&++4" s}otı J--U- b ,ı-aa/, -}g J$ve +&r4- ıqı*,[,st/<_
aırd OuJtlaır* - Srm,'nş qv*btrıa,*,-o,+ıoL İD*p*tol İ+rı" *.1,rırr*;2^,a a,ıül-,
Th.e cOn^glaıı\ .f Ül,rL
"ıı,{r;na,İio^al.
cr:ffi.ut Jçe^otı an ltq, binar1
*+wk as l i ü,^o jga
nnnç*t

^?4-
UNIT - VIII

Pagge | 1  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
An algorithhmic state machine
m (ASM) diagraam offers sevveral advanntages over state diagraams:

• Forr larger state diagrams,, often are easier


e to inteerpret
• Maay be easily converted tot other form ms

A key poinnt to remem


mber about ASM
A charts is that giveen a state, thhey do not eenumerate all
a the possib
ble
inputs and outputs. O
Only the inpuuts that mattter and the outputs thaat are asserted are indiccated.

Pagge | 2  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
COMPON
NENTS OF
F ASM CHA
ART:

There are three


t compo
onents of ASM
A chart:

1. Staate box,
2. Deecision box &
3. Co
onditional ouutput box.

Out of theese, the statte box and decision bo oxes are fam
miliar from use in connventional flow
f charts.. The
third elemeent, the con
nditional box
x, is uniquee to the ASM
M chart.

The ASM Diagram Blocks


B

An ASM chart
c has an
n entry pointt and is constructed witth blocks. A block is cconstructed with the
following type
t of sym
mbols.

1. Sta
ate box:

In simple,, State box is defined as:


a

The state box


b has a naame and listts outputs th
hat are asserrted when th
he system iss in that statte. These
outputs aree called syn
nchronous orr Moore typ
pe outputs and
a is shown n in figure bbelow.

Pagge | 3  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
2. Decision box::

In simple,, Decision box


b is defin
ned as:

A decision
n box may bbe condition
ned on a sign
nal or a testt of some kiind.

3. Co
onditional ooutput box::

In simple,, Condition
nal output box
b is defin
ned as:

Such an ouutput box inndicates outp d as shown in figure beelow. These


puts that aree conditionaally asserted
outputs aree called asynnchronous or
o Mealy ou utputs.

Pagge | 4  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
SUMMAR
RY FOR A
ASM BLOC
CKS:

One state
s box: TThe state bo
ox has a namme and listss outputs thaat are
assertted when the system is in that state. These ou utputs are called
c
synch
hronous or Moore
M type outputs.

Optioonal decisioon box (es)): A decisioon box may


y be conditiioned
on a signal
s or a teest of some kind.

Optio onal condiitional outtput box (es) Such an output box


indicaates outputss that are conditionall
c ly asserted. These ou
utputs
are caalled asynchhronous or Mealy
M outpuuts.

There is no
o rule sayin
ng that outpu uts are exclu
usively inside an a con
nditional outtput box or in a state
box. An output
o writteen inside a state
s box is simply indeependent off the input, w
while in thaat state.

The idea iss that flow passes


p from ASM block k to ASM bblock, the deecision boxees decide th
he next statee and
conditionaal output. Consider
C the following example
e off an ASM diiagram blocck. When sttate S0 is
entered, ouutput Z5 is always
a asseerted. Z1_n
n however iss asserted on
nly if X2 is also high. Otherwise Z2 Z
is asserted.

An ASM block
b

Pagge | 5  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Certain Rules

The drawing of ASM charts must follow certain necessary rules:

• The entrance paths to an ASM block lead to only one state box
• Of 'N' possible exit paths, for each possible valid input combination, only one exit path can be
followed, that is there is only one valid next state.
• No feedback internal to a state box is allowed. The following diagram indicates valid and
invalid cases.

Incorrect Correct

Parallel vs. Serial

We can bend the rules, several internal paths can be active, provided that they lead to a single exit
path. Regardless of parallel or serial form, all tests are performed concurrently. Usually we have a
preference for the serial form. The following two examples are equivalent.

Parallel Form
Serial Form

Page | 6  
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS. 
 
Pagge | 7  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Pagge | 8  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Pagge | 9  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Pagee | 10  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
EXAMPL
LE: Draw the state diiagram and
d ASM cha
art for the state table given below
w.

Pagee | 12  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Sequence Detector Example
E

The use off ASM chartts is a trade-off. Whilee the mechaanics of ASM M charts doo reduce
clutter in significant
s ddesigns, its better
b to usee an ordinarry state diag
grams for simple
state mach hines. Here is an examp ple Moore type
t state m
machine with h input X annd
output Z. Once the flaag sequencee is received d, the outpu d for one clock
ut is asserted
cycle.

The corressponding AS SM chart is to the rightt. Note thatt unlike the state diagraam
M chart indiccates when the
which illusstrates the ooutput valuee for each arrc, the ASM
output Z only when itt is asserted.

State diagram for sequence


s deetector
ASM charrt

The followwing timing diagram illlustrates thee detection ofo the desireed sequencee. Here it iss assumed th
hat
the state iss updated wiith a rising clock edge.. The key cconcept to observe is th
hat regardlesss of the inp
put,
the output can only bee asserted fo or one entiree clock cyclle.

Timing diaagram

Pagee | 13  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Pagee | 14  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Pagee | 15  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Pagee | 16  
D.Y.PUSHPA
AMITHRA, EC
CE DEPT., SITTAMS. 
 
Page | 17  
D.Y.PUSHPAMITHRA, ECE DEPT., SITAMS. 
 

You might also like