Professional Documents
Culture Documents
use IEEE.STD_LOGIC_1164.ALL;
entity NAIBAF is
-- Port ( );
end NAIBAF;
component Karnaught
port(
A : in std_logic;
B : in std_logic;
C : in std_logic;
D : in std_logic;
F : out std_logic
);
end component;
-- Señales de salidas
signal F : std_logic;
begin
UO: Karnaught
Port map (
A => A,
B => B,
C => C,
D => D,
F => F
);
process begin
A <= '1';
B <= '0';
C <= '0';
D <= '0';
A <= '0';
B <= '1';
C <= '1';
D <= '0';
A <= '0';
B <= '0';
C <= '0';
D <= '0';
A <= '1';
B <= '1';
C <= '1';
D <= '1';
wait;
end process;
end Behavioral;