You are on page 1of 3

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

entity NAIBAF is

-- Port ( );

end NAIBAF;

architecture Behavioral of NAIBAF is

component Karnaught

port(

A : in std_logic;

B : in std_logic;

C : in std_logic;

D : in std_logic;

F : out std_logic

);

end component;

-- Señales de las entradas

signal A : std_logic := '0';

signal B : std_logic := '0';

signal C : std_logic := '0';

signal D : std_logic := '0';

-- Señales de salidas

signal F : std_logic;

begin

UO: Karnaught

Port map (
A => A,

B => B,

C => C,

D => D,

F => F

);

process begin

--- Estímulos de la simulación wait for 100 ns;

wait for 100 ns;

A <= '1';

B <= '0';

C <= '0';

D <= '0';

wait for 100 ns;

A <= '0';

B <= '1';

C <= '1';

D <= '0';

wait for 100 ns;

A <= '0';

B <= '0';

C <= '0';

D <= '0';

wait for 100 ns;

A <= '1';

B <= '1';

C <= '1';

D <= '1';

wait;
end process;

end Behavioral;

You might also like