Professional Documents
Culture Documents
Experiment 1
VHDL code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity no11 is
port ( clr :in std_logic;
clk : in std_logic;
q: out std_logic_vector(2 downto 0));
end no11;
Technology schematic
Behavioral Simulation output
VHDL code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity MOD10 is
PORT(CLR:IN STD_LOGIC;
CLK:IN STD_LOGIC;
Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
end MOD10;
IF (TMP = 9) THEN
TMP <= "0000";
ELSE
TMP<=TMP + 1;
END IF;
END IF;
END PROCESS;
Q<=TMP;
end Behavioral;
.
RTL schematic
Technology schematic
Behavioral Simulation output
VHDL code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity NO2B is
port(CLK,RST,UD,EN : in std_logic;
Q : out std_logic_vector(2 downto 0));
end NO2B;
end Behavioral;
RTL schematic
Technology schematic
Behavioral Simulation output
At 60ns
A must to initiate the logic circuit, RST is set to HIGH will result of all outputs to reset