You are on page 1of 11

--R

(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",


x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF"),
(x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF",
x"FF", x"FF", x"FF", x"FF", x"FF", x"FF", x"FF")

--G
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"D7", x"D7", x"D7",
x"D7", x"D7", x"D7", x"D7", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00",
x"00"),
(x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00")

--B
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"00", x"00", x"00", x"00", x"00", x"00",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66",
x"66"),
(x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66",
x"66", x"66", x"66", x"66", x"66", x"66", x"66")

You might also like