You are on page 1of 52

ANIBAL GARCIA VENEZUELA

--------------------� -�

.Il I Ol

LI 106 HL1ANNEM VER..C RF HF


0
CI I 1 I
Cl11J
LEDi(,01
Cl3·ll /
1:y:)
+
J2t 12 J2613
Cl3'l2
u:oi
c:10
-- - e23011
J/114 0 J2t 11 O-:J J2610
,,
_
0

'-',
0
0
-- 0

I
4
0 Jll13 ID3002
- ------------------
�/
U7 JOt /
Fl
------
J3001 R1111
R 2031 02001 R 2113
d l V
: R2NO F10J8 I (11)06

- , �IOJJ
I
F10JI
-
R/0'.D
,

,_ �1034 --;:: �
� :j
,0="'---'�, 0

(1404

I
0
'-

Ul402
( /

]
J
Cl L_ J7 I15

-----------
// ,''
C1428

rn
f140f.
I
......
;\
\

'-----------------

J I 708 IT ]',J T P)O I :70.i Cill C/0"/


(26141

,_ _ _________ _!
_ ___________
l
LIO! P;H
R260L

�801 ,...
CBOJ
0
0
1_818

L80'.I
j/1[,)
1_806
1_807
1_808
1_602
-
1_801
L803

1
L8U1
1_816
I )30C 1

- 0 0
1_81 '.I
l8l 4

--
l81J
L812
L8 I 1
1_810 J1'Jl3 !2910
L809

-
D6001

u
0

Lt 0(·1
R18Ol
R1801i
(J
R280l �
4
---)
ll649 R1803
U29'Jc'

rn - -
ffiHl
,,

ICi 4?1Ir.1,11 IIOID -- -- _,


---
IC\43 I I le� )R\401

'
0
_,

�----------------------------------------------� /

/-- - - - - - -
�----------------
-- - - - - - -
�--------------- ---�-------�--
- -
r-�--//
/ \ /

,,-
(
--- '\
I
(
\
\
\
-I
)
--
"'----------/
\

I \,_

-
-

,-r-
- -
_ _ _ _ _ _ _ _ _ _ _ _ _/

( / '
//,,,,-
/"� - -�- - �
- 1
)
j
,/

,..--
( �- \---�-
--......","

t'-,-------;-_ _
_,
,///

// \\

,_j_ )

'\_,�- - - - - - - - - �
-- -
�- - - - - - - - - - - �
I
'\,'---,,-� - ----------------------------------------------------------------------------------------
------
-
ANIBAL GARCIA VENEZUELA

/�

I
,/

p20 lite
___,/

u
/,..,-----
0
' VC RF HF
\"--- no1o

"----
IP/104 TP/105
[3rl---4�"
(261 ,)
IOJOJ7 TCX06101 (7101
UJ001 020)

"'
u

"\
c, 7 001 (2604
(7005 .14302
c,1002 11 """
LB260 LB260
C2609
0 J7 t 0�

\
(2605 [1606

/
@ill] Iu,o,I 0 J2302 0 \
( I \
'==::j:;,�, Q \ \
1
)
14
--
1 crno6 0002 (45061� r,,,4509 RJ18 1
ll653 L4564 __ CJ11l CJ101 �

7
...,. 14504 ,4508 0218 ;;

-
I 325l � CJlZZ
' o :J
� ::::: ::;, ;
L U1il [)105
i ..
ll[Ol

-- I
0

J 2l02 '3101 ,a CJ108

'�
0

L46!0 I(440 C4SOl �-


,

-"-
- R 2306 (1013 R2305 C!OJ6

-
lc1002 , e,
[lJJ'
0 ::;
- :
' '-
0

-
CHOI '
I
0

u ][,3005 CI O19 :104\· (1014

- -''
I
V

---
-
CI 022 C2Jt(,

-- -- --
u C 13 [10081 L!Ol(, u
- ,, X1201

--
0

IC100
N
0
N '' 0
Ll103

-
0 0
'' 0

u u (1027 L'

t
N
u 0

-►
' U320 I
N

-- --
0

Cc' /
-- -
0 0 0 0 0 0

I -
N N N
C32I 9

-
u u u 0 0 -
C1J4l C36iJ5
cnnl
I c104a- CIO!O
--- --'-' --
u,
0

Cl714 (140311C\40� ' u

-
0
Cl213 1c1oot - C3206

I
0
' ! 'IIrI 1O�I Rl202
N N
1144 1ll L3651

- -- R120J
0 � u
0
P14(, 0
" 0 0

--
0

[2721 C3!01 C361J 4

--
"
0
Cl7J .1 V V V
0

-" u
N
R I 302 = =
[fil -
V

I
--- - ---
N o
N N 0
u

e:
-- C2830
0
CIOII
0

-
u
u u
u
"
< 0

LI 102 L44'.2 IC4401 ;,::;

-
u
N N

C7 !O\ u
0
1 L IO1 0 u
0
N R 20 I 6

-
V 0

CH04 lh R2104 u

- --
u �
-
B1ill N
,,
0 - u N

-
L1701 C131J 0

-
R2013 . C:J[,8 0
CI 321
0
Cl121 "
0

--
0

- -
0
u

--
0
C 1322 f-

-
,,

BlQ.11 u N 0

CI JI S I
0
C\123 (1121

I- - 7
LI 1 O 1

---
'
R1005
-
0 u

--
Ct 122 CI 323 C 1128

-- -"-
u 0
(l\50

-"'--
0
IR200! L1302
I- lc1201
cto o;I
0 N

u
--
, --,
L1301 R 1145 C111 R

-- ---u
c"
0

0 V
- R1144

---
- C 1131
0

--
V u 0

0 R121!
0
Lll04
,, C1159 N
0

L1303 ,,, Cl030 C1 031 C11Jti Cll 4•lt114�1lll3/ Cllll � C1155 u 0


--
/

I
u

-
JJO12 /
<
l! 304
" C 1124 (1(134
/
l_;-,
021 CI 038 Cl 0?9 (41 D1
:1033
C1320 Cl.ll.'i IC!l42 l415I 0
-,
u
CJ13 CI309 U4301
/ C!JG\
1� @616) CJ20

' ' Cll3(1 0 �


-~-
-
J3008

\
" "

)
(12041 Cl408

-~-
n RI 215 c1zozl
- -
---
C4306
0

,,, � u R1208 Rl 2I)7


0
u C317
1_435)
0 N
0

C1lO6 R1114 Rl Z I 1 l400I


'"' ,,,
'- C316 R1 229 Cl 2116
~-~
'
- ~- ~-
R121o
LED1904 U4302
-
-I-
(2403 Rl21)9
L__
I __J
- '"' -'
'
0 C431 L3761
~ :2rn6
"
<

111-
0

I " 0

" N N (431 36t J


C2400
_I -
00'
<

c"',lliJl2 []fiO 36!�, Cl6l6


-, V
[2414 C2409 C2413

Ill
04301

- -~- --~~- -
Ci29
-- cc_ -
)2\04
0 Joli
<

-�2�13 (2402 R2414


l6202
~"- -"-
J6(1 1
- 00 -" "- -"- -- --" -- --
N
" TP30/,2

- -
0 <

" < 0
< <
''--- u ' 0 C C

'"' " "


0
I

-
V
N <
<
TP3065 IPJ066 o, u u

j �I �!
.
' .
V < u 0
<
0

� n

-
-
-
- - - - - -
0
<

--
u V V V V V

JlOO5
0L626I
- :; 0 - --- -- --
(6202 "' ,: 6i 19 �

@l
N
<
u L6260 Z62·lJ C u
< "
0 0
Z64 OI 0
<
(452
'--
R 150 ·,
N
I
C604J " R1502 c--ho�
0 <

lcuo3;
,,
<.J
u

'
(415
V V
TP30,J4 TP3003
CfiC·3� L6213 C6029 :6039 (603
-
C RllOJ R 150
; I
" (603 0

C602 l l (418
(604

_ _ _ _ _
R 6181 J43(13
(602 IV>e
< V

s (604 /

-- IL6456 1 l645I 6[

u6 01 0I 'CCI I
L2351
I :JC 03004 103004

--
1]

\ 0
'< (2312
J1 I JI
"' "'
\ - ' J
0

(2313
uo,
- ml
0

\ (60?6 Cl
cu
Ui503
~ "
~ - = [fil][filTl704
;g
\
0

[fil [fil]]
c;;o2a (6031 TllOe, I

"'" I �l �l �I
0

(6027 11105 TIJ02 Tl/ 01 61


0

--u - -- -
n
=
l
0
u
<
::; ,-
\ 81 Cl/23 C15J,J Cll03
0

(6034
<
C
.1290I JI 501
\ -
ID31JOJ (6031) J3009
-
V
' '

118
(1502
<
C

o,
I� -
0

'
I ,,
\ <

\
C
C60JJ 0 D600l
\,_____ 1 8

'
\'

l

I /

- -
-
0

a
n

\
\
\
\ � ' ''-
"---
'

'

\',
'

'--,
'-, '---
' \ �

'\ - J '

(/�'---
j '-
\
\,

/
�- - - - -
� _J_
_ __L _ _.,,,.--//
_ _ _

\\

ANIBAL GARCIA VENEZUELA

0300
ALL,,THESE CAPs MUST CLOSE TO SOC
"'M
0
"'M "'M
.... "'

>>--VD -'--"'D"-"C,,sP_,,U_B,,_____.1-----< ,__.___, >--------------< I-----------< l-----�E=l�3'-j P13 VDD CPU


CPU BIG CPU LITTLE
VDD_CPO_B_l
(.) (.) (.)

l--- - - VDD_CPO_B_ 2 P l5
L

���7 ,----- - -+
-- - -----1
->--
VDO_CPU_L_l

- - - � G=1=
------ii I,
-
E l4
3---,
VDO_CPU_L_2 - +-
-- ----<
->- - --+
----=G=1=4---, R13
' I I f-------1 f------ - +-
-- ------,
- VDD_CPU_B_3 VDD_CPU_L_3 R12

1-------�H�l�S'-, R1 5
VDD_CPU_B_4 VDD_CPU_L_4
C30� C30� 1-------�H=l�?'---i VDD_CPO_B_ 6
VDD_CPU_B_S VDD_CPU_L_S
R16
"'.... ........ l--- - -�J�l�27 VDD_CPO_B_7 T l4 .... ...."" ...."'
M
VDO_CPU_L_6
.... 1 1 ' 3 3 1 1 ' 3 3 �

T16
----=J= 1=4---,
CX)

SG303
VDO_CPU_L_7
M M M 0 M M M

----=J=1=6---, 012 VD=D"-,,CP


,,_ .,,Uc...,,L,._.F�AR
=----' "' "' GND
(.) (.) (.) VDD_CPU_B_S VDD_CPU_L_B (.) (.) (.)

014 �
-----1
vl',�v✓''-''___
N
VDD_CPU_B_9 VDD_CPU_L_9 ---""'U'\.

1-------�K�l�G'-j
' I I I-----<"' 1--------1-----"' >-----------< >----------ii I,
016
----=K=1=5---, L_________

VDD_CPO_B_l l VDD_CPO_L_l l SG304


VDD_CPU_B_lO VDD_CPU_L_lO
---�L�l�27 "'~"VN'�l2�_V_S_S-CP _ -L -FAR
_ U _ _�
l-- VDD_CPO_B_l 2 I
'I It--� :ii>
C31(! C30� - --=L= 1=3---, Q) f-
�B"-,---------< VDD GPU �
VDD_ G PO_ l �H
VDD_CPU_B_13 -�G�P�O
_ ____,
_
1 1 3 3 1 1 ' 3 3 0
�l�O'----------<
----=M=1=3---,
VDD_ G P0_ 2 �J
VDD_CPU_B_ 1 4
ro ------+-------,II
VDD_ G P0_ 3 Jll
/41
,----------< 1-------�M�l�G
----=M=1=5---,
VDD_CPO_B_l 6 SG305
VDD_CPU_B_lS
"' "' � VDD GPU FAR
l, VDD_ G P0_ 4 Kg C30t C30:( C30f{
'-j
fl'I

SG306

Cl) A A A


Ll l VSS GPU FAR 3 3 3 3 3
,,3

'I If-- ------< >- ------ VDD_GPU_S LlO


VDD_GP0_6
f"'1
'I I "'"v'N' Cl - - :ii>
1 1 ' 1 1 1 1
Kl7
Q)
VDD_ G P0_7 g I '' ,, "'.... "'.... ....
VDD_CPU_B_T +l M

VDD_ G PO_ B Ng

L l7 "' "' "'


M M M
(.) (.) (.)
s::: VDO_GPU_9 Nll
SG
•ri VDD_ G PO_l O pg II
VSS_CPU_B_T -
- -
- -
-
= ,e...,cC,c_
/4:'-----VDD P_,,U__,,B '--=FAR
� =-
_ _ _,,JuO\l,\!_S_V
"/'--''"----
" ______ VDD_GPU_ll Pll
- - -

__J
�-

SG302
% VSS CPU B FAR "'"VN'�l2�---------<I I
VDO_GPU_T rR=l=O� - -

I VSS_GPU_T >-R
=1 1'-----
= - -7 I I '
4.
ANIBAL GARCIA VENEZUELA

0300

ODRPHY IO POWER
VDD 12 _DDR V O U T2 _ 1V8
>
Y27
VDD 1 2_DDR_l VDDlB_IO_l r8=2=3 f- -- ---<
- >-------< >- - -------------
--<<
Y28 5
- - -
---j >-- - ---j
-
r8=2=
VDD12_DDR_2
T27 VDD12_DDR_3
VDD18_I0_2
r 8c'-2�
- - ---j
-

VDD 1 8_I0_3
'I I
--- 6
U27 VD012_DOR_4 J�
f-"'
---j

VDD18_I0_4 27

f---'-
F_,,_
B___-
C40t'
------j

C44S,: C441' C45d VDD 1 8_I0_5


V27
VDD12_DDR_5
V28 f---'-
F� l�
1 1 1 1 ' 3 ' 3 1 1 ' VDD 1 2_DDR_ 6
M27 VDD12_DDR_7
VDD 1 8_I0_ 6 - 1
- -
---j

"'N "'.,.
"' "' f-" -"-
"'.,. "'O.,.
3 3 1 1 3 3 3 J6
r-­
,, ,, VDD18_I0_7 - - - ­ N ..... 00
"' \ M28 VDD12_DDR_B
M29 VDD 1 2_DDR_9
VDDlB_IO_B rM=6 ---­ .,.u .,.u
M N
.,.u .,.u .,.u
N

.,.u
0
N N N N
P27 VDD12_DDR_l0
VDD 1 8_I0_9 f---'-
f-"
p7
�-,------- u u
VDD 1 8_IO_l0 =
AB 9
K 27 VDD 1 2_DDR_ll f C�
-" � l�1---
- - -
---j

VDDlB _IO_ll
'I I
A
-
-
K 2-
8 r' A� C= 1=2- - -
-
� - ---1 VDD12_DDR_12 VDD18_I0_12
t-----------, r-t
----' VDD 1 8_I0_ 1 3 f-"AB "'-"l�? __-
f-"A"'-"
B l_,,_
B__-

---l
DDRPHY PLL r, VDD 1 8_IO_l4
>�__.v,_.,o,_.,u._.T'-'s._.1�v >---- ------------------
---< f- ----"R�2�7--,
------------ AVDDlB _PLL_DDR VDD 1 8_IO_l5 f- " """"�- -
AB 24 -
VDD 1 8_I0_1 6 f-"AB"""" 2-"-
6
- - -
1---- - MIPI
- - -
---1 �
r AB= 2=8---

�--�J=2=1---, AVDDlB_CS I_l 0


VDD18_I0_17
VDD18_I0_18 f-"A� C,._
l=9 �--,11
1 ---"-J=
---------------------------- --->--- 2
=2---, AVDD 1 8_CS I_2 VDD 1 8_IO_l9 f-"
f-"
A�
A�
C�
C� 2�
0_
2_,,_
_
-
-
C44t,
VDD 1 8_I0_20 2
- - 1 1 ' 3 3
f---- -
--i f- - - - -
f--------------------
����- -
C454
�- - - - -
----"��°-1 819
AVDDlB _DSI VDD18_I0_21
e-=A= C=2=4
- - -� "'
1--------1 I I
PERI Q) f-"
A�C� S______
.,.u
0

VDD 1 8_I0_22 2_., N


-------------=P=2 =0___, AVDD18_PLL_PERI 0 VDD 1 8_I0_23 r= �6�---�I
A=C2

> VDD08 PERI


ro f------------1

>---- -
+- -
+- - - - -
+-- - - - - - - - - - -
---1 >-
----------- -''"'-''--1 AVDDOB _PLL_PERI
- - - -
----< f- � f-- - �S�Y�S�- -
R2 1
---1
V O U TO o va
f-----------1 VDDOB _SYS_l f--"U 24---
=� --.f-----------------< >-------<
C446 AB B
----,II·
5,-j VDDOB _SYS_2 l-'-Vc'-
25 "------�
>----------..J....J____J______ _,,_
u_,,_
B
_, VDDOB _AB B_l Q) VDD0 8_SYS_3 f -"W24
�"------�
"' ..... .....
N .....
..... ..... "' -.tfi-'t---=-c=c--.---,--------" YB---
-"-, VDD 0 8_AB B_2 VDD 0 8_SYS_4 f-'-
Y2�5 "------� +l
.,. .,. .,. H
I I
r"
.,. �"'ci) C452
l "'.,. S:::: VDDOB_SYS_S f-"c"-..
AA24 _ _ _ r-- 00 "' "'
u u u u ,-----"--------1-L_------;__ f------��°"1 AVDDlB _AB B_l VDDOB _SYS_ 6 f---" "':' �___• .,. .,. .,. .,.
f-----"U\,,,o,/\'"----_,.,,'±"'----j ---j
V G AB 2 0 0 "' 0 0
u ,_____________,__Y._,G AVDD 18_AB B_2 VDD0 8_SYS_7 f-" A� B 2 �2"--------<•ri u u u u
-------------= �G ___, AVDD 18_AB B_3 C409
'--7
AA
VDD0 8_SYS_8 rM�l� 7 --

= =6---, AVDD 18_AB B_4


- ---j

------------- AB
C°'II f-- -SYS
----- ---1
>�_v._,o
,,_.u�T"s,,__,1"v ._.a,______, >--� >-----------------------j-----------< f-------- �==�-----'R'-'7 A VD DlB AB_B_c LK � PLL
'--'.___ _ _ _ _ _ _ _7 � AVDDOB _PLL_ SYS �T=2=4 _ __�
41 7 EMMC '-'����'-"�>-- 11,
- - '
>,�-VD=D,,_.0,,_.8.,__.P,_.E""R"'I._______,f--+---a _________________-::;--=:-_________________, --� 1 5-----------------------------< f-_____. o,_.
v_- ,_,T._,Be_,._v a,__--<<
l _,,__.,
f-

=2�7---, VDDOB_EMMC_DLL
AD l

� AVDDlB _PLL_SYS f-" 2-"


R"' u

USB SDCARD IO
�-�8=1�8---1 VDDOB_USB S__________________ V OUT9 1V8/ 2V95
VDD1830_SD f-"
AB l_.,
"'-"

V O U TS 1V8 >------------------------------------�F�l�B'---j AVDDlB _USB



SIM IO
�T"-1
�U �0,,_�_,,_..
3V 2-" L'-- ---+--+--f----l-------< f------------------------------------"G�-'----I
17
AVDD33_USB
ACl4 ____________------1 f-----+--f----+----�
VDDlB 3 0 _US IMO f-" � �._ V�O,_.U�T�l�l'-'l"- V
�8�/L 2
�V�9

A� V O U T 12 1V8/ 2V9�
EFUSE VDD1830_USIM1 f-" Cl ----
,._=3 -----------,
>,7___V OU
,c"',,_T l l V8
e,c"-'"-._.e., ----------�=�c-, VD018_EFUSE_SYS
e,2 82 0

"'
..... 00
..... N "'N .,.
.,.
u
.,.
u
.,.u
N
.,.u .,.u
N

"'.,.
.,.u .....
.,.
.,.u .,..,.u
N
.,."'u
r--
"'"'.,. "'.,..,.
u u
5. SOC PWR3
ANIBAL GARCIA VENEZUELA

U300

PERI PERI
U�
i-=- 8 - - -�
l=---
�----� 89
�--1 VDD08_PERI_l VDD 08_PERI_44
•----�8�1�2'-1 f--"'
U= 20� ------­
VDD08_PERI_2 VDD 08_PERI_45
a-----�8=2� f--"' = ----
--­
7
-t VDD08_PERI_3 VDD 08_PERI_46 U2 = 2
- ----•
----- ---=�--1
9-
J 7
VDD08_PERI_4 VDD 08_PERI_47 V�
l--'-
•----�J�l�S'---1 V= --­
VDD08_PERI_5 VDD 08_PERI_48 f--'- = ----
11

------�J�2�0--1 VDD08_PERI _6 f--!- -------­


1�
V= 3
VDD 08_PERI_49
•----�J�2�4'-l VDD08_PERI_7 VDD 08_PERI_50 V=
f--'- 15 = ------­
6
a-----=J=2=-t VDD08_PERI_8 VDD 08_PERI_S l f--'-=�
V 1 7-------­
•----�K�l�9'--l VDD08_PERI_9 VDD08_PERI_52 V19 -----­
1--'- =�
•----�K=2�1'-l --­
a-----�K=2= 3-t
VDD08_PERI_10 r-t VDD 08_PERI_ 53 V2
f--'-
v23
= 1= ----

VDD08_PERI_ll r-t VDD08_PERI_54


:>'_.->---'-==-=-=--=-==-•-----.._------------------------<---�.----t.._-----.._----..____>--______________�K=2=5-t
VDD08 PER VDD 08_PERI_12 VDDOS_PERI_SS 1---"'="--- --lt-------e-- ---1.-- -l.._-...._- -e-- ---1.-- -1.._-...._-e-----1....-�
WlO =
VD 0�
D� 8=-=
P �I=-----<3:::::
�E=R
a------= L7
�-t VDD08_PERI_13 VDD0 8_PERI_ 56 rW=1=2-------­
•----�L�l�S'--1 4------­
VDD08_PERI_l4 VDD08_PERI_57 �W=1�
a-----=L=2�0-t --­
VDD08_PERI_15 VDD08_PERI_58 rW=16= ----
------�L=2�2'--l VDD08_PERI _16 VDD 08_PERI_59 rW=1= 8-------­
•----�L=2�4'-l 0� ----
VDD08_PERI_17 VDD 08_PERI_ 60 �W=2 ---
a-----=L=2=6-t VDD08_PERI_18 M VDD 08_PERI_ 61 rW=22 = -------­
a--- --�
M� 1= 9'--I
VDD08_PERI _l9 VDD08_PERI _62 l---'-� - --- a
Y 9-
•----�M=2�1'-l
a, VDD 08_PERI_ 63 i---'-= 1=1------­
y

u
VDD08_PERI_20
Y lJ
------�M=2�3'--l VDD0 8_PERI_21 VDD0 8_PERI_64
•----�M=2�5'-l
RS
VDD08_PERI_22 VDD08_PERI_ 65 i---'-
Y=1=5---- --­

______.....:.:N�7 VDD08_PERI_23 VDD 08_PERI_ 66 y=17-------­


t-=- �
•----�N�l�3-tl If.I VDD0 8_PERI_ 67 y=19
'-- VDD08_PERI _24 i-=- �-----­ -
a-----�N=1� 4
-t VDD08_PERI _25 M VDD 08_PERI_ 68 i---'-
y2 = 1 = -- ----
-
Place luf close to PIN N13 and N14 ------�N�l�G'--1
a-----�N=1=
VDD08_PERI_26
a, VDD0 8_PERI_ 69 y= 2�
t-=- 3-------

!-0-' =lO� _____



8-t AA
VDD08_PERI_27 VDD08_PERI_70
a-----�N=2�0-t VDD08_PERI_28 VDD 08_PERI_ 71 f-O!
AA = 12 = ----■
a-----�N=2= 2
'--I VDD08_PERI_29 VDD 08_PERI_7 2 t-==4----•
AA1�

= ----■
a-----�N=2�-t 4
VDD08_PERI_30 ·r-t VDD 08_PERI_ 73 AA=16
!-O-'
= 1 =8----■
a-----=p=1� 9
VDD08_PERI_31 VDD 08_PERI_ 74 i-=AA

=�0 _____
-1

a-----=p=2=3-t VDD0 8_PERI_32 VDD0 8_PERI_75 AA2


!-O-'
a---- -=� P25
VDD08_P ERI_33 VDD08_PERI_7 6 i-= =2----■
AA2=
a-----�p=2� 6'--I
VDD0 8_PERI_34 VDD0 8_PERI_ 77 AB 8-------
l-..!!=
a-----�R=l�-1 S
VDD08_PERI_35 VDD08_PERI_7 8 !-0-'=l =l_____
AB

VDD 08_PERI_ 79 3----■


a-----�R=2= 2
-t VDD08_PERI_36 i-=AB= 1�

a-----�R=2� 4-t
VDD08_PERI_37 VDD 08_PERI_80 f--"'
U2 = 6 � ------­
a--------= T9
�-1 VDD08_PERI_38 VDD 08_PERI_81 rW=2= 6-------­
a----- l��T � l-1
VDD08_PERI _39 VDD08_PERI_82 t-= �
AA2 = ----•
6

a-----�T=1�-t 7
VDD08_PERI _40 VDD08_PERI_83 l--'-"��----�
AB 2 7

------�T�2�1'--l VDD08_PERI_41
a-----�T=2= 3-t
VDD08_PERI_42
'--------=U=
l�0--1
VDD08_PERI_43
• DDR
ANIBAL GARCIA VENEZUELA
U300 U300

--
--t
CSI OSI DOR DOR

--
MCAMO CLKN A DSIO CLK N , DDR CAO DDR D00
,
C23 CSIO_CLK_N OSIO_CLK_N Al5 J34 DDR_CAO DDR_DQO R34
"7
MCAMO CLKP A C24 CSIO_CLK_P OSIO_CLK_P B15 DSIO CLK P ,
, DDR CAl J32 OOR_CAl DDR_DQl R31 DDR Dol

-- --
"7
MCAMO DON A A24 CSIO_DATAO_N DSIO_DATAO_N C15 DSIO DATAO N DDR CA2 H34 DDR_CA2 DDR_DQ2 T33 DDR on2
MCAMO DOP A B24 CSIO_DATAO_P r-t DSIO_DATAO_P C16 DSIO DATAO p
"7
DDR CA3 J33 DDR_CA3 DDR_DQ3 033 DDR on3

-- --
"7
MCAMO DlN A A25 CSIO_DATAl_N r-t DSIO_DATAl_N 017 DSIO DATAl N """ DDR CA4 K32 DDR_CA4 DDR_DQ4 R33 DDR D 4

-"""
,

MCAMO DlP A DSIO DATAl DDR CA5 DDR D 5


'
B25 016 p E32 034
CSIO_DATAl_P DSIO_DATAl_P DDR_CAS
r-t DDR_DQS

-- --
"7
MCAMO D2N A D24 CSIO_DATA2_N DSIO_DATA2_N B16 DSIO DATA2 N DDR CA6 F34 DDR_CA6 DDR_DQ6 T32 DDR 006

r-t
"7
MCAMO D2P A E24 CSIO_DATA2_P DSIO_DATA2_P B17 DSIO DATA2 p DDR CA7 033 DDR_CA7 DDR_DQ7 V34 DDR on?

--
MCAMO D3N A C25 CSIO_DATA3_N 0 DSIO_DATA3_N A18 DSIO DATA3 N DDR CAB E34 DDR_CAB DDR_DQB W33 DDR ona
MCAMO D3P A C26 CSIO_DATA3_P DSIO_DATA3_P Al7 DSIO DATA3 p
""" DDR CA9 032 DDR_CA9

DDR_DQ9 AA34 DDR D"9

--
,

DDR o, 10
'
AA33
CSil CLK N A21 U") EMMC > DDR CKEO G33 0
DDR_DQlO
Y34 DDR 0011
CSil_CLK_N ,' DDR_CKEO DDR_DQll

--
CSil CLK P EMMC CLK , DDR CKEl DDR on12
,
A22 AJ31 F33 AC34
CSil_CLK_P EMMC_CLK DDR_CKEl DDR_DQ12
CSil DATAO N EMMC CMD , DDR on13
C19 CSil_DATAO_N Q) EMMC_CMD AH32
, qi DDR_DQ13 AB33

--
CSil DATAO p 019 CSil_DATAO_P 0 EMMC_CALIO AJ32 R 03 "
I I' """ DDR cso N G32 DDR_CSON DDR_DQ14 AA32 DDR D 14

--
SCAMO DlN A B20 AH33 DDR CSl N H31 DDR D 15
CSil_DATAl_N ro EMMC_OLL_TEST DDR_CSlN DDR_DQ15 AD34

--
"7
SCAMO DlP A A20 CSil_DATAl_P EMMC_STRB AJ30 EMMC STRB

, Q) DDR_DQ16 M34 DDR 0016

--
SCAMO D2N A EMMC DATAO DDR DOMQ 0 DDR on17
,
020 CSI1_DATA2_N EMMC_DATAO AH28 031 DDR_DMO DDR_DQ17 K33

--
1-1
"7
SCAMO D2P A D21 CSI1_DATA2_P EMMC_DATAl AJ28 EMMC DATAl """ DDR DOMl W32 DDR_DMl ro DDR_DQlB L34 DDR on1a

--
SCAMO D3N A B21 AH29 EMMC DATA2 DDR D M2 P34 P33 DDR D 19
CSil_DATA3_N Q) EMMC_DATA2 DDR_DM2

DDR_DQ19

--
"7
SCAMO D3P A B22 AG28 EMMC DATA3 DDR D M3 AB32 M33 DDR D 20
CSil_DATA3_P
.µ EMMC_DATA3 DDR_DM3
1-1
DDR_DQ20

--
"7
EMMC_DATA4 AG29 EMMC DATA4 -- DDR_DQ21 L32 DDR 0021

--
OSB � AH30 EMMC DATA5 DDR onso N T29 M32 DDR on22
EMMC_DATAS DDR_DQSOC Q) DDR_DQ22
·ri
"7
soc USB DN A13 USB_DM EMMC_DATA6 AG30 EMMC DATA6 """ DDR onso p T30 DDR_DQSOT .µ DDR_DQ23 P32 DDR on23

--
soc USB DP Al2 USB_DP EMMC_DATA7 AH31 EMMC DATA? DDR D Sl N W29 DDR_DQSlC DDR_DQ24 AE33 DDR D 24

"7
C13 AH8 DDR D Sl p W30 AD33 DDR D 25
OSB_ID {/) EMMC_RST_N/GPI0_056 DDR_DQSlT
·ri DDR_DQ25

--
"7
B13 DDR oos2 N P30 AD32 DDR 0026
B12
USB_VBUS
USB_REXT 0:: "7
DDR oos2 p P29
DDR_DQS2C
DDR_DQS2T
DDR_DQ26
DDR_DQ27 AF34 DDR 0027

--
"7
""" DDR
DDR
ons3
D"S3
N
p
AA30
AA29
DDR_DQS3C p:: DDR_DQ28 AD31
AC30
DDR on2a
DDR D 29
,

'>
"7 DDR_DQS3T
0 DDR_DQ29
DDR_DQ30 AE31 DDR D 30
<
/
VDD12 DDR R601, , ,
2 "V
G30 DDR_ZQ 0 DDR_DQ31 AF33 DDR Do31

DDR_CKC K30 DDR CLK N ,

DDR CLK p ,
,

,
DDR_CKT K29
-
All Pull-Up Resister put Close to Device

soc GPIO
VOUT2 1V8 I2CO SCL

7.

R:Zl� VV' �
VOUT2 1V8 R716 I2CO SDA
� VV' �
VOUT2 1V8 R7l:Z VV' I2C4 SCL
� �
VOUT2 1V8 R:Z18 I2C4 SDA
� VV' �
VOUT4 1V8 R719 TP I2C2 SCL
� VV' �
VOUT4 1V8 R:Z20 VV' TP I2C2 SDA
� ��
VOUT2 1V8 R724 I2C3 SCL
� VV' �
VOUT2 1V8 (!.72,5 VV' I2C3 SDA
U300 � ��

ISP AO ISP PERI


AJ24 GPI0_21S/ISP_GPI003_PRTRB ISP_GPIOOO_FTRSTN/GPI0_017 F30 GPIO 017 CAMl RST

NFC without ESE


"AJ2S
SPI2_CLK I2Sl_DI
F2S
/
GPIO 018 CAMO RST

GPI0_216/ISP_GPI004_FTPWM ISP_GPI00l_BKRSTN/GPI0_018
"AG24
SPI2_DI I2Sl_DO
B28
/ � U300
GPI0_217/ISP_GPIOOS_BKPWM ISP_GPI002_MNTRB/GPI0_019
" SPI2_DO I2Sl_XCLK
D4 GPIO 020 LCD RST N I2CO SCL AG2S
I2C AO SPI
E28 FP SPIO DI

I
/
GPI0_218/ISP_GPI009_ENC ISP_GPI006_FSYNC/GPI0_020 I2CO_SCL/GPI0_183 SPIO_DI/GPI0_048
"' % I2CO SDA AF2S D29 FP SPIO DO
AH24
SPI2_CS_N I2Sl_XFS I2CO_SDA/GPIO_l84 SPIO_DO/GPI0_049
� ......
<::
GPIO 203 SIM SD DET AFlS GPI0_219/ISP_GPI007_ENA ISP_GPI010_SBPWM/GPI0_021 A6 GPIO 021 LCD TEO TP I2C2 SCL AH23 I2C2_SCL/GPIO_l87 SPIO_CLK/GPIO_OSO D30 FP SPIO CLK
<H
>-
GPIO 220 NFC INT N
"AD2S
UART8_CTS_N/I2C6_SDA LCD_TEO
B27
/
L701 ( 0 ( 1

ISP CCLKO
�TP I2C2
� SDA AF23 I2C2_SDA/GPI0_188 SPIO_CSO_N/GPIO_OSl F26
ES
FP SPIO CSO N
GPIO 052 FP RST
GPI0_220/ISP_GPI008_ENB ISP_CLKO/GPI0_022 SPIO_CSl_N/GPIO_OS2
-
"AH26
UART8_RTS_N/I2C6_SCL ISP_CLK1/GPI0_023 B33
F23
L702 (
L703 (
�l 1 ISP CCLKl
ISP CCLK2
-,
,

I2C3 SCL C29


I2C PERI UARTS_RXD
B8 NFC without ESE
-
/
GPI0_221/ISP_GPI011 ISP_CLK2/GPI0_024 I2C3_SCL/GPI0_009 SPIO_CS2_N/GPIO_OS3

- GPIO 222 TYPEC INT


"AH2S
UARTS_RXD ISP_SCLO/GPI0_02S A28
A27
ISP SCLO
0 ( )
ISP SDAO
pI"- b ,

-- I2C3 SDA
" C34
UARTO_RTS_N UARTS_TXD
A7
/
GPI0_222/ISP_GPI012 ISP_SDA0/GPI0_026 I2C3_SDA/GPI0_010 SPIO_CS3_N/GPIO_OS4
" "
� � I"-

F29 ISP SCLl


u u u
UART8_TXD ISP_SCL1/GPI0_027 UARTO_CTS_N UARTS_CTS_N

F28 ISP SDAl I2C4 SCL AD9 A9 GPIO 055 TP RST N
/

III
ISP_SDA1/GPI0_028 % I2C4_SCL/GPI0_037 GPIO_OSS/UARTS_RTS_N

IO AO ISP_SCL2/GPI0_029 E2S ISP SCL2 I2C4 SDA AF8 I2C4_SDA/GPI0_038
:-;� �
..GPIO 176 PMUl EN AD20 GPIO_l76 I2C3_SCL D3 I2CS_SCL/GPI0_039 SPil_CLK/GPIO_Oll A31 GPIO 011 CODEC BT FM PCM DI
� <H
AE16 F24 ISP SDA2 H6
/
GPIO_l77 ISP_SDA2/GPI0_030 I2CS_SDA/GPI0_040 I2Sl_DI
'3::
B30 GPIO 012 CODEC BT FM PCM DO
-
-. -
-. - /
I2C3_SDA/ONEWIRE SPil_DI/GPI0_012
� -. r-t
AD23 USIM
/
CLK_OUTO/GPI0_179 I2Sl_DO
aP IO 180 SCHG INT

AHlS SYS SIGNAL USIMO CLK AJ9
r-t A30 GPIO 013 CODEC BT FM PCM CLK
/
CLK_OUT1/GPIO_l80 r-t USIMO_CLK/GPI0_083 SPil_DO/GPI0_013
CLK_SYSTEM AJ16 SYS CLK USIMO RST AHlO USIMO_RST/GPI0_084 I2Sl_XCLK

B31GPIO 014 CODEC BT FM PCM SYNC
- LCD BL CABC USIMO DATA
AH21
r-t � C706 AH9
/
BLPWM_CABC/GPIO_l81 USIMO_DATA/GPIO_OSS SPil_CS_N/GPI0_014
� 'M
LCD BL PWM AF20 AG18 CLK32 SYS USIMl CLK AGlO
-
BLPWM_BL/GPIO_l82 CLK_SLEEP l I11
l. USIMl_CLK/GPI0_086 0 I2Sl_XFS

-
TP715 ,,., "

� USIMl RST AFll FS GPIO 015 LCD IDO
/
PWM_OUTO USIM1_RST/GPI0_087 SPil_CSl_N/GPIO_OlS
'M -
TP71�C AH18 SYSCLK EN USIMl DATA AFlO C702 I
SYSCLK_EN/GPIO_l78 USIMl_DATA/GPI0_088
-
0 - � I :11, ......
<::
GPIO 185 HALL INT AH27 GPIO_l8S SDIOO
<H
TP711 II
� (X)
AF24 GPIO_l86/CODEC_SSI PMU IF UART SDIOO_CLK/GPI0_061 AJ13 R7 09 GPIO 061 WL SDI 0 CLK
TP712

i
S2P DI AH19 S2P_DI/GPIO_l89
r-- PMUO_SSI/GPI0_002 B2 l PMUO SSI r.pIOO•t:: NFC' WAKE DLOAD.0 UARTO_CTS_N/GPI0_036 a, SDIOO_CMD/GPI0_062 GPIO 062 WL SD.,.. 1> CMD

S2P DO
"AG19
SLIMBUS_CLK
a, PMU1_SSI/GPI0_003 C2
AG6
- PMUl SSI
PMU HKADC SSI
� •:.GPIO 035 WIFI EN
" �PIO 033 LCD HWEN
AJ7
D11
UARTO_RTS_N/GPI0_03S 0 SDIOO_DATA0/GPI0_063 AH14
AF14

AE14
GPIO
GPIO
063
064
WL SD 0
WL SD 0
DATAO
DATAl
S2P_DO/GPI0_190 PMU_HKADC_SSI/GPI0_080 UARTO_RXD/GPI0_033 SDIOO_DATA1/GPI0_064
-
'
"AF19
SLIMBUS_DATA 0 PMU_AUXDACO_SSI/GPI0_081 AH6 -PMU AUXDACO SSI ,PIO 034 USB MOS CTRL D12
•PIO 057 NFC VEN D28
UARTO_TXD/GPI0_034
cu
""' SDIOO_DATA2/GPI0_06S AJ12
AH13
GPIO 065
GPIO 066
WL SD 0
WL SD 0
DATA2
S2P_CLK/GPIO_l92 PMU_AUXDACl_SSI T �TP713 UARTl_CTS_N/GPIO_OS7 SDIOO_DATA3/GPI0_066
" cu
lll
S2P CLK DATA3
AHS ,PIO 058 LCM ENP D27 C711
/
UART2_RXD PMU_AUXDAC1_SSI/GPI0_082 7lO"TP714 UART1_RTS_N/GPIO_OS8 M II
:.,
'

S2P SYNC AE19 S2P_SYNC/GPI0_193


'M PMU_PER_EN AE17 %. ,;PIO 059 SPK SMARTPA RS'E9 UART1_RXD/GPIO_OS9 IO PERI II
-,-
" AH17 PMU RSTOUT N
PMU PER EN
D8
a, C28 R7<n GPIO 016 GNSS PWM _SYNC
UART2_TXD M SYS_RSTIN_N UARTl_TXD/GPI0_060 .µ GPS_REF/GPI0_016/GPS_PWM
AG21 AF17 SOC RST PMU N D10 AG8 GPIO 031 FP ID. -

GPIO_l94/I2S2_DI a, PMU_RSTOUT_N UART3_CTS_N/GPI0_071 GPI0_031
" s::
3:::

UART2_CTS_N GPIO_l91/PMUO_IRQ_N AJ18 PMUO INT % GPIO 072 MCAMl PWDN B10 UART3_RTS_N/GPI0_072 GPI0_032 AF7
AJ21 .µ AF18 PWR HOLn. B9

GPI0_19S/I2S2_D0 GPI0_204/PWR_HOLD ClO UART3_RXD/GPI0_073 GPI0_047
" s:: ·rt
'3::

UART2_RTS_N I T � Ell UART3_TXD/GPI0_074 PWM_OUT1/GPI0_079 AES


AF21 GPIO_l96/I2S2_XCLK BOOT CTRL C710 PIO 075 UART4 CTS N C31 UART4_CTS_N/GPI0_07S GPIO_l40/USB_DRV_VBUS B7

·rt , 11: II
DMIC_CLK BOOT_MODE B6 BOOT MODE >- PIO 076 UART4 RTS N B32 UART4_RTS_N/GPI0_076
N
AH20 GPIO_l97/I2S2_XFS DFT_EN AH16 � GPIO 077 UART4 RXD C32 UART4_RXD/GPI0_077
ti) SD CARD
GPIO 198 TP INT AJ22 DS l GPIO 078 UART4 TXD C33 AH12 SD CLK
t
r-t
GPI0_198 TEST_MODE/GPIO_OOl UART4_TXD/GPI0_078 C SD_CLK/GPI0_041
GPIO 199 FP INT AF22 : GPIO 067 LCM ENN AF12 R702 • SD CMD
R722
GPI0_199 UART6_CTS_N/GPI0_067 SD_CMD/GPI0_042
A

"
ti)
AD18 JTAG
� E4
GPI0_200 UARTO_RXD JTAG_TMS_SD
:::S A

GPIO 201 AG22


C D6
,-

..GPIO 068 TYPEC EN AlO AJlO SD DATAO


- R7Q3
/
GPI0_201 JTAG_MODE Fl; TP701 UART6_RTS_N/GPI0_068 SD_DATAO/GPI0_043
>-
>- GPIO
GPIO
202
203
KEY
KEY DOWN
UP

SD DET
AH22
AGlS
GPI0_202 JTAG_SD_MODE E6
C4 } TP702
- "AJ6
UARTO_TXD JTAG_TCK_SD
AHll
/
R70 4 SD DATAl

GPI0_203/CLKIN_AUX JTAG_SELO TP703 TP717 'to, UART6_RXD/GPI0_069 SD_DATA1/GPI0_044


� GPIO 203
SIM
SIM SD DET
"AJlS GPI0_20S/I2S3_DO JTAG_SELl C3
A3
TP704
UART6 RXD
" AH7
UARTO_RXD_M JTAG_TDI_SD/UART6_RXD
AG12
/
R705
A

SD DATA2

UART7_RXD/DMIC_DATA JTAG_TCK_SWCLK/GPI0_004 TP706 UART6_TXD/GPI0_070 SD_DATA2/GPI0_04S


:::S �
--
GPIO 206 LCD IDl B4
Tlm26
AG26
� -IlART6
GPI0_206/I2S3_DI JTAG_TMS_SWDIO/GPIO_OOS UARTO_TXD_M JTAG_TDO_SD/UART6_TXD
.,

TP707
A A

"
,
..,
>-
UART7_TXD/DMIC_CLK JTAG_TRST_N/GPI0_006 B3 SD_DATA3/GPI0_046 AG13 R706 SD DATA3
TP708

- GPIO 207 CAM SW SEL AF26 GPI0_207/I2S3_XCLK JTAG_TDI/GPI0_007 BS JTAG_TRST_N_SD


TP709
A

AF16 ADlS
/
GPIO 209 MCAMl RST AE22
GPI0_208/I2S3_XFS
GPI0_209/GPIO_ASP1
JTAG_TDO/GPI0_008 A4
..
� TP710 VDD_ODIO_BIAS
pI"-
,--1

GPIO 210 BT WAKEUP AP AJ27 GPI0_210/GPIO_ASP2 LTE CTRL


GPIO 211 PMUl IRON AD21 AE28 GPIO 089 LTE INACTIVE

I
GPI0_211/GPIO_ASPO LTE_INACTIVE/GPI0_089
-�
>- GPIO 212 AG INT AE2S GPI0_212/GPIO_ASP3 FRAME_SYNC/UARTl_CTS_N_M
� Close to AD15 PIN
GPIO 213 WL WAKEUP AP AE2 6 AF28 GPIO 090 LTE RX ACTIVE
>- /
GPI0_213/GPIO_ASP4 LTE_RX_ACTIVE/GPI0_090
>- GPIO 214 RFO ANTC DETC>.ol 7 GPI0_214 UARTl_RXD_M

AE29 GPIO 091 LTE TX ACTIVE
>- /
LTE_TX_ACTIVE/GPI0_091
UARTl_TXD_M

AD29 GPIO 092 ISM PRIORITY
/
ISM_PRIORITY/GPI0_092
UARTl_RTS_N_M

<
/

00
0
I"-

VOUT2 1V8
>-
8. SOC RF

U300

---
RF IO ANT SEL
�.;,. CHO RXA I N L801 ( I ( ' W4 CHO_RXA_I_N ANTPA_SELOO/GPIO_l12 AG4 GPIO 112 B40 LNA
'
-

---
CHO RXA I p L802 V4 AH2 GPIO 113 B3 LNA
��
,. -
CHO_RXA_I_P ANTPA_SEL01/GPIO_l13

CHO RXA o N L803, W3 CHO_RXA_Q_N ANTPA_SEL02/GPIO_ll4 AG3 GPIO 114 B41 LNA -

CHO RXA n p L804 , l W2 AG2 GPIO 115 B39 LNA


� CHO_RXA_Q_P ANTPA_SEL03/GPIO_llS
CHO RXB I N L805, l Wl P4

--
-s- 9
CHO
CHO
RXB I p L806,
N L807 I
' Yl
CHO_RXB_I_N
CHO_RXB_I_P
ANTPA_SEL04/GPIO_l16
ANTPA_SELOS/GPIO_l17 PS

-
◄-:.
RXB n l Y2 CHO_RXB_Q_N ANTPA_SEL06/GPIO_l18 P3
CHO RXB o p L808 I l Y3 Nl L818 (OO O ) GPIO 119 SEL07 DP_DT
� CHO_RXB_Q_P ANTPA_SEL07/GPIO_ll9
CHO RXC I N L809 I ACl N2
� CHO_RXC_I_N ANTPA_SEL08/GPIO_l20
CHO RXC I p L810 ( ABl N3
'
� ) CHO_RXC_I_P ANTPA_SEL09/GPIO_l21
CHO RXC o N L811 , ABS N4
�.;, CHO RXC n p L812 ,
CHO_RXC_Q_N ANTPA_SEL10/GPIO_l22
l AB4 Ml
<t; CHO_RXC_Q_P ANTPA_SEL11/GPIO_l23

CHO RXD I N L813 I l AB2 CHO_RXD_I_N ANTPA_SEL12/GPIO_l24 M2
CHO RXD I p L814 I l AA2 M3
� CHO_RXD_I_P ANTPA_SEL13/GPIO_l2S
CHO RXD o N L815 I l
---
AA4 Kl

CHO RXD o p L816 1 I Y4
CHO_RXD_Q_N r-t ANTPA_SEL14/GPIO_l26
MS GPIO 127 SEL15 -
�.;, CHO
CHO_RXD_Q_P
r-t ANTPA_SEL1S/GPIO_l27
GPIO 128 SEL16
��
TX I N U2 CHO_TX_I_N ANTPA_SEL16/GPIO_l28 L2
CHO TX I p U3 L4
��
�� CHO_TX_I_P ANTPA_SEL17/GPIO_l29

CHO TX o N Tl K2
CHO_TX_Q_N � ANTPA_SEL18/GPIO_l30
CHO TX o p Ul LS
� CHO_TX_Q_P 0 ANTPA_SEL19/GPIO_l31
ANTPA_SEL20/GPIO_l32 K3
AF3 CHl_RXA_I_N ANTPA_SEL21/GPIO_l33 Jl
AF2 CHl_RXA_I_P \0 ANTPA_SEL22/GPIO_l34 J2
AFl CHl_RXA_Q_N ANTPA_SEL23/GPIO_l3S H2
AEl CHl_RXA_Q_P ANTPA_SEL24/GPIO_l36 Gl
AD3 (I) G2
CHl_TX_I_N
0 ANTPA_SEL2S/GPIO_l37

cu
GPIO 138 SEL26 SP2T 1
-
AD2 CHl_TX_I_P ANTPA_SEL26/GPIO_l38 J4
AC3 CHl_TX_Q_N ANTPA_SEL27/GPIO_l39 Fl GPIO 139 SEL27 SP2T �
AC4 CHl_TX_Q_P FE2_MIPI_DATA

F2
M ANTPA_SEL28/GPIO_l03
7
I:
RF CTRL FE2_MIPI_CLK
ABB CLK 19M2'.:803 Rl (I) JS
��

CHO_TCXO_IN ANTPA_SEL29/GPIO_l04
AElO /
s::
GPI0_093/CDMA_GPS_SYNC FEl_MIPI_DATA
� CHO_AFC_PDM/CHl_AFC_PDM ANTPA_SEL30/GPIO_lOS H4
� COM2RF_CHO_AFC_PDM /
- RF0 APT PDM RSln-:t , A AJ4 CHO_APT_PDM/GPI0_094 ·rl FEl_MIPI_CLK
'
RF MIPI

i
CHl_APT_PDM
�� RF0 RFIC RESET AJ3 CHO_RF_RESETN/GPI0_09S FEO_MIPI_CLK/GPIO_l06 AF6 MIPI0 SCLK
� RF0 RFIC SSI AH4 CHO_RF_SSI/GPI0_096 FEO_MIPI_DATA/GPIO_l07 AFS MIPI0 SDA
� GPIO 108 APT ENO
� RF0 RFIC TCVR ON AH3 CHO_RF_TCVR_ON/GPI0_097 FEl_MIPI_CLK/GPIO_lOS G3
-'---
FE1_MIPI_DATA/GPIO_l09 G4

[-
E2 CHl_APT_PDM/GPI0_099
HS CHl_RF_RESETN/GPIO_lOO RF OTHER
Dl CHl_RF_SSI/GPIO_lOl FLASH_MASK/GPIO_llO B11 GPIO 110 FLASH MASK

-
-
D2 CH1_RF_TCVR_ON/GPIO_l02 GPS_BLANKING/GPIO_lll B29
E26 GPIO 098 CDMA GPS SYNC
GPI0_098/CDMA_GPS_SYNC �
ET
T4 ET_TX_N ABB

J11,
TS ET_TX_P ABB_TEST R3
ABB_IBIAS R2 R80
ABB_VREF T3

--ICC
u
--
N
0
00
u
9. SOC GND & NC

U300 U300

AD28 VSS_EMMC_DLL VSS_27 D2S J28 vss_ss VSS_138 PlO U300

-I
R20 AVSS_,PLL_l VSS__28 D26 J29 VSS _86 VSS_l39 Pl2
GND T2S AVSS_PLL_2 vss_29 D31 J30 vss_e7 VSS_140 Pl4 Wl3 vss_191 vss_242 AC33
VSS_30 E7 J31 VSS_88 VS$_141 Pl6 WlS V$S_l92 VSS_243 AD7
R4 AVSS_ABB_l VSS_3l EB K4 VSS_89 VSS_l42 Pl7 Wl7 VSS_l93 VSS_244 ADS
-:-- RS AVSS_ABB_2 VSS_32 El2 K8 VSS_90 VSS_143 Pl8 Wl9 VSS_l94 VSS_245 ADlO
T2 AVSS_ABB_3 VSS_33 ElS KlO VSS_9l VSS_l44 P22 W21 VSS_l9S VSS_246 ADU
T6 AVSS_ABB_4 vss_34 El7 KU vss_92 VSS_14S P24 W23 vss_196 vss_247 AD12
T8 AVSS_ABB_S VSS_3S El8 Kl2 VSS_93 VS$_146 P28 W25 V$S_l97 VSS_248 AD13
U4 AVSS_ABB_6 VSS_36 El9 Kl3 VSS_94 VSS_l47 P31 W27 VSS_l98 VSS_249 AD14
us AVSS_ABB_7 VSS_37 E21 Kl4 VSS_95 VSS_148 R6 W28 VSS_l99 VSS_250 AD16
V2 AVSS_ABB_8 VSS_38 E22 Kl8 VSS_96 VSS_l49 R9 W31 vss--200 VSS_2Sl AD22
V3 AVSS_ABB_9 vss_39 E23 K20 vss_97 vss_1so Rl4 YlO vss_201 vss_2s2 AD24
vs AVSS_ABB_lO VSS_40 E29 K22 VSS_98 VS$_151 Rl7 Yl2 V$S_202 VSS_2S3 AD26
VB AVSS_ABB_U VSS_4l E31 K24 VSS_99 r-t VSS_lS2 Rl9 Yl4 VSS__203 VSS_2S4 AD30
ws
we
AVSS_ABB_l2 VSS_42 E33
F3
K31
L9
VSS_lOO r-t VSS_153 R23
R26
Yl6
Yl8
VSS_204 r-t VSS_255 AE6
AEll
AVSS_ABB_l3 VSS_43 VSS_lOl VS$_154 VSS_20S r-t VSS_2S6
YS
AA3
AVSS_ABB_14 r-t VSS_44 F4
F6
Ll4
LlS
vss_102
1M
vss_1ss R28
R30
Y20
Y22
vss_206 vss_2s1 AE13
AE20
AVSS_ABB_l5 r-t VSS_4S VSS_l03 VS$_156 V$S_207
1M
VSS_2S8
AAS AVSS_ABB_l6 VSS_46 F9 Ll6 VSS_l04 0 VSS_lS7 R32 Y24 VSS__208 VSS_2S9 AE23
AA8 AVSS_ABB_l7 VSS_47 no Ll9 VSS_lOS VSS_158 TlO Y26 VSS_209 0 VSS_260 AE27
AB3 AVSS_ABB_l8 1M VSS_48 Fl3 L21 VSS_l06
0 VS$_159 Tl2 Y31 VSS_210 VSS_261 AE30
ACS AVSS_ABB_19 0 vss_49 Fl4 L23 VSS_107
r-t
vss_160 Tl3 Y32 vss_2u
r-t vss_262 AE32

°'
AC6 AVSS_ABB_20 VSS_50 FlS L25 VSS_l08 VS$_161 TlS Y33 V$S_212 VSS_263 AF9
AD4 AVSS_ABB_2l vss_Sl Fl6 L27 VSS_l09 VSS_l62 Tl8 AA9 VSS__213 r-t VSS_264 AF13
ADS AVSS_ABB_22 VSS_52 Fl9 L28 VSS_llO a, VSS_163 T22 AAll VSS_214 VSS_265 AF30
AD6 AVSS_ABB_23 VSS_53 F20 L29 VSS_Ul
u VS$_164 T26 AA13 VSS_21S a,
u
VSS_266 AF31
AE2
AE3
AVSS_ABB_24 a,
u
vss_s4 F22
F27
L31
L33
vss_112
ns vss_16S T28
T31
AA15
AA17
vss_216
V$S_217
ns
vss_267 AF32
AGS

ns
AVSS_ABB_25 VSS_5S VSS_U3 VS$_166 VSS_268
AE4 AVSS_ABB_26 vss_S6 F31 M4 VSS_U4 1M VSS_l67 09 AA21 VSS__218 VSS_269 AG9
AES AVSS_ABB_27 VSS_57 F32 M8 VSS_llS � VSS_168 OU AA23 VSS_219 1M VSS_270 AG16
GND 1M
a,
AF4 G6 MlO VSS_U6 013 AA25 AG27
AVSS_ABB_28 VSS_58 VS$_169 VSS_220 � VSS_271

-- a,
Al Gl2 MU vss_111 015 AA27 AG31
vss_1 � vss_s9 vss_no vss_221 vss_212
A2
a, GlS Ml2 4l 017 AA28 AG32

....
VSS_2 VSS_60 VSS_118 VSS_171 VSS_222 VSS_273
Al4 Gl6 Ml4
C: 019 AA31 4l AG33

....
VSS_3 VSS_6l VSS_U9 VSS_l72 VSS__223 VSS_274
-:-- A33 4l Gl8 Ml8 021 ABlO
C: AHl

....
C:
VSS_4 VSS_62 VSS_120 VSS_173 VSS_224 VSS_275
A34 VSS_5 VSS_63 Gl9 M20 VSS_l21 VS$_174 023 AB12 VSS_225 VSS_276 AH34
Bl vss_6 vss_64 G28 M22 vss_122 vss_ns 025 AB14 vss_226 vss_277 AJl
B14 VSS_7 VSS_65 G29 M24 VSS_123 N VSS_176 032 AB16 VSS_227 VSS_278 AJ2
Bl8 vss_e VSS_66 HlO NlO VSS_l24 VSS_l77 VlO AB19 VSS__228 N VSS_279 AJ19
B19 VSS_9 r-t VSS_67 HU Nl2 VSS_125 VSS_178 Vl2 AB2S VSS_229 VSS_280 AJ33
B23 VSS_lO VSS_68 Hl3 NlS VSS_l26 VS$_179 Vl4 AB29 VSS_230 VSS_281 AJ34
B26 vss_u vss_69 Hl4 Nl7 VSS_127 � vss_1so Vl6 AB30 vss_231
B34 VSS_l2 VSS_70 Hl6 Nl9 VSS_128 VSS_181 Vl8 AB31 VSS_232

C6 � H21 N21 V20 AC7
VSS_l3 VSS_71 VSS_l29 VSS_l82 VSS__233
C7 vss_14 vss_72 H24 N23 vss_l3o VSS_183 V22 AC16 vss_234
C9 VSS_l5 VSS_73 H28 N25 VSS_l31 VS$_184 V24 AC17 VSS_235
Cl2 vss_16 vss_74 H29 N27 vss_l32 vss_1ss V26 AC21 vss_236
Cl4 VSS_l7 VSS_75 H30 N29 VSS_133 VSS_186 V29 AC23 VSS_237
Cl8 VSS_l8 VSS_76 H32 N30 VSS_l34 VSS_l87 V30 AC28 VSS__238
C20 vss_19 vss_77 H33 N32 vss_l3s vss_1ss V33 AC29 vss_239
C21 VSS_20 VSS_78 J3 N33 VSS_l36 VS$_189 W9 AC31 VSS_240
C22 vss_21 vss_79 J9 P2 vss_l37 VSS_190 WU AC32 vss_241
C27 VSS_22 vss_eo Jl3
D13 VSS_23 VSS_8l JlS
D14 vss_24 vss_s2 Jl7
D15 VSS_25 VSS_83 J23
D23 vss_26 vss_s4 J2S

-.Q!JD -
GND_ -�GND --
GND
-&ND -
GND_
-:-- -:--

>-
VBAT SYS Fll VSYS OUT_PMUD D12
J6
l
VOUT PMUD Cl010
I I'
I

.111c
1001
I OUT_PMUD_DIG
VPP
OUT_PMUA
J7
Ell
El7 ClOll
,
I'
VOUT PMUA Cl012
I I'
I
I
VCOIN
FlO VOUT25 2V 95
2
400mA Cl013 I·
G1 2 Cl01 4
OUT25
300mA VOUT31 3VO I· out.... ut defaulJtcurr�volta e function
OFF 25 0 1.29 SOC AO
OUT31
LDOl
LD 02 ON 800 1.8 nmu din/RFIC/SO Cl .B I0/6402 .8
07 VIN_LDO_H_l E7 5 0mA VOUT9 1V8/2V9 5 LD 03 OFF 200 1.85 RFICO & l AVD D2
08 VIN_LDO_H_2 C9 15 0mA 3 V2 L LD 04 OFF 15 0 1.8 LCDl .8 IO/T Pl.8 IO
OUT9
VOUTlO
VBAT SYS C7 VIN_LDO_H_3 ES 5 0mA VOUTl l 1V8/2V95 L D05 ON 5 00 1.8 CSI/D SI/AVD D/P LL HV/USB 1.
OUTlO
>- OUTll
E6 VOU T12 1 V8/2V95
OUT12 50mA
Cl019 LD 07 ON 0.9 AVDDH ABB
.111c
1002 I
UlOOO OUT13 cs
AlO
300mA
5 0mA
VOUT13 2V8
VOUT1 4 2V85 Cl020

I· LD08 ON
200
300 1.8 SOCnll 6402analon classd
B9 VOU T15 2 V95 LD 09 OFF 1.8/2.9 S D IO
OUT14
600mA 50
AS VOUT16 1V8/2V 901022 ON 15 0 3.2 U SB 3. 0
OUT15
800mA I· LDOlO
01 0 5 0mA VOUT17 3Pl LDOll OFF 50 1.8 SIMO
OUT16
Cl023 I·
A7 VOU T19 2V8 LD 012 OFF 1.8 SIMl
OUT17
OUT19 300mA Cl024 I· 50
B 10 5 0mA Cl025 LD013 OFF 2.85
OUT23 VOUT23 2Vl I· 300 S camera analo
All 5 0mA VOUT24 2V85 Cl026 I· L D 01 4 OT P 50 2 .85 RF F EM
A1 2 5 0mA VCC27 RFICO 2\1!!11027 LD015 ON 2 .95 EMMC VD D
OUT24
I· 600
B7 15 0mA 3 Vl LD 016 OFF 2 .95 S D card
OUT27
OUT34 VOUT34 800
L D017 OFF 50 2 .8 LCD TP

1------------ -=L= S ---' VIN_


LDO_M_l RS 800mA VOUT2 1V8 Cl029 I· L D019 OFF 300 2.8 M camera anlo
VB K v �
200mA VCC3 RFICO 1V801030 LD 020 OFF 1.2
OUT2
>,>------"-="'-'"'='-''--"---------1e>-------,----------4ll--------''-''---j VIN_LDO_M_2
UC 3 2 P3 I· 400 M Camera Core
N4 15 0mA
L
LD021 15 0 1.8
6
OFF
OUT3

I
1 0 VOUT4 1V8 Cl033 I· svs efuse
- R4 5 00mA VOUT5 1V8 LD02 2 OFF 25 0 1.29 RFICl AVD Dl 1.29v
OUT4
111
Cl003
f- ---�
Cl032 I·
KS LD 023 ON 50 2 .1 HKADC
OUTS
r-1 300mA VOUT8 1V8 Cl034 I·
N6 15 0mA Cl035 LD 024 50 2.85 X Sensor AVD D
2
ON
OUTS
VOUT21 1V8 I·
K6 20mA VOUT26 1V7 L D025 OFF 4 00 2.85
OUT21
Camera VCM
Q) P6 Cl037 L D026 ON 1.7 19.2 AVD D
u
OUT26
OUT28 50mA VOUT28 1V8 I· 50
15 0mA LD027 ON 50 2 .4 RF 6362
I OUT33
1 I·
f------------- !IS
P4 VOUT33 1V8 Cl038
i11c100 4 - LD02 8 OFF 50 1.8 RF MIPI I/0
f- ---
2 LD029 ON 1.2 Hi6402 1.2V
� ---- FS
200
VD R
:>->--------'-"='-=--''-'"=-'"------------------1.-
D12 DD
--"--"'-j VIN_LDO_Ll 1-1 F4 5 mA VOUTO ova Cl039 I I I' LD030
05 LD031 OFF 2.8
OUTO
Q) 200mA 300 Vibrator
.' LD032 OFF 1.2
OUT?
400 S Camera Core
L D033 OFF 15 0 1.8 M/S Camera I/0
1------------ -=C4�---' VIN_LDO_L2_ 1 C: OUTl e--" 4 __�
A� 2�5 =------------------------'V�C�C�l,._,RF
"'0�mA �V
"'--'I�C�Oe_l �2 "-=-
=(9 4=
1=0� 2---1 �--- ----1 LD 03 4 ON 15 0 3.2 codec 3.2V
VBUCK2 1V35 •f----------,----------41------'c�5'---j VIN_LDO_L2_2 H OUT20 rA=1__�4�0�0=mA =------------------------'V�O�U�T=2�0�1�V�l�__C=l0 4=
== ---1 r-------1
3
25 0mA
1 OUT22 A3
1005 I -
1ll c f-
r-1 OUT29 A2
uf._l-______
200mA

-2- �
- o_u_T_3_2--l=5=_ _
A =0
4 == =
0mA
__________ _ _ _ _ _f----V=O=U=T=3=2__= 1=Vl
_ _ C=l=0=4=1,__ f----- - ---1
- 1 I I·

Hl7 H B4
Gl5 NS
DRl AGND_LDOL
DR2 �

--�L�E�D,_.RE B8
AGND_LDOM
�D��--------------- �H�1�6'--j DR3
--�L�E�D:"":G�RE EN,,________________�G�l6
AGND_LDOH
C::C�
�'---j DR4 SG1001
--===c-===='---�-----------------'==Sc.-,
L E D B LU E H l
DRS AGND_COUL B 1 2 '"
tl')A A A

I I f- -F~� P GND_DR G1 o 2-
B 16 AGN D os� g
14
- - ---------
AGND_OSC ·--'--
1 SG1003 -
f--r-C=l=0
==4=
5---i r-------�A
�1=3c.., LDO_BUF B14 -
"' -,, ••
11
-=
V O=
U=T=
2=6c..=
l�V�7'---------< f---------'-------'--"---,-!-------�A=1=67
AGND_BUF
>-">- AVDD_OSC
-

HlO
" 1 AGND_AD_DA
, I f----- - =C=l - 0== =___, f- - ---, -- - -�C=l?�---' VDD_IO_XO
AGND CAN NOT CONN EC PIN PGND- DR£""F14£©
48
>'»-----'V'-'O"'U'--'T'-'2'-'1'-'V'-'8'------'...L------, f------�P�S"--j VD D_IO A GND
F8 TO
SG100 4

<ii: AGN D_OSC I


�•·•
o
....
I f-- ��
' 11 - •
C~ �00
--- l � 8
� '-j
Cl007

I F1 3'--7 VREF
>-------��
f-------�B~S'--7 VREF_LDOl
B6 VREF_LD022
�-------------------�
AGNO_REF H1 2
-,,
tr.IA A A
-
OUT l O 3V2 Ll010 VOUTlO 3V

RF
....
(.)
11. PMU BUCK&CODEC
1
HSL Pl6 HS_L VDD BOOST 5V
HSR Nl6 �
HS_R
SGND MCLK_49M R7 S2P CLK
UlOOO --

I MlS RS S2P SYNC
2A current
;>,

--
HS_FB SOATA_FLAG �
Cll55 Rll44 AOC_SOATA pg S2P DI
HS_DET_OUTL9 PS S2P DO �
MBHC IN Ll2
HSO OAC_SOATA ,<:: 0
Cll59 Rll45 MBHC_IN M
;>, Jl4 M SG1104
PVOO_SPK
Cll52 PGNO_SPK Hl4 "
M
PGND SPK "'
v_.y I� 1,
VOUT34 3Vl
EARP Ml7 EAR_P
"'-2' :1
S EARN
� Ll7 EAR_N AVOO_MIC Nl2
AGND_CP ::- � Rl4 MICBIAS
•• 0 MICBIAS '

,-
,
HS_MICBIAS Pl4 HS MICBIAS
SPKOUT p 1 CODEC Jl7 Nl3
SPKOUT_P "'-2' AGNO_MIC
-SPKOUT N 1 CODEC Kl7 SPKOUT_N

<
MIC2 p Cll42 PlO
a,
0
AVOO_TX Ll6
KlS AGND
VOUT34 3Vl
� --
--
--
--
--
--
/
MIC2 N Cll43 RlO
AUXMIC_P
AUXMIC_N

ro AGNO_TX
Rl3

ID r--
AVOO_RX M M C\J
MICl p Cll44 Rll M Pl3 AGND M M
'
'
/
MICl N ,...1145 Pll
MAINMIC_P
MAINMIC_N
a,
+J
AGNO_RX � M
r
M
r' ''
,-
AGND

' C:
300rnA_Peak
HS MIC p Pl2 HSMIC_P
HS MIC N Nll H PlS VOUTS lV
".:<
/
'

a
HSMIC_N AVOO_CP
Rl6
"'-2' CPOUTP

r-- NlO
MlO
LINEIN_L u CPOUTN RlS
Ml3
M LINEIN_R Cl) FLY_P
u u H FLY_N Ll3
AVREF Lll AVREF :E AGNO_CP Nl4
0.9V
--
-- SG1103
c--i N
--
2 M ID M r-- AGND CP
(X) (X)
M M
N 1/) M M N N M M � ___,U)"-''\()y"'""c.,"-----�
u u
2A .,.currentl
M M M M M M
M M M M M M

['
u u u u u u
-- �
-- AGND SG1102
� --�{/)=I\JWl�c.,----�
AGND AGND CP AGND
� � � 2A .,.currentl

VBAT SYS -
T 1
Jl
J2
INO_l
IN0_2
LXO_l
LX0_2
Hl
H2
Ll l.D1
� ( 0 0 ij \.. - VDDOS_PERI
,
Cll02 II T LX0_3 H3 CllOl I
II � Gl PGNOO_l UlOOO I
,1 G2 PGN00_2 VOO_FB J4
1:

01 IN12_1
...... LXl_l El - Lll02 ( � O O 'I VDD12_DDR
-
'

e
2 02 IN12_2 LX1_2 E2 T
r--
0 II 03 IN12_3

0
Cll08 I
M
M
Cll03 11 1 VOl_FB E4 I
u
·II:

--
-:I:-
M
u M
u Fl PGNOl_l
a,
LX2_1 Cl -Lll.D3
- ( O � ij \..- VBU"K2 1V35 �

IIHl1•
F2 PGN01_2 LX2_2 C2 T - 1

II
0 Cll09
2
Cll04 ro V02_FB 04
II 2

·II:
Bl PGN02_1 �
B2 M
--
--
PGN02_2
-Ll11l4 (
.... -
Kl IN3_1 LX3_1 Ll 0 O O \.. - 'RUCK3 2V �

T -
I'Hll·
1 K2 IN3_2 LX3_2 L2 - 1 BUCKO ON 3000 0.8 DORCore/CSI/DS I Phv emmC
� Cll05 II T H ClllO BUCKl ON 2000 1.1 VDDO/VDDCA/VDD2/0DTLDO>
M
u II Ml K3 BUCK2 2000 1. 35 1.35 buck
·Ill
ON

I
� PGN03_1 N V03_FB 2
-l- M2 PGN03_2 u
Pft
BUCK3 ON 2000 2.0 2.0 buck

G4 OGNO_l H OGN0_4 GS
H4 OGN0_2 :E OGNO_S JS
HS
-
- OGN0_3
-
- - --

ANNE MainBoard ID
VOUT2 -1V8 VOUTS 1V8
7 0 9 �
ALOO TLOO L02/L2, L01/L21 L22J L03/L 23
,<: > VOUTS 1V8
>>--'----'---.::....::_-=-==----=----=----------, >-- - ------<-
- -

Board II 4904 4910 4907 4905 4906 4908
<
ID
.-I
N Board ID Resistor Set
.-I
USB INT P MU Jl 2 PMUO_INT
> - VBUS_SENSE PMUO_IRQ_N �PC--'l.,_?.__ __q

PWRON_N El3 ID UP RES DOWN RES
> PWRON N
PWR_H OLD D16
> PWR_HOLD O'I 0 NC OK
P MU_PER_EN Rl7
>' PERI_EN N
N 0 (X) 1 15 0K 22K
.-I '<I' .-I 0

.-I
N
N N 2 100K 30K
.-I .-I
SOC_ RS T_PMU_N L7
>--- - - - - -�M�l�G� HRESET_N SYS_RST_N >--___ �PMU
� �-R
=S� �T�O� U� = N-
T = .-I � � 3 200K 100K
� USB_TEMP_AD PCB_IDO � 4 15 0K 121K

5 121K 15 0K
:C-'5�1.,_v!ca�---+
>>-------"V�Oc,:U,'..T -- - -
- f--------'K"'
S
'----1 HK REF
01000 HKO K9 PCB_ID O
< �,:-----=-CB�I
P:-,,_ =-'D�l'=----+- -
-----a 6 5 1K 100K

I f-- ----'
KlO PCB IDl
.-I HKl < 7 30K 100K
PCB_ID2 P,:::.
CB"'-'I
=-'D:c,2:,___+-- - +
--- -
JS �"----- =-
-
0
N H K2 <
El6 TD _DCXO USB_TEMP_ADCC1 206 8 20K 15 0K

If------------j
.-I .-I N H K3
u J9 RFO_HKADC P DT < <::C:
HK4 9 15 0K NC
ES CHG_TEMP
<

Il
HK 5 <
Fl7 BATT-ID BATT C1 203
H K6 ,
ID
F6 SUB_BRD_ID
< I Resistor Code

f------------j
HK7 <
'<I' H9 US B_TEMP_ADC

Il I
0 HKS < OK 07090911
N
HK 9 Hll TD_PAO BATT TS C1 202
.-I < O'I
u � E9 BATT_TS I ' 20K 07092448
HKlO < .-I 0 r-
HS TD _AP .-I N 0 22K 07091172
HK ll < N .-I

HK12 Jll .-I �
N
.-I 30K 07091299

0 C1 208 5 1K 07091302
I ,
100K 07091246
::>>-�XI��N!..._:1,_,.9�M2 �� _ _ _ _ _ _ _ ____!Bl� i,_,7c__j XIN_l9M 2 (Y") RFO_CLK_l9M 2 Bl 3 R 12 30 ,
!'-----a >-- - ------'RF�O�C:=-:L _l 9M
XOUT_l9M2 Al7 XOUT_lgM z D14
� 121K 07091176
� RFl_CLK_l9M 2 15 0K 07092138
(LI
>-V
--" �Oc,:U,'..:T,_,__,P�MU=D::c_ _ _ _ _ _ _�Cl"-"c,'.;4'--j XO_l9M 2_SEL 0 WIFIBT_CLK_l9M 2 Al4 NFC_CLK_P 200K 07091406
::>
RS Cl6 SY S_CLK
SYS_CLK_l9M 2 %>
> >-�NF�C�C""L�K�E�N�----1- - - - -R2 WIFIBT_C LK_EN �
ABB_CLK_l9M 2 Bl 5 ABB_CLK_l 9M�
SY SCLK_EN -" ="- --1 >
/
?>--===� =-- -
+-- - - - - N l
_...."--! SYS_CLK_EN J..I
CODEC_CLK_19M 2 D17
(LI
.µ VOUT5 _1V8
Fl 5 Rl R 12 1 2 -C
· .vA�- - - - - - -' = =2"--'S.,_Y=S,-__
=L=K3 __c'
, XIN_32K CLK 32_SYS
r- El 5 H CLK32_BFGN �
DNI R12 17 if have NFC XOUT-32K CLK32 BT Pl
.-I
CLK 32 GPS N2

-
-
Al 5 X0_32K_SEL (Y")
u ANNE LittleBorad ID
Cl) ALOO TLOO L02/L2 : L01/L21 L22 J L03/L23
., PMU_HKADC_SSI - Kl 2
HKADC_SSI
i-='-==-- - - -
SRP Bll
-=S"RP"-- - - -<
-< �
.>/ If)
SRN i-="'-"'-
Cll- - - - -"S�RN
=-- - -
<< .-I
>'
PMUO SSI - P7
PMUO_SSI
i-== -----VBA-'-
VBAT_SENSE D11
= =T_,,T�VD=- --<< N
.-I R1215 200K 200K 200K 15 0K 100K 5 1K

PMU_AUXD ACO SSI - GS CHO_TX_AP C


>
MS
RFDACO_SSI RF_AUXDACO
NS RFDACl_SSI RF_AUXDACl G9 %>

SUB_BRD_ID
El 2 Cl 5 �
BUCK l_VOL_SEL NFC_ON
K4
TEST_MODE SIMO_HPD N7 GPIO 203 SIM SD DET--_
SIMl_HPD LS GPI0=203=SIM=SD=DE

AGND-OSC '

/

X1201
PWRON_N
N
R1203 VOUT_PMUD
� Nv �

PWR_HO
E-<

h� 202
Vv'
VOUT2 -1V8 ,//


XIN_19M2 0


1 I
:,:
E-<
3 xou

I
:,:

...

VOUTS -1V8 TD_DCXO


. R1204 -

0
N
.-I
u
13. HI6422

Ul301
VBAT_SYS
- D6 VSYS LX0_l
LX0_2
B4
BS
L1304 (00 0, tmo CPU B .
'

(-o O o '\
- AS IN0_l LX0_3 B6
A6 IN0�2 LXl_l H4 L1301
Cl320 ,. HS
-- --
LX1_2
GND C4 H6
-'-- -'--
--
-'--
PGND0_l LX1_3
Cl�� cs PGND0_2 LX2_1 Hl L1302 ( 0 0 O '\ VDD CPU L -
-
[/
.-i N M C6 H2
N N N PGND0_3 LX2_2

_[
M M M H3
LX2_3
.-i
u rl r" - JS Bl L1303 ( 0 0 0 'I VDD GPU -
- . I J6
INl_l
IN1_2
LX3_1
LX3_2 B2
'

-
-'-- 1 LX3_3 B3
.
- C1319 G4 PGNDl _l
GS PGND1_2 VFB0_P DS VDD CPU B FAR �
vss CPU :<:
·� 308 G6 PGND1_3 VFB0_N
VFBl_P
D4
Fl VDD CPU
B FAR
L FAR �
-
GND Jl IN2_1 VFBl_N F2 vss CPU L FAR
-.._<(
,<::
.
- I
-'--

J2 IN2_2 VFB2_P E3 VDD GPU FAR


VFB2_N D3 VSS GPU FAR �
:<:
Acordi ng to PCB ESR ES Cl318 Gl

t
PGND2_1

:I I
G2 J4 ·
il
PGND2_2 PHASE_CTRL_SETl
Cl306 G3 PGND2_3 PHASE_CTRL_SET0 A3
1
SSI_CLK Dl • SYS CLIC
-�D SSI_PMUl D2 PMUl SSI . D1 'H)? A VOUT2 1V8
- :<:
-
'
. Al IN3_1
I A2
IN3_2 PMUl_IRQ_N J3 GPIO 211 PMUl IR" N

PMUl_EN A4 GPIO 176 PMUl EN TP1304


�1305 Cl PGND3_1 VDD_IO E2 VOUT PMUD
C2 PGND3_2 VPP El
Cl� C3 PGND3_3
AGNDl E4

I
GN..!2_.__ ES
-
- AGND2
F6 VREF AGND3 F4 -'--

E6 IREF AGND4 FS
F3

§
SGND

u .., -
-
0

--
-'--
-�
-
.
Sri
M
>t!ltll
-
.

•IF,
.-i
0
M 1%
>
Ki
....
.-i

.-i
0

Ls:::l
'> (!)
tll
- '--

F,
14.e
VSSl B2
Al NCl H2 vs A3 H2
A2 H3 pg DDR DQ O U3 K2
VOUT2
NC2
NC55
DQO
> VDDl_l VSS2
AS H12 N9 DDR D01 A4 T2
NC3 DQl
NC56 VDD1_2 VSS3
A9 Hl3 NlO D O R D02 U4 T3
NC4 NCS8 DQ2 VDD1_4
NC57 VDD1_3 VSS4
AlO NCS NCS9 Hl4
DQ3 P,N�l= AS VSS6 E4
vsss
==
l D DR DQ 3
All Jl CAO R2 f-M8 =:DDR
M
I I7D 04 us N4
NC6 CAO DQ4 i\
I •M�9�_--fjD�D�R:l---rDQ 5:t-_-=======
VDDl_S
DDR
A 12 J2 CA1 P2 A6 R4
NC7 CA l DQS
NC60 VDD1_6 VSS7
DDR
DDRM
A 13 J3 CA2 N2 rM lO �06� t==
"'.,. "'.,. "'.,. ....,. U6 T4
NC8 CA2 DQ6
I •M�1�1_7DD�R DQ 7 = =::::
NC61 VDD1_7 VSSB
DDR D
Al4 J1 2 CA3 N3
...CJ ...CJ ...CJ ...CJ A lO BS
NC9 NC63 CA3 DQ7 VDD1 _9 VSSlO
NC62 VDD1_8 VSS9
DDR
Bl J1 3 CA4 M3 rF ll 'i1O R Js-=
08 UlO cs
NClO NC64 CA4 DQ8 =:::: VD Dl_l O VSSll
B7 J1 4
DDR
DDR CA
5
F3 CAS DQ9
Iµ F
• l= O
== �
D
D D
ITTD

R
JI

D Q 9 ES
BS K1 CA6 E3 CA6 DQ lO
�� J D01 0 ==== D4 FS
NCll NC65 VSS12
�1
DDR 9 DDR
B9 K2 CA? E2 Ir•F�8!-;---tD�.g Q 1i P4 MS
NC1 3 NC67 CA7 0Q11 ==:::: VDD2_2 VSS1 4
- - - -
NC12 NC66 VDD2_1 VSS13
DDR DR D
- - - -

BlO NC1 4 NC68 K3 CA8 D2


CAB DQ12 1.E�1�1�---M D D� H
R� Dc == � DS
VDD2_3 VSSlS Ns
➔ ¥c

DDR o j'1f: 2
01402 DM
t=
Bll K1 2 CA9 C2 GS RS
VDD12 D
NClS NC69 CA9 DQ1 3 r�Eglt 3 =:: VSS1 6
f-E9 =�DDR
TT fc

DDR O DR D Q11 13
B12 K1 3 ��}{D�01 4 HS TS
DQ1 4 = oDRD o�1��====�
VDD2_4
B1 3 K1 4 >-__JD�DR 01401 01401 L6
B-iC �LKd N�
=J2
� CK C DQ lS i�, t= 5
JS
NC16 NC70 VDD2_5 VSS17
�T S ==g�
D 9
Bl4 Ll ;;,__...!, R
Dc'c'
D-'=' ET K �P__
--'C'-'=L'-'- J3
_ CK_T ���t'ls� D01 6 KS Jl2
NC1 8 NC72 DQ16 �t4� _�� VSS19
:;;RD Q 1 t7
NC17 NC71 VDD2_6 VSS18
R DDR
Cl NC19 L2 DQ l7 �g� ��=: PS VDD2_8
VDD2_7
== ==
N D D 7
f-TlO
T 9
C3 L3 CK EO K3 �D#O �R }{ D 01 8 D6 C3
NC20 CKE O DQ18 ���� VDD2_9
NC73
DDR
cs L12 CK E1 � �t= ===:= H6 D3
CKE l DQ19
.,.... ....,.... .,....... "'....,. .,....... ....,.... .......,. "'....,. ..."'.,. "'.,.... "'....,. .,."'...
NC74 VSSCAl
=
DDR T l l D D R D Q1 9
C7 L1 3 RB �DggO RdD02 0 J6 G3
K4 � 0
DQ20 � g8 �
NC21 NC75 VDD2_10 VSSCA2
R D 0� =!
N
cs Ll4 CSO L3 Ir•R�9�----jD�Dij 21 = K6 P3
0 N
NC23 CSO _N DQ21 _ === VDD2_12
NC22 NC76 VDD2_11 VSSCA3
DDR N
C9 Ml L4 �l',J l_QO'___� 5 2 52 2 ==:::: P6 F4
CJ CJ CJ CJ CJ CJ CJ CJ CJ CJ CJ CJ
NC24 CSl_N DQ22 £�!j� VD D2_1 3
NC77 VSSCA4
DDR CS1 N Rl DD RD Q2
ClO �Rgl± D DRD Q2 3 --==:::: AB G4

NC2S DQ23
3 VDD2_1 4
f'i8
NC78 VSSCAS
f-Cll == �DDR #�} D02 4 =
l �

Cl l 0 M3 DQMO LS OMO DQ24 {�i us J4


NC79 VSSCA6
DDR 0
C12 M7 > GS D DRD Q2g� = A9 M4
DMl DQ2S I-�
1 ��=== 5 ==!
NC26 NCBO VDD2_15 VSSCA7
DDR DQM1 c lO
PS i
Q)
C13 u C9 ��}�D0 6 U9
- - - - - - - - - - - -
NC28 NC82 D M2 DQ26 VDD2_17
- -

� �;; �
NC27 NCBl VDD2_16 VSSCAB
:S:
N
:;==�
DDR D QM2 DDR 2
Cl4 M9 illI:��gt=====�D8 -; �
--'c s1:---� Hl2 B6
MS N
NC29 NC83 DM3 DQ27 ��H �7 =====::: VD D2_18 VSSQl
DDR DQM3 D DR D Q2
MlO �
!IS rBill_ll 4 �D�R DrcQ 1 �8� r--==:: K12 C6
D1
DQ28
o 2
=:::
D2 Ml l Al f-'BlO HD 029 = - E6
Q)
u NUl

DQ29
Q)
NC30 NC84 VDD2_19 VSSQ2
DDR
f:

D3 M12 Bl M B9 DDR D03 0 - F2 u F6


,__

NU2 DQ30
NC31 NC85 VSSQ3
rc

D4 Ml3 Tl '.!eBB_ JD �!,J,!: <_!c�O ,_,____ _,,_ G2 G6


I- L
NC33
NC32 !IS NC87
NC86
NU3 Q) DQ31
DR D ee3 1
VDDCA2
VDDCAl
!IS VSSQS
VSSQ4
D12
NC34

NC88 Ml4 u1 NU4 .µ L2 VSSQ6 M6
D13 Nl A2 l:._L l M2 N6

l_ll�----lD RD QS O N
NUS DQSO _C �D �E-�
M �� <
VDDCA3
R oo s �
I
�=1<
D14 N3 U2
C: l-1LJ:._l !,IOL__� H3
M R6
M 1sta�P=
VDD12 DDR
oo�
NU6 oQso_T
NC35 NC89 VDDCA4 VSSQ7
El N6 1 r� �
Q)
A 12 T6
H
NU7 DQSl_C !:,�:::::::::::: ooRofto s 1 N
NC36 NC90
G 11
VDDCAS Q) VSSQB
E2
.µ N7 u12 1-GlO ls�'rr�}{D0S1 P LS .µ
NC38 NC9 2 NUS DQSl T �;;ii VD DD2 VSSQlO
NC37 NC91
u
VSSQ9
DDR
_
G9
E3 NS A1 3 1k-P �tll 2::::� L9
C:
NC39 NC9 3 NU9 DQS2_C t==� VSSQll
l
!. DDR D QS N===
:�
C:
E12
H N9 B1 3 I-Pl O ����D0S2 P
2 ES Hl O
rn oQs2_T � �� �� VDDQl
oR oo s�
DDR H
E l3 NlO T1 3 '� ii:0#' t 3�fi N�� HS KlO
DQS3_C 0�iti�1
ls 4 -?
; VDDQ2
NC40 NC94 NUlO VSSQ12
H 11
El4
NC41
u NC95
Nl l Ul3
NUll
I-D1 0 DDR D 0S3 ��,;:_
_EP::::::::::::�� VDDQ3
VSSQ13
Bl2
Fl
F2
NC43
NC42

NC44
z NC9 7
NC96

NC9 8
N12
Nl3 R3
NU12

NCl
� DQS3_T

!:i ::::::::::::12:h

- - - ... "'.,. "'0


KS
NS
H9
VDDQ4
VD DQS

VSSQlS
VSSQ14

VSSQ1 6
D12

F3 N1 4 C4 7 J9 M12
0
ODT II Fl2
NC2
JJrE!_8
I I' .,....
0
...C .,.... VDDQ6

F 12 Pl K9 NC3 VREFCA �'cH4


cc �----< �--------­ ' J1 0 VDDQ7 P12
NC45 NC99 VSSQ17

F 13 NC101 P2 J=
VREFDQ !-"' 11 --- A11 VD DQ8 VSSQ19
NC46 NClOO VSSQlB
F 14 PS
T12
NC48 NC102 VDDQ9
NC47
H11
Gl
NC49 NC103 P9 ZQO B3 Kll VDDQlO
G2 Pll B4'----�
ZQl � Ull VDDQll
G12 P12 C12
NCSl NClOS VD DQ12
NCSO NC104

G13
NCS2 NC106 Pl3 El2 VDDQ13
-
-

Gl4 Pl4 G12


NCS3 NC107 VDDQ14
Hl
NCS4
..."' ....,.
r- .;. L1 2 VD DQlS
.,.... .,. N12 VDDQ16
0
...CJ R12
CJ VDDQ17
TP1406
IZJ

NC/RFUl
ES
A3 A7
NC/RFU2
DATO
EMMC DATA2 G3
A4
NC/RFU3
DATl
EMMC DATA3 B2 K6
AS
NC/RFU4
DAT2

UT 2 V8 EMMC DATA4 B3 NC/RFUS K7


DAT3
1
EMMC DATA
5
B4
DAT4 01402 NC/RFU6 P7
EMMC DATA6 BS
OATS
EMMC DATA? B6 NC/RFU/VSFl ES
DAT6
GlO
NC/RFU/VSF2
DAT?
HS RCLK/DS NC/RFU/VSF3 PlO
11-1
MC CLK M6 CLK 0 RFU/VSFl E9
RFU/VSF2 ElO
>E MM C CMD �_____:::______ MS
a: TP
---=1 CMD
.... RFU/VSF3 F lO
RFU/VSF4 KlO
, ____tP',l'-I 0,;,_T.. .-,O,,.-,U
Ml,\JU1_RS T---'�
,_,_ N _ KS a,
>> RST_N CJ
A6 E6 2 V9
Ill
5
rl
I I _c_1_ 4_os I 1---- E7
GS
VSS2
VSSl 11-1
VCC/VD DF2
VCC/VDDFl
FS
J lO
VSS3 VCC/VDDF3
HlO K9
a,
VCC/VD DF4
JS "'"'
VSS4 .j.l
KS 1 8
VCCQ/VDDl C6
vsss
.,....
VCCQ/VDD2 M4
VSS6 H
C4 u VCCQ/VDD3 N4
CJ
VSSQ/VSSl
N2 VSSQ/VSS2 VCCQ/VDD4 P3
NS VCCQ/VD DS PS
ti)
VSSQ/VSS3
H
P4 VSSQ/VSS4

"'.,.
P6 VSSQ/VSSS VDD I C2 "'...
CJ .,....
CJ

-
-
-
- -
-
15. Battery Fuel guage
Battery Connector CAD note:1.Trace for 6A
' VOUT5 1V8 R1520.
2.Differential trace

. Rl506 • • VOUT5 1V8
< SG1502 <ll

ATT CON TS
? B

VBATT voSGl�Ol •• J1501


% ,L
. SG1503
"'
VBATT 1 Pl P2 2 VBATT ..


B ATT TS R1519 , ,, A 3 P3 4 R1521- , B ATT I D .

P4 V <
VBATT- 5 PS P6 6 USB SW JIG'
>,. SG1504
7 P7 PB 8 VBATT- "'
9 P9 PlO 10
11 Pll Pl2 12
13 Pl3 Pl4 14
15 Pl5 Pl6 16

.
"'0
"'0 0 "'...
"'... "'... "'0 SRP
SG1506 � VBATT-
u u ... "' "'
-- 0-----"'""'"'-----<c

GND
-
-
.

01502

Bl VCONN CCl Cl TY P EC CC
CC2 Al TY P EC CC
VCHG_USB _CON A2 VBUS
SCL B3 I2C3_SCL
VBAT SYS I2C3 SDA VBAT SYS 1540
"' A3 VDD SDA C3
I I
"'...
0
"'...
0
P IO 222 P EC I
u u
C2 GND INT_N CX) "'0
"'... "'
0
:>- G P IO 068 TY P EC EN
u u GND

IZJ N ...... ... "'


T P 1501
A N A
u

'zz
.,

:;::
TY P EC CCl Rl �40 ,
• 1 CCl
01503 SCL/OUT2 8 I2C4 SCL

:>- TY P EC CC2 R1541 · , · 2 CC2


"., SDA/OUTl 7 I2C4 SDA '8

".,
A

USB/UART SWITCH
l

u
'5
1lu
'z A
OJ
' "'1
"z
I


...
A

lOohm for protect


M .,. "' "'
■ SOC USB ON R1507 AN-________U.,_S.,_ B..__�DN..._■
■ SOC_USB _DP Rl508 AN-----------"USB o P■
"'c, _ .,_,_.

>"' VCHG USB R1542 , .


• G P IO 222 TY P EC INT .._.
-

R1501 SOC_USB _D

LUS R1502 SOC US B DP


• anagement

U l601

VCH �U�S�B=-----------------< f----� A�7 Fl O C1609


7VBUS l BST l
A9
........ e-----�
- - -
87
�7VBUS2 LX l _l
B9
"'....
C ?
e-- "'� 7VBUS 3 LX1_2
0 7
f--------=�7VBUS4 LX1_3 C9
u LXl 4 D9 2 000mA

64 a l--- - - -< 1600 TEMP D ECT


1
� A� G7 E9 Ll600 I O O O I�- - CHG
I
�c _ _ _ _ A� S7
PMID l LXl 5
PMI02
C 64 9 ----==67 PMID3
8 1616
VOUT 5_1V8
1-----�8�8'--i PMID 4 AS L l601 I O O O 1603
LX2_1 mA
C G� BS
�-GND 1---------':°:' PMIDS LX2_2
l--- - -
�C�S7 PMID 6 LX2 3 cs 1617
---= -0= 67
LX2_4 DS
�---�0 =8 PMI07 GS C l608
""----1
PMIDS BST2
USB INT PMU Rl604 ·'\
"/·
V
�---�
SYS l A2 VBAT SY

,---------------< f-;,------� ?7
G°c
RE GN SYS2 B2
CHG TEMP
·I f-- - - �
G9
- 7 TS
I
SYS3 C2

SYS4 D2
VOUT2 1V8 G3
>----------------" "---1 VDDIO SYSS E2
C H G D M I NU S
--���;-;�����"-----+--t--------------------'E �S:-1 DMINUS
�S=-------t--+----------------'F�S7 DPLUS
--�C�H�G�D�P�L�U Al O
PGNDl 1
PGND1_2 B10
�Ge,Pc,I,_,O,,_,l,c8,c:O,,...s
__,I�2�C�4 :e..� S"'C�
,,,_c HG
,,,,,, .,,
N T4________________.F
-4 c,
L'------+---+-----------------�
"--'6"-j

G� 4'--i
IN T
SCL
PGND1_3
PGND1_4
Cl O
D10 "'
El O
--�I�22C�4'::-;S�D�A c;;;:;;-:cc--+--t--------------------'�:-1
F4
SDA PGND l S
--�P=MU ��R�S�T�O�U �T �N �+- t- - - - - - - - - - - -�E�6:'-7
>----------I I I '
RST_N
GlO SPM PGND2_1 A4

PGND2_2 B4
=o
3 3
· v CHG AVDD G6
PGND2_3 C4

� �
� � M
o ��------------=F�
l__, D4
�u��u�=::'.'.' =:'.'.:· =
VREF PGND2 4
� � � � F] !BIAS PGND2 S E4
4 000mA
E3 Al VBAT
--------,.--�=---iAGND BATl
03
r--��""----IAGND_CHG BAT2 Bl
0
"'....
0 .... �--�F�""----IAGNO_REF
2
BAT3 Cl
Dl
"'....
Gl
�--lAGND_ADC

f---':=;--�-..._----1
0 -----9>---"' BAT4
El
- � =8""----1AGND_OTG
-F BATS
---• G S AGND_PS L

"'........
E 0
' DGND l
I I'
'>, � --8�37 PSUBl DGND2
< "'11, ?
- 7 PSUB2
- E� C3
DGND_ CHG f---" - -
=- --,
,>'
( e1 ES PSUB3 DGND_OTG �=�F9 --

17. L
LCD POS NEG Voltage Driver Jl701
CAP tolerance above SQVI• II
• •
P2 a GND
UT4 1V8 LCD IOVCC -3-
Pl
P3 P4 - --,- - DSIO DATA2 p R
PS P6 LCD D2 N DSIO DATA2 NR
.....
\0 GPI0_015_LCD_ID0
PB a
r-- IO 206 LCD IDl LCD IDl
P7
- LCD Dl P DSIO DATAl p R'
� GPIO 020 LCDRST N
GPIO 021 LCD TEO
; P9
Pll
PlO
P12 � -----_n1_.N DSIO DATAl NR
LCD-TE • Pl3 Pl4
LCD_BL_CABC PWM OUT • DSIO CLK PR 2
Pl5 Pl6 ·.cil_CLIL
. Pl7 PlB Hl
,.. .-..-.. r"'T� DSIO CLK NR 2
L1702 VOUT17 3Pl TP VCI • P19 P20 a-
VOUT4 1V8 TP IOVCC -2' P21 P22 � LCD DO P' DSIO DATAO p R .
01702 TP I2C2 SCL DSIO DATAO NR
-
- TP SCL
·.en�
-
P23 P24
TP I2C2 SDA TP SDA P25 P26


VBAT_SYS Cl 01 GPIO 198 TP IN� TP INT P28 aa LCD D3 P DSIO DATA3 p R
VIN SW
GPIO 055 TPRST, N TP RST -
-2' P27
-- LCD D3 N DSIO DATA3 NR
GPIO 058 LCM ENP Bl ENP REGl 03 1708 I I I' OUT4 1V8R1£\k'.
1.
GND - P29
P31
P30
P32 �
·.en
GPIO 067 LCM ENN Al E2
V V
LCD VSP LED K� LED3-
ENN REG2 -3- P34 3

P33
GND P35 P36 LED K2 LED2-
I2C4 SCL B2 E3 LCD VSN LED Kl LEDl-
I2C4 SDA C2
SCL OUTP
A2 LCD Vs "'r-- - P37
--
P38 38
LED A LED+ BT--, 1 .:.B1701 2 LED+
"'.....
0 �
SOA OUTN r-- � P39 P40
r--
..... ..... r--
r--
..... B3 PGNDl CFLYl C3
.,...... ..... LCD Vs (.) (.) cJ Sl S2
10070019
El A3
(.)
..... PGND2 CFLY2 S3 S4 2
0
r--
r-- r--
..... ..... .....
r-- "' .,.
..... 02 AGND (.) (.) (.)
..... 0 0
(.)
r--
..... r--
..... "'r-- "'r-- 00"' "'
s (.) (.)
..... ..... r--
.....
0
r--
(.) (.)
.....

R1713
Tl703
DSIO DATAl P DSIO DATAl PR


DSIO DATAl N DSIO DATAl NR

LCD BL DRI
R1709
T1701 R1714
DSIO_CLK P 1 2 DSIO CLK P_
R_2


CAP tolerance above SOV!!! DSIO_CLK_N 4 3 DSIO_CLK_N_
R_2

VBAT SYS Ll701 I O O O I D1701 LED+ T1704


2 1 R1710 DSIO DATA2 P DSIO DATA2 PR
"' .....
"'r--
r--
..... 01701 M
0 .....
r--
..... .> ..: R1711 DSIO_DATA2_N DSIO_DATA2_N_
R
B3 C3
SW OUT
.>
(.) <
Tl702
03 IN DSIO DATAO P 1 2 DSIO DATAO PR
LEDl Al LEDl- •
I2C4 SDA B2 SOA LED2 Bl LED2- •
I2C4 SCL C2 SCL LED3 Cl LED3- DSIO DATAO N 4 3 DSIO DATAO NR
-
-
LCD BL PWM 01 PWM ASEL A2 Tl705
TP1701 R1712 DSIO_DATA3_P DSIO_DATA3_P_
R
GPIO 033 LCD HWEN 02 HWEN GND A3
-
� -
-

DSIO DATA3 N DSIO DATA3 NR


VOUT25 2V95

GND
Ul902 -
-

;
CSil CLK P 4 AO_N VDD/NCl 1
CSil CLK N 3 AO_P VDD/NC2 10
CSil DATAO p 8
Al_N VDD/VCC 6
CSil DATAO N 7 Al_P
KSD/OEN /PD
-
2
SCAMO CLKP A 18 BO_N
SCAMO CLKN A 19 BO_P SEL 9 GPIO 207 CAM SW SE
SCAMO DOP A 16 Bl_N
SCAMO DON A 17 Bl_P GNDl 5
GND2 11
MCAMl CLKP A 14 20
CO_N GND3
MCAMl CLKN A 15 CO_P
MCAMl DOP A 12 Cl_N
MCAMl DON A 13 Cl_P SINK 21

GND
-

Flash Light

AT_SYS Ul901
LED1904
B3 Al Cl903
I
IN OUT
Ll901 A2 SW
I
u Bl 2 1
I
LED
B2 STROBE 3 I
GPIO 110 FLASH_MASK Cl TX/TORCH GND A3
I2C4 SDA C2 SDA
I2C4 SCL C3 SCL
• Dual _amera

R2001 ' " '


-,
VOUT33 1V8
< 16M Main CAM 0 2M Main CAM 1
16M Main CAM R2002 - ,v,
V

J2001 R2031 R2012

ISP CCLKO
II
AFGND
DGND
MCLK
2
4
6
P2
P4
Pl
P3
1
3
5
AFVDD
VCM P�
SCL
VOUT25 2V95
ISPSCLO
<
- MCAMO DOP MCAMO DOPA - - MCAM1 DON T2009 MCAM1 DON A-

- -
P6 PS 3 3
> �n
"
'
FLASH 8 PS P7 7 NC < .4 4.

t
• •
DGND 10 PlO 9 DATA1 N MCAMO D1N MCAMO DON MCAMO DON A - MCAM1 DOP MCAM1 DOPA -
MCAMO D3N ATA3 N 12 Pl2 Pll 11 DATA1 p MCAMO D1P 2 1 1 2
pg

---
/

MCAMO D3P iATA3 P 14 Pl4 Pl3 13 DGND R2032 R2013


DGND 16 Pl6 Pl5 15 CLK N MCAMO CLKN
t
/

R CAM
I I 1s
D P18 Pl? 17 CLK P MCAMO CLKP R2033 R2004
DGND 20 19 DGND

-
P20 P19
MCAMO D2N ATA2 N 22 P22 P21 21 DATAO MCAMO DON
-- MCAMO D2P iATA2 P 24 P24 P23 23 DATAO MCAMO DOP
$$
MCAMO D1N 1 2 MCAMO_D lN_A MCAM1 CLKN 2 1 MCAM1-CLKN-A
>
/

- DGND 26 25 DGND
P26 P25
/

• �
• �

GPIO 018 CAMO RST RESET 28 P28 P27 27 SDA ISPSDAO • •


VOUT20 1V1 D DD 1V2 30 29 VPP MCAMO D1P 3 MCAMO D1PA MCAM1 CLKP 3 MCAM1_CLKP_A
P30 P29 > >
( :t,

4 4
VOUT33 1V8 I VDD 32
O P32 P31 31 �
'/
VOUT19 2V8 AVDD 34 P34 P33 33 AGND R2034
R2005
36 S2 S1 35 R2035
38 37
\0
� S4 S3
u MCAMO D2N MCAMO D2N A
0
N
0
C')
0
<t
0
00
0
0
� � > 4• 3 �
- - 0 0 0
0
"'u 0
N
0
N
0
N
-
-
-
- N
0
N
0
N
0
MCAMO D2P
• MCAMO D2PA
0 0 0
> 1 2 �
CAMERA_AG
SG2002 - - - R2036
- - -
- - -

- <Jl
II R2037
''"
ESD ESD
l!) -
- CAMERA_AGND

MCAMO D3N MCAMO D3N A


J2002 MCAMO D3P MCAMO D3PA



VOUT33 1¥8 R2015\ 25 S1 S2 26
R2038
'
R2016-'
Al ND 1 Pl P2 2 GND
- VOUT13 2V8 4 MCL ISP CCLK2 R2039
P3 P4
:1
D
! PS P6 6 GND
� '

t
VOUT33_1V8 8 MCAMl CLKN
P7 PS er 1 T2004
i
D 'D07
9 MCAMl CLKP MCAMO CLKN 2 MCAMO CLKN
- GPIO 072 M 1 PWDN
ovno
P_!@_Nll Pll
pg PlO
Pl2
10
12
CT
GND
>-------'-i
GPIO 209 M

ISP SD A2
1 RST R' T 13
Pl s�
II 17
51 A 19
Pl3
Pl5
Pl?
Pl4
Pl6
P18
14
16
18
20
D ON
D OP
GND
D lN
MCAMl
MCAMl
D ON
D OP
t MCAMO CLKP

-
,,➔,
ISP SCL2 51 L 21
P19
P21
P20
P22 22 D lP R2040
p 23 P23 P24 24 GND

27 28
...
S3 S4 "'u
0

...
"'u
0 -
-
- -
-
-
-
-
-

"'
"'u
0

2M Main CAM
s
'
'>,·I'"'
'>' 0
-
-
( "'
0
l!)
<Jl
21. Front _amera

24M S-Camera
'vsv
, ·tr.I

SG2102

J2102
....0 26 25
.... S2 S1
VOUT19 2V8 � AVDD 2 P2 Pl 1 AGND
DGND 4 P4 P3 3
ISP CCLKl MCLK 6 P6 PS 5 DGND
DGND 8 7 DATAl SCAMO DlN
SCAMO D3N A DATA3N10
PB
PlO
P7
pg
9 DATAl ' SCAMO DlP
SCAMO D3P A DATA3P12 P12 Pll 11 CLK SCAMO C� A
SCAMO D2N A DATA2N14 P14 P13 13 CT>!> SCAMO CLKP A
SCAMO D2P A DATA2P16 15 DATAO i SCAMO DON
DGND 18
P16
PlB
P15
Pl7 17 DATAO ' SCAMO DOP
GPIO 017 CAMl RST RESET 20 P20 Pl9 19 D�
VOUT32 lVl DVDD 22 P22 P21 21 SDA ISP SDAl
VOUT33 l-i8 IOVDD 24 P24 P23 23 SCL ISP SCLl

28 S4 S3 27

R211�- - - VOUT33 1V8


R2114 - ,' , -,
,
C')
0
...
0 0

N

N

N GND
0 0 0
22. CODEC HI6402

BootMode0 BootModel Function(BootROM Start•


1 1 SPI Start
1 0 SSI Start
0 1 I2C Start
0 0 SLIMBUS Start

RCV
R2305 J2301
EARP 1 � 2
I EARP RCV 1 Pl
J2302

R2306 EARN RCV 1 Pl


EARN 1 2 I

........ ...."'
0
"'"' "'CJ
CJ

Secondary Mic

> MICBIAS
10100947

MIC2301

MIC2
.... VDD
OUT
1
2 I
p
-
"' MIC2 N--
-
3 -
GND s
I'-- - - u,
■-- =F=M� �=I=N___ �L=2=3=5=2�IOOO)______C=23
RF = =1=2,,____,I ---�C=2=3=1=3----'I f- ---------=F=M�IN�=SMB ■
0 '--=II
SG2302
f-

C') 4 1
N Ml
CAD NO TE:
l

route with differencial pair to the CODEC

-
-
-
-
FM
'g
"'
"'CJ "'CJ
24. Headphone

SG240l

�II·
C 2402
LB240l

LB2402
SGND CON


BSR CON 2 HS R
� BSL CON
BS DET OUT CON

HS MIC CONN
SG2403
AGND MIC
02403
1, , '---'+-------'""&f'J:L.--1
11·
11·
'------'""&f'J:L.--1
02402 SG2404
LB2403
2 L
;:::
HS

R2409 OUT2 1V8


;:::
R2410 H S DET OUT

I
R240l HS MICBIAS �

LB2404
2
.

MBHC IN � I .
C2406 1--------4�-"H"'
S_,,
M.._
IC"-'N �
"--,

NOTES:
...
Place magnetic bea s close to J2901
...
0
N
..
...
0
N
..
N
0

LB240l/LB2402/LB24 3/LB2404 u .___.,_____,C.,2 4e.; ,_,0c,8y l------<....-+- "'


H"'S_,,
M.._ '--,�
IC"-'P

ND

.. .....
"'
0
N
u
0

N
u

..
...
0

.,
N
<>

• IO

SPKOUT_P_l_CODEC 1 2 SPKOUT_P

SPKOUT_N_l_CODEC 1 2 SPKOUT_N

N (V)
.-I .-I
LO LO
N N
u u
• - ensor Ambient Light & Status indicator
LED Pulse Current :max 125mA

LED2601

Accelerometer
LED_RED 1

LED_GREEN 4 VBAT_SYS

LED_BLUE 3 LUE

U2605

2 NC/B YP
INT l 11 GPI0_212_AG_INT
I2CO_SCL 4 SCL/SPC/SCLK INT2 9

I2CO_SDA 6 SDA /SDI/SDO/SDIO ADCl/GND/NC 16


ADC2/RESERVED/NC 15
7 SDO/SA O/ALT ADDRESS ADC3/GND/NC/TRIG 13
ADDR
VOUT2 1V8 8
CS/CS/NC RES/GND/NC 10

GND 1 2

1 VDD IO GND/NC 5

VOUT24_2V85 14 VDD/VS NC 3 J2610


Pl 1 1 2 I2CO_SCL

- J2611
.-I Pl 1 1 2 I2CO_SDA
N

J2612
Pl 1 VOUT15_2 95

J2613 1 1
1
Pl -
f----=--- - - -

Compass (place together,co-layout) ..,


0
ID
N

AP IC TEMP

U2601

> VOUT24 2V85 A2 CAD VDD A1


<
A3 C1 VOUT2 1V8
TST VID <=
C2 RSTN vss B1 E-<
.-I
0 ID
12CO SDA C3 SDA
ID
N
N
Hot Area TMP sensor
> 12CO SCL B3

SCL �
-
-
0
0
c.o c.o
C\J C\J
0 0 -
-

U2604

2 ------�
VDD�B=l�
A
SCL
� �8=2'-" SDA
- �
VSS �A=1 --
27.
BOM note: R2703 D2801 27sheet NFC

y DNI DNI follow desinn


NFC All DNI except
N SMT DNI R2703

1 TP2702
02701 ''[l

G7 D7
G6
IC3
IC2
VDDD
VEN El GP IO 057 NFC VE<
VDD_MID F7
-- A2
NFC CLK EN CLK_REQ VDD_UP G2
'C2728
VD DUP NFC
<
II
For Debug
> GPIO 036 NFC WAKE DLOAD Al DWL_REQ NFC_CLK_XTALl
XTAL2
A3
C3

GPIO 036 NFC WAKE DLOAD


OS SE_SWIO
TX_PWR_REQ F2
NFC CLK P MU
TX P WR RE" <
B4 SIM_EXT_SW_CTRL NC7 Fl �

-,
B2 A7
II D2
HIFl
HIF2
NC2
NCl A6
>� 12C3 SCL Bl HIF4

-
12C3 SDA
"'N 4� Cl HIF3 NCB F4
r-­ GPIO 220 NFC INT N Dl A4 NFC SWIO U IM
0
N
% IRQ SIM_SWIO

-,

GPIO 220 NFC INT N B3 PWR_REQ VDD_SIM AS NFC SIMO VDD OU
R2703 '
<
V
ES NCS VDO_SIM_PMU BS VOU Tll 1V8/2V95
cs ICl
E3
E4
NC3
NC4
VDD_PAD
VDD_SE
D3
B7
VOU T2 1V8
<
E6 NC6 VDO_TX E7
VDD C6
N FS
F6 RXP vsss E2
VSS_PAD C2
Xl G3 TXl VSS_TX G4
X2 GS

'
TX2
VSS2 C4 �

VBAT SYS
>� C7
Gl
VBAT VSSl B6
D4 ...r--
0 "'"' "'... "'
r--
"'r--CJ r--
VBAT2 VSS3 0 �

VSS4 D6
F3
"'CJ "'CJ "'CJ 0
N
VSS6
-
-
-
-
-
-
-
-
-
-
-
-
- - - - - -

00 -

r-­
When NFC used need to SMT R2701/D2701 0
N

L2702

02702

VBAT SYS - A"'3'-j VIN


f----- ---'- SWl � B1
-ic;-�
8=2--�
SW2 e-= SG2701
�----------'"'"'-./\,-'-,l'-'<!>"---- --'VD
=D'-'U'-'P'---'N""-
F
TX P WR RE R2701 83 EN A',;
VOUTl f--'i' 1 ------j

VOUT2 f-'--
A"' 2�--- D BOOST_SV
D2701
GP I0_059 SP K_SMARTPA ST 2 �-Ccc
1
___, GND 1
�- c GND2
2
GND3 c3
R2705
• D

0
J2801 package: HD-SSIM20-34-1928A
"'CJ
SIMO
CX)

> NFC SIMO VDD OUT R2802, ' J2801

->

'
USIMO CLK
USIMO DATA
USIMO RST
R2805
R2_fill6
R2 7
A '
A ',

"
A
14
13
12
CLK_OF_SIMl -
DATA_OF_SIMl
RST_OF_SIMl

E
> NFC SWIO UIM 11 VPP_OF_SIMl
H
00

> VOUT9 1V8/2V95 R2811 ' A '


\, " :;;
NFC SIMO VDD OUT 10
9
VCC_OF_SIMl 0

GND_OF_SIMl - z

GNDl 23

--
GND2 24
GND3 25
SD DATA2 1 DAT2_0F_MSO - GND4 26
SD DATA3 2 CD/DAT3_0F_MSD GND5 27
> SD CMD 3 CMD_OF_MSD GND6 28
> VOUT16 1V8/2V95 �
4 VDD_OF_MSD GND7 29

Micro S-
SD CLK 5 00 30
CLK_OF_MSO
" GND8

-
0
6 31
SD DATAO 7
VSS_OF_MSO
DATO_OF_MSD
·-
u
E
GND9
GNDlO 32
SD DATAl 8 DATl_OF_MSD - GNDll 33
34
>
GND12
VOUT2 1V8 R2804 21 35
SW/GND GND13
GPIO 203 SIM SD DENv -l-803 ,
,
, 22 SW/CD GND14 36

GND15 37

"'0 GND16 38
>A. USIMl CLK
0
M R2 ' 15 CLK_OF _SIM2 - GND17 39
"'CJ "'CJ
CX) CX)
<t <'
USIMl DATA R2809 16 DATA_OF_SIM2 � GND18 40
>
u
USIMl RST R2810 ' 17 RST_OF_SIM2 E GND19 41
18 VPP_OF_SIM2
H
00
19 VCC_OF_SIM2 0
Ml 42
20 43
GND_OF_SIM2 -z

R2801 M2
' A '
\, '
> VOUT12 1V8/2V9 M
M
GND "'CJ
CX)

SIMl
Must close Q2901 to J2901
VCHG USB CON
Q2901

1 01 05 7

2 02 04 6

For Protect£,should closed to J2901 03 5


'
'
--

I
\ '
S2 8

G PI O 034 USB MOS CTRL


' '
3 G ' ' S1 4

02902 �-----1 e----- -


---1 e- --------�
-
VCHG_USB_CON
< -
-
-

VCHG USB A2 B3 '>


OUTl INl

A3 OUT2 IN2 C2
B2 OUT3 IN3 C3
"'N
0
..... "'
0

Bl ACOK /VBUS_O ..: "'N


0 �

OVLO Cl
A4 GNOl
B4 GND2
C4 GN03 EN/ GNO f-----'A=1�-
"'
"'N
0
"' ,- "' FP BTB
(.)

"'.....
"'N
(.)
J2902

11Sl S2 12
FP SPIO DI 1 >1 P2 �
VOUT2 1V8
G PIO 052 FP RST 3 ,3 4 GPIO 199 FP NT
P4
FP SPIO DO 5 5 PE
6
GPIO 031 FP ID 7 7 PS
8 VOUT24 2V85
FP SPIO CLK 9 ,9 PlO
10 FP SPIO CSO

13S3 S4 14
N

N
"'N
(.) (.)

.,uB_BRD_I D C2970
I e---------,II

Main FPC BTB Place near J2901

J2901
KEY BTB
FM I N SMB

HS D ET OUT _gg_N
HS MIC CONN
2
4
6
8
P2
P4
P6
Pl
P3
PS
1
3
5
7
• AG ND MIC
HSR CON
SGND CON
J2910
Pl
I 11 KEY UP BTB R2903 1\/V'-- -
-G=P�I=0�2=0=1�K=E=Y�U=P��%>

PS P7

I 11
10
PlO P9 9 HSL CON J2911
VOUT24 2V85 12
Pl2 Pll 11
Pl PWRON N BTB R2901 1\/V'-- � - %>
=O=N�N�- - - �
-P�WR
I2CO SCL 14
P 14 P13 13 VOUT31 3VO
I2CO SDA 16
P 16 PlS 15 MIPIO SCLK

I 11
VOUT2 1V8 18
P 18 P1 7 17 MIPIO SDA J2912
20 P20 Pl9 19 G PIO 185 HALL I T_S Pl KEY_DOWN_BTa2 902 G_PI
1\/V'____
_ �_2
_ 0 _ 2
= 0 = _EY
_ �_ K _ WN
_ �DO _ _%>
---c
USB TEMP AD" 22 P22 P2 1 21 VOUT14 2V85
UART6 TXD 24 P24 P23 23 SUB BRD I D
TYPEC CC2 26 P26 P25 25 main outB J2913
TYPEC CCl 28 27 L<\01 1 -----------------------------------,1 I·
P28 P27 Pl r=-
30 P30 P29 29 1 2 MICBIA
USB DN 32 P32 P31 31 C N
USB DP 34 P34 P33 33 MICl p

• •
36 P36 P35 35
38 P38 P37 37
SP KOUT N 40 P40 P39 39 SP KOUT P

42 S2 S1 41
VCHG USB CO 44 S4 S3 43 VCHG USB CON

- -
• Test Points hiel
CT BT PT
VBATT must route to D 1502 ■ B OOT M OD E R3003 VV--1__® TP3018
.---------1-----«(ZI TP3011
■■ �B�
A T� T�C=O=N�T�S� ______
l _® TP30 13
--�
V=B�
A� �
TT ----- -
� >- �1'------�(ZI TP3012
- - -

1
,------ - - - -�(ZI TP3001

___,_
V= H=
C� U=
G'-"' B_C=
S= O� l_---J(ZI TP3002
N'----------------< ______ Shielding
J3002 J3005 J3008 J3010
Pl 1 p 1 e------1� -- Pl 1 Pl 1
1
--=U=S=B�
D�P ----------------<>----- -�(ZI TP3003
� S=B_D�N__________
U= >----+-�1----J(ZI TP3004

N N

"'0 0 J3004 J3009 J3011


"'
0
Q
"'
0
Q
Pl 1 Pl 1 Pl 1

.... ....
- - -

■■�U=SB�S=W�J=I=G
---------- - -
---<i® TP3062

HOLE J3012
Pl 1

M3001 M3002 M3003 M3004


II (SI TP3065
0-- 0-- 0-- 0--
(SI TP3066 HOLE 1P7-274X HOLE1P7-274X HOLE 1P7-274X HOLE 1P7-274X

M3005 M3006
o- o-
HOLE2P7-274X HOLE 1P6-274X

ID3007

MARK POINT --@


ID-BOARDA
ID3001 ID3002 ID3003
--@ --@ --@
ID-BOARDA ID-BOARDA ID-BOARDA
ID3004 ID3005 ID3006
--@ --@ --@
ID-BOARDA ID-BOARDA ID-BOARDA
TYPE cc
1
D3004
2
II
YPEC Cl
YPEC_CC2
D3005
1 2
II
l_RF inter ace
Power APC£ .. TXM-RAMP£©
RFICO IQ
> CHO TX I N ■ -
VOUTlO 3V2 ■ >,> - -
CHO TX APC ■
> CHO-TX_I_P
> CHO TX- _N VBAT SYS
> CHO TX VBATT

■ CHO
CHO
-RXA
RXA-I-N
I p
'
), PA temperature
■ ><
-
■ CHO RXA Q N ■ VCCl RFICO 1V29 ■
CHO
-- --II--N
RXA VOUT2 1V8
Q
-- -- -
p
■ CHO RXB >

■ VCC3 RFICO 1V85
■ TD PAO
■ CHO RXB p *·> ■ VCC27 RFICO 2V4
■ � ■
■ CHO RXB
Q N > ■ ■
■ CHO RXB Q P
5,,


■ - --
CHO RXC I N
:jf>
VOUT5 1V8
APT PDM
■ CHO_RXC_I_p
> ■ -
VOUT14 2V85 ■
■ CHO RXC Q N ■ VOUT28 1V8 ■ ■ RFO APT PDM ■
CHO RXC_Q P
-- -- --

<
■ CHO RXD I N ><
■ CHO RXD I p >
■ CHO RXD Q N *·>
■ CHO RXD Q p >
■ §-
.,

NC Interface
■ VBAT SYS ■
RFIC interface MIPI Interface ■ VOUT2 1V8 ■
RFO RFIC TCVR ON
>,> ■


RFO RFIC RESET
RFO: RFic:ssI : <% �---=M=I=P=I=O-�S=DA�-------,■■ ■ GPIO_Olj CODEC_BT_FM_PCM_CLK
>,> RFO HKADC PDT ■ ■ GPIO_Ol2_CODEC iT_FM_PCM_DO


- -
RFO CLK 19M2 ■
■ GPIO 011 CODEC BT jM_PCM_DI
■ GPIO_Ol4_CODEC_BT jM_PCM_SYNC
■ GPI0_210_BT_WAKEUP---V
GPIO 076 UART4 RT N
: GPI0_075_UART4_CTt_N
GPIO 078 UART4 TX
:
_ GPIO 077 UART4 RXt_
GPIO Interface ANT interface
APTil'rf7 ■ GPIO 108 APT ENO ■ ■ GPI0_098_CDMA_GPS_1i!YNC

GPIO 214 RFO ANTO DETO ■ VBAT_SYS GPIO 092 ISM PRIORI Y
■ GPIO 089 LTE INACTI
VOUT2 1V8 GPIO 090 LTE RX ACT
DPDT,,tt ■ GPIO_ll9_SEL07_DPDT ■ ■ VOUT14_2V85 ■
■ GPIO 112 B40 LNA ■ ■ VOUT28 1V8 ■ ■GPIO 091 LTE TX ACT:w,7E
}l1,LNAil'rf7
■ GPIO 113 B3 LNA ■ ■GPI0_035_WIFI_EN ■
- - -
■ GPIO 114 B41 LNA ■
■ GPIO 115 B39 LNA ■ ■CLK32_BFGN ■
■GPIO 213 WL WAKEUP AP■
■ GPIO 138 SEL26 SP2T 1 ■
SPDT1/ 1Jl: ■ GPIO 139 SEL27 SP2T 2 ■ ■ GPI0_06l_WL_SDIOO_Ci-K
GPIO 062 WL SDIOO CMD
GPI0_063_WL_SDIOO_DAT
GPI0_064_WL_SDIOO_DAT
GPIO 065 WL SDIOO D TA2
■ GPIO 066 WL SDIOO DAT�

■ GPIO 127 SEL15 ■


■ GPIO 128 SEL16 ■

l4 A
GNDl VDD1P29_RX1PLL f--'D=S.___ VCCl RFIC O lV
Bl GND2 VDD1P29_RX2PLL r-J_S__ .-i .-i
B3
C4
GND3
GND4
U3201 VDD1P29_RX2VCOBOF LB C3205
N
u
(Y)
N
u
(Y)

Note: HB4 & 5 can be configured to RF Group 1 with degraded RF performance C6 GNDS
ClO GND6 VDD1P29_RXLOA f--'E4
� �­
Only HB3 and HBS support intra-band non-cont CA, other ports support intra-band cont CA. Cl2
El2
GND7 VDD1P29_RXRFIFB r- D_?
__ C3208
GND8
F3 G4
GND9 VDD1P29_RXRFIFA r-_ __
J4 GNDlO VDD1P29_BIA S r-0 �9 VCCl RFIC O 29
UHBl Group 2 --+---------------------
� � �
-

DRX - B7 CHO - RXA - I - N start route rom PMU


BlS DRX_OHBl RX_A _I_N Hl3 ■ JlO GN Dll


UHB2 Group 1 4-1
DRX - B41 A l2
DRX_OHB 2 RX_A _I_P HlS CHO - RXA - I - p ■ E6 GND12 VDD1P29_TX f--'P�S� _ C3214
Jl4 CHO _RXA_Q_N K9 0
RX_A _Q_N ■ GND13
HBl/2/3 Group 1 B9 KlS CHO - RXA_O_p Kll P 3
DRX HBl RX_A _Q_P ■ GND14 VDD1P29 TXLO f--' �� -
■ DRX_B34
DRX_B3
A lO
Bll
DRX_HB2
Gl4 CHO - RXB - I - N
•--
Kl3
M3
GN DlS
Q) f--'p � 11
� �- C3207
N
.-i
N
DRX_HB3 RX-B-I-N ■ GND16 VDD1P29_TXPLL

(Y)
HB4/5/6 Group 2 DRX Bl Bl3 FlS CHO-RXB-I-p HS 0 SINGLE GND
■ DRX_HB4 RX_B_I_P ■ GND17


DRX_B39
DRX_B40
Cl4
DlS
DRX_HBS
DRX_HB6
U3201 RX_B_Q_N
RX_B_Q_P
El4
Fl3
CHO - RXB. 0 N
CHO - RXB _Q_P


Pl3
R2
GND18
GND19
ltS
4-1
VDD1P29_TXVCOBOF Rl4 C3206
SG3201 tll1\1'.\,! _J.!>.,__--=c.,_T I· VOUT2 1V8
-- �-'-"---,
R4 t-1 = �4� LB32J)l .,.
•------j

GND20 VDD1P8_IO C--CL1 -


LBl/2/3 Group 1 or 2 BS DRX LBl RX_C_I_N F7 CHO - RXC - I - N ■ R6 GND21
Q) (�,'c--_ _.,2.__
'--- ---�---------_,-_l_-----' __ C3209 I,

f--------j

DRX-BS A4
DRX_LB2 RX_C_I_P EB CHO-RXC-I-p ■ RB GN D22 VDD1P8S _RX1 VCO e-cA=2--- ­ C3204 '• �
·'-..........
A6
DRX_LB3 RX_C_Q_N GB CHO - RXC _Q_N ■ RlO GND23 VDDlP8S _RX2VCO rL=1�2�- C3218
LB4/5/6 Group 2 or 1 CB H7 CHO - RXC. 0 p Rl2 H VCC3_RFIC0_1V85
DRX_B8
DRX_LB4 RX_C_Q_P ■ GND24
B7 L4 P7 VCC3_RFIC0_1V85
DRX_LBS GND2S N VDD1P8S _TXRF_2
AS
DRX_LB6 RX_D_I_N ElO CHO - RXD - I - N ■ Tl3 GN D26 u start route from PMU

.-i
RX_D_I_P F9 CHO - RXD - I - p ■ 04 GND27 C/l VDD1P8S _TXVCO TlS C3217 N
UHBl-2 2496-3800 MHz PRX - B7 N CHO - RXD. 0 N u
(Y)
Pl MRX_OHBl RX_D_Q_N Fll ■ 06 GND28 H

HBl-3
■ PRX- B41 Kl MRX_OHB2
'M
RX_D_Q_P GlO CHO-RXD _Q_P ■ • 08
KS
GND29
GN D30
J: VDD2P4_BIA S Nl2 VCC2
i--
RFIC0_2j4 -
��
1447-2170 MHz N
PRX - B2 - or_B2 - 34UT Hl MRX_HBl 0 D11
GND31 VDD2P4_RX1VCO r-C=2�- C3220 N a,
.-i
PRX_B34 or_G1800UT CHO - TX ---
I N
• u
(Y)
J2 N6 D13 N
■ - ■
HB4-6 1844.9-2690 z
PRX_B3 K3
MRX_HB2
MRX_HB3 n TX_I_N
TX_I_P M7 CHO - TX - I - p ■
GN D32
VBIA S_lPO Ml3 N
e-=�--•N u
(Y)

■ PRX Bl Ml MRX_HB4 TX_Q_N NS CHO _TX_Q_N ■ N


PRX_B39 CHO - TX O _p u
(Y)
L2
MRX_HBS (I) TX_Q_P M9 ■
PRX_B40 N2 MRX_HB6 0 '<I'
N
LBl-3 462.5-894 MHz RS N
D3
MRX_LBl 'M TEST_l FS (Y)

■ PRX_BS Dl
MRX_LB2 M TEST_2 G6
E2
...,
LB4-6 717-960 MHz MRX_LB3 (I)
Fl MRX_LB4 GPIO_O Gl2
PRX_B8 G2 MRX_LBS s:: GPIO_l Hll
H3 MRX_LB6 H GPI0_2 H9 NOTE:03201 Only changed the code!
Mll
TX_B7/40/41 TS n GPI0_3
T7
T9
TXRF_OHBl
TXRF_OHB2 u
t/l
TCVR_ON J6 RF O _RFIC_TCVR_ON ■
TXRF_OHB3
H TRSTN �L�6 - _,
-I ,
TX_Bl/2/3/34/39 02 TXRF HBl �

TX_GSMHB Tl TXRF_HB2 RESETN RF


�K7� �_ _ _ _ _ _ _ ___ __I�C__�R� ESE
_O�__RF _ _ T__,■
T3 TXRF_HB3
POWDETOOT -=-----"-'
R"'-E'-"
O= H=
:V"DC PDT
TX_BS/8
■ TX GSMLB 010 TXRF_LBl 0
- Tll TXRF-LB2
N
u
(Y)
012 TXRF_LB3
014 TXRF LB4 SSI
PDET_ANTO MS
N4
TXFB 1 REXT Nl4 R3281
"',/v··�------j I· R3281 close to RFIC
TXFB_2
J :,-12dBm to OdBm FREF IN MlS L3251
0
N
u
(Y)

close to PIN� -
33 APT Power

I
M
0
M
M
u
Delete LB3301
U3301

SWl A2 VDD PAO


PIO 108 APT ENO C2 EN SW2 B2
M
u
C3 D4
'I I BP FB
D3 MODE ACBl A4
ACB2 B4
RFO APT PDM R3381 D2 VCON
PGNDl Al
C3304 Bl
VBAT_SYS II A3 PVINl
PGND2

0 0
B3 PVIN2 SGND Cl
M M
M
u u Dl VDD BGND C4

Need GND together


34_RF Power System

VDD=VBAT_SYS
APT

VBATT=LDOl0
MMMB P�vccl/2_3G=VDD_PA0
� VIO 1.8V=LDO28
RF Power SYSTEM
VBATT=LDOl0
TXM
VCC=VDD_PA0
VIO 1.8V=LDO28

SWITCH VCC 2.85V=LDO14


---------VIO 1.8V=LDO28

TEMP _____vcc 1. SV=LDOS

LNA _____vcc 2.85V=LDO14


36. MMB PA

Close to U3201

X B7 1 40/ 4:t:3601 L3651 1 O O O ,

..
M

U3601
(.)
(.)

3 VCCl 29 VDD PA
30
RFIN_H
VCC2
12
28
RFIN_M
13 M ....
VCC2_2
...."' ...."'
-
-
- -
-
- II
R�i::;s1 • • 14
RFIN_Ll
RFIN_L2 HBRXl
HBRX2
4PRX B40 MMP,l>.�6' 0 • •
42R3683 • A •
\, " II
' 11· � ]
(.)
M
(.)
M
(.)
M
(.)
"'M
0
R3681 ?,PA?1:,!Tflb
"'X Bl 1 2/3/� L3652 1 O O O VOU 10 3V2 8 HBl 33R3684 ,
OUT 1V8 7
VBATT
VIO HB2 35 MMMB P v OUTj I I "' Q

iIPIO SCLK 6 HB3 37 MMMB PA OUT 40


IPIO SDA 5 39 MMMB PA OUT 41
SCLK
HB4
ij "'0
r-- co SDATA
M
.... "'M "'M "'M 1 21 MMMB PA OUT 2
- - - -
GNDl MBl
0 0

2 23 MMMB PA OUT 1
-
- - - - -
(.) .,:i

GND2 MB2
- - - -

"'0
-

15 25 MMMB PA OUT 3
(.) (.) (.)

GND3 MB3
��

"'M 22 GND4 MB4 26R3685


24 GNDS MBS 32 MMMB �·�uT� Y/B39
"' Q
27 GND6
31 GND7 LBl 19 MMMB PA OUT 8
34 GND8 LB2 18R3686 •
36 l 7R3687 .• , . I
'
GND9 LB3
-
-
-
- -
-
38 LB4 16R3688 . ', I
- - -
-
-
-
-
-
-

40 20 MMMB p �UT�!\
GNDlO
I
- - -

LBS
"'X BS '8 C3603 L3654 I Q Q Q I 43
GNDll
44 4
GND12
GND13 NCl
45 GND14 NC2 9
46 NC3 10
47 11
GND15
NC4
48
GND16
GND17
49 GND18 GND22 53
50 GND19 GND23 54
51 55
(.) (.)

52 56
GND20 GND24
GND21 GND25

-
-
-
-
- -
- -
- -

VOUTS lV

TD PA

...."'r-­
M
(.)
g
37_Match n _circuit
i

Close to
PA OUT B L3751 TX Bl L3760 TX B

Ill
r-
.-t
tJ
r-

I
tJ

r-
C"'l

-- -
-- --

PA OUT B L3753 TX B2 L3764 TX B41


r-

I I
tJ

PA OUT B L3756 TX B3 PA OUT B 0 C3708 TX B

tJ

I
..:I

'°'°
C"'l

-
- -
- -
- -
-

PA OUT B TX B

Phase2PA1/�Z/
PA OUT B 4 B39 C3706 TX B34 B 9

'°r-
..,

I
tJ

r-

- -
-
-
PA OUT B L3768 TX B

r-

tJ
38 TRX B 1 /B2//B3
share pad:C380l;C3827
share pad:C3802;C3824
U3802
..

-1 "'
CX)

(.)
U3801 PIO 113 B3 LNA6 1 --
X B3 C3801 , C3810 PRX B3 UT vcc f-"- ----1ll·vouTl4 2V85 3812 L3858 IOOOlc.______,,_P=
ENABLE GND
BAND_3_TX/BAND_4_TX BAND_3_RX 3876 5 RF_IN 2 RX,.,__-=1'1ii•
2 5
'I I
.."'
4 RF_OUT 3
X Bl C3802
GND_RF
1 BAND_l_TX BAND_l_RX/BAND_4_RX 4 share pad N

'I I 6 GND ANT


CX)

(.)
3
L_ - - - - - - - - - - - - N
CX)
"'
CX)

r- "'p;
CX)
"'p;
CX)

"'XM Bl/3 TRX ANTO 1


C3803 1 O O ! lc___�..---------_J
I
I
"'
r-­
I
I
"'..:I
CX)

I
\0 N I "'..:I
M
I
>=
100
l<.J
"' -
>=
I
I >=
I
I �
I
- - - - - J
-
-
I
-1
I
I
,C3819 1PRX_Bl_UT 3808 L3855 IOOOl�---=P= ■
RX=--=Eit

share pad:C3810;L3872
share pad:C3819;C3823

"'
Z3804
"'..:I
CX)

6 ANT RX 1 L3872
I -
PRX _B3_Ua

TX 3 C3827
I TX Bil

: •
5 GND3
7 GND4 GNDl 2
8
GNDS GND2 4

"'"'
"'..:I
CX)

-
Z3803
-

XM Bl TRX AN O C3822 6 ANT RX 1 C3823 PRX Bl U

TX 3 C3824 TX_B
5 GND3
GND4 GNDl 2
8 4
Z3805
GNDS GND2
TiM B2 TRX ANT03828
I 6 ANT RX

TX
1

3 TX B
B2_P SP2T

"' 5
7
GND3
GND4 GNDl 2
"'..:I
U,L__ _,,
________
CX) 8
GNDS GND2 4

B34_G1800UT1/�Z/ I\,(\ J :::!


0
r-­
"'" "'..:I
CX)

"'..:I
CX)

Z3806

TXM_B34_or_Cijl.800UT PRX Allt'l!837


I 1

2
UNB_PORTl

GNDl
UNB_PORT2 4 3839 f-- 7�IOOOI
-�L�3�8�� 5 PRX B34 o...Gl800UT

3 GND2 GND3 5
-
>=
>=
=
..
>=
>= - �
-
-

-
"'..:I
-

"'r--
CX)

"'
CX)

-
-
-
-
-
39 TRX B5/7/8

Z3901

XM B5 TRX ANTCI:3901 6 ANT RX


1 3902 L3953 10001 PRX.Jlr

TX 3 TX_B
5 GND3
7 GND4 GNDl 2
8 GNDS GND2 4

...."' "'"'
N

"'M M
..:I
..:I

Z3902

XM B8 TRX ANT 3903 6 ANT RX


1 L3966 3904 L3956 10001 PRX_B8 ■

TX 3 TX_B
5 GND3
7 GNDl 2
GND4
8 GNDS GND2 4

"""' "'M"'
"'M ..:I
..:I

Z3903

XM B7 TRX ANTO C3905 6 ANT RX


1 3906 L3959 10001 PRX_B7 ■

TX 3 TX_B1
5 GND3
7 GND4 GNDl 2
8 GNDS GND2 4

"'"'
"'"'
0
"'"'
r­ M
..:I
M M
..:I ..:I
40 TRX 834/39/40/41

Z400 1

1 3 C4 00 1 TXM B3 4 B3 9 TX ANT
IN OUT
4 NCl GNDl 2

6 NC2 GND2 5

B34/B39 TX 0

Z40 02

X B41 1
INPUT
2
�--� � GROUNDl N
a-- -.:
3
� GROUND2 GROUND3 l-"----,
5

.,,
0
0

B41 TX
N

.,,
LO
0

Z40 03

0'--------�1 UNB_PORT2 f--:!-4---------1---�


C�4�
0�0�
----I �---ef-----=-��·:..:��-=�:-:.;=:�'----------
3
:.:..B
s.,X :::...:
4� "--l UNB_PORTl TXM 8 4 0 TX ANT O

B40 TX
�-�
2�
GNDl

a- -.:
3
� GND2 GND3 ,__.S___

.,,
.,,
LO
0
41 PRX B34/39/41 C4127 C 4128
I

_,190 0_PRX_SP 2'.l!'C413 0 I I


. c 4121 c41 27 fhare pin c4123 C4128 share pi R4101
I
I
I
-
I
-

0410 2
12 PRX SP 2T I �4121 1 OUTPUT1/J2 VCTLl/VC 6 GP IO_l3 8 SEL26_SP. T
I
Z 4101 2 5 C4123 4111 L4166� PRX B2 or j2_34U1
L - - _, I++----=-, GND INPUT/Jl
L -----
JXM_B34_UT_PRX_ANffl 101 I 1 4 C4122 3 4 GP IO 139 SEL27 SP. T_2
UNB_PORTl/IN UNB_PORT2/0UT OUTPUT3',cn2/VC

• 2

i
GNDl

B34 PRX -
3 GND2 GND3 5

p
p
-
-
- "'"'

-
-
....""
...."'"" ..:i

..:i

B39/B41 PRX
r-------------< C4117 =-�B�3-�
>----L_ 4_1_6�<roon�----�P�RX
"'"'
....""

L41 56 Z 4103

XM_B39/ 4l_PRX_ANT 1 • 2 1 IO_DIPLEXED IO_UNBALANCEDl 6


IO_UNBALANCED2 9

"" 2
3
CASE_GNDl
4
....""
0
5
CASE_GND2
CASE_GND3
GNDl
GND2 7 L41 58 410 6 L4162� PRX B41
(.) 10 CASE_GND4 GND3 8

"'....
r-­
""..:i
""..:i

B40 PRX
jRX B40 MMPAC4 10 8 I f-- -�

Z 410 2

JXM B40 PRX ANTCX:: 4102 I 1 UNB_PORTl UNB_PORT2 4 C4107 C 4109 L4 163 ��- -
�P���
RX B��
40 ■
---

2
-=
GNDl

-
==
3 5
==
GND2 GND3
N

=- -
-
-
-
-
- "'"'
....""
"""'
C")
lO

...."" ..:i
....
..:i
...J

-
-
-
Z4202

TXM_G1900_PRX_ANTO C4203 1 UNB_FORTl UNB_FORT2


2- GNDl
,- - -
3 GND2 GND3 5

'<I'
IO
N
'<I'
...:i
U4302

11 GNDl TRXl 37 TXM_B34/B39_TX_ANTO


12 GND2 TRX2 36 TXM_BS_TRX_ANTO
13 GND3 TRX3 35 TXM_B40_TX_ANTO
14 GND4 TRX4 34 TXM_B41_TX_ANTO
Close to U3201 15
16
GND5
GND6
TRX5
TRX6
33
32
TXM_G1900_PRX_ANTO
TXM_B2_TRX_ANTO
20 GND7 TRX7 31 TXM_B8_TRX_ANTO
TXM_B34_or_Gl800UT_P _ANTO

I
21 GND8 TRX8 30
JX_GSMHB C4322 L4363 GS -TXM 18 GND9 TRX9 29 TXM_B39/4l_PRX_ANTO
23 GNDlO TRXlO 28 TXM_B34_UT_PRX_ANTO
('fl C4315J1C4316?/1�?·t1*t3r 38 GNDll TRXll 27 TXM_Bl/3_TRX_ANTO
N
('fl
LO
N 39 GND12 TRX12 26 TXM_Bl_TRX_ANTO
'<I' ('fl 40 GND13 TRX13 25 TXM_B40_PRX_ANTO
t) '<I'
t) 41 GND14 TRX14 24 TXM_B7_TRX_ANTO
LO \0
42
.-I .-I GND15
('fl ('fl 43 GND16 vcc 9 VBAT
'<I' '<I'
t) t) 44 GND17
45 GND18 VBATT 10
GND 46 GND19
VOUT28 1 8 '<I' .-I N ('fl 0
47 GND20 VIO 7 .-I .-I .-I .-I .-I
0\
0
('fl ('fl ('fl ('fl ('fl ('fl .-I
MIPIO_SCLK CHO_TX C '<I' "" '<I' '<I' '<I' "" 0
('fl
5 SCLK VRAMP
8 383 t) lJ lJ lJ t) lJ '<I'
MIPIO SDA 6 SDATA Cl
r-

I
ANT 22 .-I
OJ
.-I
JX_GSMLB C4320 L4361 GS -TXM GSMHB TXM 3 RFIN_H
('fl ('fl
N
'<I' '<I'
GSMLB_TXM 2 RFIN_L CPL 0 17 lJ lJ
N
0
.-I \0 ('fl
N N '<I'
('fl
'<I'
('fl
'<I' , I
R4381 4 RFIN_H_OUT NC 19
lJ t)
, I
R4382 1 RFIN_L_OUT

C4319

r- 0\
OJ OJ
('fl ('fl
'<I' "" \0
.-I � �
('fl
0 LO
('fl
'<I' ('fl
'<I' .,:i '<I'
t, .,:i
I ... N I - -

..: tJ
C!) J
...
L C!l_
J4303 M N ....

2 1 C4304 C4306 C433

C4303
\0
LO
('fl
'<I'
.,:i

0_214_RFO_ANTO_DK!85

LO LO
('fl ('fl
'<I' ""
.,:i .,:i
0
('fl
'<I'
t)

U4301

IV_MB/HB 4 RFINl RFOUTl 2


IN RF 6 RFIN2 RFOUT2 8
J4302 --
G IO_119_SEL07_DPDT 9 1
CTRL GNDl
3
GND2
VOUT14 2V85 10 VDD GND3 5
I "" N I 7
r- GND4
..: tJ
C!) J
...
L C!l_ 0
M N .... ('fl
'<I'
t)

RX ANT

LO
('fl
'<I'
.,:i
.-I N
If) If)
'<I' '<I'
'<I' '<I'

IV_MB/HB C4401
04401

DRX_BS_ASM 10 RFl ANT 9


DRX_BB_ASM 11 RF2
DRX_B34_ASM 12 RF3 SCLK 3 MIPIO_SCLK
DRX_Bl/3_ASM 13 RF4
8 RFS SDATA 2 MIPIO_SDA
DRX_B40_ASM 7 RF6
DRX_B7_ASM 6 RF7 VIO 1 VOUT28_1V8
DRX_B39/41_ASM 5 RF8
GNDl 4
OUT14_2V85 14 VDD GND2 15
0
'<I'
'<I' '<I'
Ll 0
'<I'
'<I'
Ll
45 DRX B1/3/5/7/8
iRX_B l/3_ASM C4 501
I f---�

C4 51 4 502 L4 555 IOOO)c_____�DRX


= �.,_�

CJ

Z4 501

2 CASE-GROUND/GNDl UNBALANCEPORT-LCH r=-1 ----r-�


3 CASE-GROUND/GND2 UNBALANCEPORT-HCH/INPUT_DIPLEX C---/
5 CASE-GROUND/GND3
7 CASE-GROUND/GND4
8
10
CASE-GROUND/GNDS UNBALANCEPORT-HCH/OUTPUT_BANDl r6 -----�
CASE-GROUND/GND6
4 GND UNBALANCEPORT-LCH/OUTPUT_BAND3 9
f---"-------

C4 5 18 4 504 L4 55 8 IOOO)c_____�D=RX=---=a!lit

Z4504
Z4 505

I I

iRX_B5_ASM C4 510 1 4 C4 512 L4 564 IOOOIL_____�D� _=wll.'


RX,,,_ iRX_B7_ASM C4 506 1 •N OUT a 4 508 L4 561 IOOO)c_____�D=RX=---=aflil
UNB_PORTl UNB_PORT2
2 2 ONDl_ --
--
3
GNDl

GND2 GND3 5
- -
--

5 �ND2 GND3 3

..
� ,=
=
=
,= ,=
"'
CJ
"'"'
..
-
-
- -
-
- ,=
-
-
-
-
-
-

"'

..:I
..:I ..
"'
-

Z4 506

iRX BB ASM C4 513


I 1

2
UNB_PORTl

GNDl
UNB_PORT2 4 C4 5 15 L4 567 10001 DRX Pi

3 GND2 GND3 5

"'"'
"'"'
.. ..
..:I
..:I
46 DRX B39/40/41

I I
I I
I I

..
I L4661 C4609 R4682 1 share pin
I "'
0

I
I CJ
I
04601
I I C4602 _ L_4_6_5�
L4661 I O O O I 5 3 R4 682 ,\,"" , _ _ Ejr9
'
f- DRX
I AI AO
I I 1 2 VOOT14 2V85
I GNDl vcc
I
I I 4 6 GPIO 115 B39 L A
I
I
I
I
'I I GND2 PON
==
I
I
I

=
I

"'"'
..
-------------

I
Z4601
I
-------------
..:I
I I -
--------
-
.f'RX_B39/ 4 l_ASM C4601 1 6 -
IO_DIPLEXED IO_UNBALANCEDl
I I I
9 I
IO_UNBALANCED2
I I I
I

'• I ' I
.
2
-
'
CASE_GNDl
I I I
I
3 CASE_GND2 GNDl 4
5 CASE_GND3 GND2 7 I I I
1
I
)<,
10 CASE_GND4 GND3 8 I L4666 C4613 share pin
I
R4687 C4610 share pin
I
=
)<,

I I

.. ..
I I
- - - I ...."' I I
I ...."'
"'"' - -
I
- -

..
"' I
I
CJ I
I
04603 I
I
CJ
I
I R468;) " ' I
I �~B4 ■
..:I
L4666 I O O O l 5 3 4604 L4659 � - -
� D�RX � 1
� '-----"
I
I
AI AO
I I I
- I I VOOT14 2V85 I
I
-
GNDl vcc 2
I I I
I
I I
4 6 GPIO 114 B41 A
II GND2 PON -------- J

� -------------

)<,

)<,

"'
f
I
------------ -
I
I
- ------

I
I
- I
I
I
..
"'"'
..:I
.

"'....
..
"'
...."'
..
I
Z4603 CJ 04602
I
.f'RX_B4 0_ASM: 4 605 1 UNB_PORTl UNB_PORT2 4 L4655 5 AI AO 3 R468 4607 L4665 ��---�D�RX
=-�B�4�'ili

2 GNDl 1 GNDl vcc 2 VOOT14 2V85

3 5 4 6 GPIO 112 B40


GND2 GND3
'I I GND2 PON -------

..
..
"'"'
L_ - - - - - - - - - - - -

"'"'
..
0

..:I
..:I

I
Z4602

.f'RX B34 ASM C4603 1 UNB_PORTl/IN UNB_PORT2/0UT 4 4606 ==B3


L4657 ��------�D=RX i.
= .-

• 2 GNDl

B34 DRX ==
3 GND2 GND3 5
-
=
= -
-
-
-
-
-

=
;a "'"'
"'"'
..
..:I
..
"'
..:I

-
-
-

-
60 NC_POWER

1P 4 P1 3 K3 SG600l <n···'-'
--"U\,,��/ ""-------1 II
VSS_CMU f-""'------''-"''-"'"-"'-
VDD PMU
VDD_BUCK_lP4
ElB VSS_FM _RF_RX C --
r= O ---------'--j II
Gl 2
VDD PMU CLDOl l
VDD_CLDOl_l
VDD_CLD01 _2
..---��7 VDD_CLD01 _3
Kg

�--�N�6---1 VDD_CLD01_4 VSS_GF_ADC r-


F=1=3
------------1l1
���"':':::,"'-;��,'=;==,-----------+--------------------� VDD_CMU_lP 2
06001 VSS_GF_RF_FMLO_VCO Cl 2-----------7
�l r"-"
__.V="-'CMU
==-�I=.00 �,__T
�C=X
= O________ ______, f-----------------L4 II
D PMU RFLDO l Ml

--t- _ VDD_CMU_LDO_TCXO
7
DD

VSS_GF_RF_GR r- -------- - -
F=1=1
,l1
-- - - - - - -
+-- - -
+---------------
-���O, VDD_FM_RF_RX_lP2
D11
VSS_GF_RF_P LL r=------------j
VDD PMU RFLDOl Al

__
C_ _2_1_ II
f- f---=8=1=17 VDD_GF_RF_PLL_VC0_1P2
60
II
1 1 VSS_GLOBAL_RFl c-=
8�
__.__.,.,D,_.P�MU
=�RF
=L �O
�D =l --- ----t- - --t-
---- - - - r
----< - ------�
-A�
7

7 VDD_GNSS_RF_RX_lP 2
--,
VSS_GLOBAL_RF2 r=9- -
VD 7 H

VSS_GLOBAL_RF3 rJ=2 -�
-+ �

NlB
Ml 7 E=1�
­
r 6 -
VDD_NFC_PMU_SEl

rJ=1=2-­
VDD_NFC_PMU_SE2 VSS_GLOBALl
N VSS_GLOBA L2
Ml 3
"' "' VSS_GLOBA L3 rL=l=O__
"' Nl 6
\0 VDO_NFC_PMU_SWIOO
rN=4- -
0

Ml S Nl O
N N
VDD_NFC_PMU_SWIOl VSS_GLOBAL4
VSS_GLOBA L5
N

0 0 0
\0
u
0
\0
u
\0
u
\0
u VDD_NFC_PMU_SWI02
0
.---------,II
Jl 6 VSS_G NSS_RF_RX f-"'"----'
ca ===--"u\fi-, ✓/\'-'"t!l-------1 II
oo, s_.
--c- ·lle--l----1 C600 1 Kl S
VDO_NFC_RF_PA SG6002 A

VBAT SY S Hl S
VDO_NFC_RF_REC N
VDD_NFC_VBAT vss_r R rG=1=6-----------'-111· N O IR--> Delete SG 5 20 5

PMU 1P 4 Al 6 Q) K- l3 -
VSS_NFC_RF_ANAl f-"=" -
L l2
VDD
VDD_PMU_1P4
VSS_NFC_RF_ANA 2
u

P MU !IS
----------------------------------
- - -
-----,frC,i,i?;;-,, - -
----;� �2,l VDD_P MU_CLDOl
Nl H1 3
VDD CLDOl

_ _ ---j VDD_PMU_CLD02 VSS_NFC_RF_GR r=---1 ------------1 1


Rl 4

1

VSS_NFC_RF_PA Ll 6
C6026
I
___

I
f-

O'..____ ------------------ ------------------ ---------- �


M r=---,
--�VD
�D ,'_�
P� ��P�AL
�D � C=l=4I VDD_P MU_PA LDO
+- Q)
MU

VSS_PMU_AGNDl Al4
13 VDD_P MU_RFLDOl
.µ VSS_PMU_AGND2 rM=1=1-�
P MU ,
-Al_ _2
17 s::
VDD PMU RFLDOl 8

f--------------------------------------- VDD_P MU_RFLD02 VSS_PMU_AGND3 r =1 -� =


f- - ---------------------------------------

� - -------jl
-
VDD RFLD02 E 4
1 H I

f- �C=6=0=�_____, -f- -�R=1=27 VDD_P MU_SYSLDO VSS_P MU_P GND f-'-,,_7 S"Ga,6,,0,,0"'3"-""' . y'\-l'"---
. "--
'--' U\,�y --,
~ 8�-
27 P1
II
VSS_PMU_PGNO_TEST r
II
1 I _ P_lS ,
� _ _ , VDO_PMU_VBAT2
R1

VOUT2 1V8 R608 1, f------------------------------ _Dl_ _SI VDD_PMU_VDDIO


---r - VSS_SRl rA=1 -­ =8
VSS_SR2 rN=2---
._:V
�O�',1_:!
UT� 2'..,
l��8'-------- ------- -�P=l=ll VDD_P MU_VDDI02
+-----t--+---------t-----jt--------------------- ]
V
8=
VSS_WB_ABB_ADC_DAC c-= - -­
VBAT SYS
5

..- +
- -
--+ - ---------------
-------�
- ..-�c�1=61 VDD_P MU_VPH VSS_WB_RF_GR rG=6- -
E4 -
VSS_WB_RF_LNA f-"'� -
­

VDD PMU RFLDOl KS VDD_WB_ADDA_1P2


c-=8=5--­
E2
VSS_WB_RF_MIX_UPC2G
FS
VDD PMU RFLDOl
VDO_WB_RF_LNA_lP2
VDD PMU RFLDOl
VDD_WB_RF_LPF_1P2 VSS_WB_RF_PA2G_A rD=3- -
­
VSS_WB_RF_PA2G_B r8=3---
Bl
VDD PMU PALDO
---------------� VDD_WB_RF_PA2G_3P3_1P4
1
A2

H3
C2
VDO_WB_RF_PPA5G_3P3 VSS_WB_RF_PA2G_BL1
N 5 WIFI-->H : Nf,, VSS_WB_RF_PA2G_BL2
--+- +-+---f--+- +
--- --+----t---j-- -
--t--t------j -t--l--------- ----1 - VDD_WB_RF_P LL_1P 2
O G 3

EB -
�VD'l,)'-D�P�MU""'.�RF
=L�D�O=
_
l
_ _
VSS_WB_RF_PLL f-"'"-- --<
�VD
,'!1;D
Q_�
P� !!!_JRF
�L �D0�1� ---
Q2 - - - -------------< f------��
+-----j--+----t---t-- -t--t--+-+--+--t- t- -t t- 7 VDD_WB_RF_UPC2G5G_1P2
MU A4 F3
VSS_WB_RF_PPASG f-=-�-----1

---j- - - +
- -+
- ---J
- -+ - -+--+----J--+- +
- t- - -----ir-t- r - -- - - - -1 r -�A=57 VDD_WB_RF_VCO_LOGE N_lP 2 VSS_WB_RF_PPASG_BL rG=2 -�
D5 -�
�VD'Ql,Di_,P�MU"I.J.�RF _ _ _
�L�D�0�2
VSS_WB_RF_TRAP f-"'"--
----1

__!I����;_,��,_______+------j--t---+.:: t-----j--t--+-t-------J----t----t-----t----t--------- - __�~0 VDDIO


.-<,----
VOU T2 lV8 Rl
1 1 1
.-io
0 VSS_WB_RF_TXS G _M IXBUF r'"--�
G4
f---------7 I I
\0
C6 SG6004 oo,V"'e..Y,,r11
Q
VSS_WB_RF-VCO_LOGEN
II '
co
N
0
"'
0
0
"'.-<
0
"'
N
0
"'"'
0
"'"'
0
"'"' \0"'
0
"'
0
\0 "' "'\0
r­ co
0
"'N "'"' "'"'
0
"'"'
0
\0
u
\0
u
\0
u
N \0
u
\0
u
\0
u
\0
u
0
\0 u 0
\0 u
0
\0
0
\0
\0
u
\0
u
u u u u

T SY S

N
0
0
\0
Q
61 NC--BB

0 013 CODEC BT FM PCM


BF_PCM_CLK/FM_I2S_CLK NFC_RF_RXl_ANTl Kl7
0 012 CODEC BT FM P CM
BF_PCM_OI/JTAG_SEL NFC_RF_RX2_ANT2 LlS
0
0
011
014
CODEC
CODEC
BT F M
BT F M
PCM
PCM �
F_PCM_OO/FM_I2S_OO
F_PCM_SYNC/FM_I2S_WS
06001 NFC_RF_SWIOO Ll4
NFC_RF_SWIOl Jl4
GPIO 210 BT WAKEUP iaR BFGN_HOST_WAKE_UP NFC_RF_SWI02 Nl4
.....
.....
CX)
GPIO 076 UART4 RTS 1 BFGN_UART_CTS NFC_RF_TXl Hl7
(!)
a: GPIO 075 UART4 CTS Ni>3 BFGN_UART_RTS NFC_RF_TX2 JlS

GPIO 078 UART4 TXD Pl BFGN_UART_RX N Rl6 BUCK


PMU_BUCK_LX �!:..!!........!::.: LX -�
=::..==- L6151 VDD PMU lP
-
. GPIO 077 UART4 RXD R2 2 1
BFGN_UART_TX
GP IO 035 WIF I EN TP6102
� PMU_PWRON El2
J4 CLK_REQ_OUT 0 !:..:!........!:.
013
PMU_REFBP � P MU:,::_=..,
.e:, RE ==- =;
F B P
II·
:;,a-:i""n",,1
C6103
f--- ---l

ClS FEM_SG_EX_LNA_EN RTC_CLK R4 CLK32 BFGN


..-t
NO 5G WIFI-->C18,B15,B17: NC
B15
B17
FEM_SG_RX_EN
FEM_SG_TX_EN CV
WB_RF_RFI_SG Hl --
WB_RF_RF0_2G l--..!!."-
01 -WB
---,---=RF
c=--=
RF=I-=o-2;:;-G;:--- II' NO 5G Wi F i-->Hl£•Fl: GND
0
NO F M-->B9: GN
FM RF IN B9 FM_RF_IN

ns WB_RF_RFO_SG Fl

WB_RF_TEST_O E6
JS 07
GNSS LNA EN 017
GNSS_BLK_EN/RF_TX_TEST_2
GNSS_LNA_EN
M WB_RF_TEST_l
WB_RF_TEST_2 GS
CV WB_RF_TEST_3 F9
GNSS RF RFI AS GNSS_RF_RFI
WL_HOST_WAKE_UP GlO GP IO 213 WL WAKEUP
GPIO 098 CDMA GPS SYINC GPIOO/RF_TX_TEST_l H
JlO GPI01/RF_TX_TEST_3 WL_SOIO_CLK P7 GPIO 061 WL SDIO0 CL
u WL_SOIO_CMO M7 GPIO 062 WL SDIO0 CMD

SDIO
GlS IR_TRX
NO IR-->Gl8: NC ti) G P IO 063 WL SDIO0 DATA0
WL_SOIO_OO P9
GPIO 092 ISM PRIORI'l:ll; ISM PRIORITY/RF_TX_TEST_O H WL_SOIO_Ol RS GPI O 064 WL SDIO0 DA
GPIO 089 LTE INACTIVlill LTE_INACT/COE_UART_TX/SSI_CLK � WL_SOI0_02 M9 GPIO 065 WL SDIO0 DA
GPIO 090 LTE RX ACTIIW LTE_RX_ACT/RBIAS WL_SOI0_03 NS GPIO 066 WL SDI O0 DATA3

GPIO 016 GNSS PWM Sr.NC GNSS_REF_CLK/GNSS_PWM_SYNC XIN L2 XIN


NFC_RF_ATBO
·II FlS GNSS_PPS_INOUT/GNSS_CAL_REQ
NFC_RF_ATBl
XOUT

NC_l
GPIO 091 LTE TX ACTIIW LTE_TX_ACT/COE_UART_RX NC_2
SSI_OATA/XLOO_MOOE

TCXO 38.4MHz
TCXO6101
G
VDD CMU LDO TC 4
_n_n__ 3 C6105 1--- �X� I�
N-■
VCC OUT 1-=-.;:;..c...;:;..c..-'-t

I
1 GNOl/NC GN02 µ2___
62 NC --FE --WIFI--2G

....N
M
-
....
0
N
\0
u ..,
\0

2G RF 0 Z 6202

f------1'----i
\0
N
Z 620 3 \0 II GND COMMON f-�---
3 =
--C 03 ANT WIFI s
..:i
WB RF_RFI0_2G � - -
�� HIGH_BAND LOW_BAND f- -�
C620 1
INPUT OUTPUT 14- - - - - - - ----i
- �- - -'C
- �6�2
�0�2
"'----1
4
,-� ------i GROUND!
f-- -----i GROUND2 GROUND3
2 2

"'
r--

....
N
\0
M
\0
1 \0
1 ..:i
N N
\0 \0 -
-

..:i ..:i -
- -
-

-
-
- GND
-

GNSS
GNSS_RF
64 NC-- GPS

VDD_CMU_LDO_TC>K6453
0
'<I'
\0
lJ
GNSS_RF
6419
GNSS_LNA_
GNSS Z6401
U6401 2
L6 5, ,¼- - �7
-
4
UNB PORT2 UNB_PORTl 1-------c±--�
GND ENABLE
GNSS_RF_RFI Z6410 vcc RF IN GNDl !-------,�- -
,
L6456 4 UNB_PORT2 UNB_PORTl
1 RF_OUT GND_RF
5 ----, GND3
�- GND2 1------c:S-- -
GNDl
2 N 1
\0
5 GND3 GND2
3 \0

GND
D7002
2 1
C700

10
0
0 2 1 I

u D7001

■ VBAT_SYSR.7001 /\ L7001
A3

B3
VHV

L BOOST
VREG

OUTA
A2

Cl
C7004
DIV_outA
I I

OUTB Bl main_outB
Al
VBAT_SYS 07001 OUTC
C3 VDDA
CLK D3 MIPIO_SCLK
VOUT2 1V8 Dl VIO DATA D2 MIPIO_SDA
.-I C2 B2
0 GND ATEST
0
r-
u
N
0 r--
0 0
r- 0
r-- CX)
u ()
0
0
r--
C7117

div near gnd L7106


Pl
1
J7115
L71 11

C7112 U7104 Pl
1
109 C7119 J7113
1 RFl VDD 3 OUT14-2 V85

I 9 RF2 VIO 4
VOUT28 1V8 Pl
1
L7104 8 6 IPIO-S DA J7114
RF3 SDATA
('fl 2 GNDl SCLK 5 IPIO-SCLK
.-I
.-I 7
r- GND2 Pl
u 11 10 1
I I I'
GND3 USID ID '<I' r-
GND 0 0 0 0 J7101
.-I .-I .-I .-I
r- r- r- r-
u u u u
Pl
1
J7102

Pl
- - 1
J7109

NFC"?'J:t1J:ttl��lfohm
L7107

,I
TP7104 TP7105 U7101 .... <
18)
M N 1 2
....Q ....
' '

1 GND

Q
8
RFl_l RF2_1
2 RF1_2 RF2 2 9 � �

div far gnd


G
G
0_127_SEL15
0_128_SEL16
5 CTLl RFC 10 07105 '<I'
.-I
CTL2
,'
3 4 CTRLl RFC 8 .-I
r-
V UT14-2v.��
GNDl TP7
4 0 0 O I L7105

I
VDD GND2
0
.-I
C7118
0
.-I
0
.-I .-I N
j
r- r- r- ..:I N
u u u -
- I>:

I O O O I L7108
� �

r-

-
- -
- -
-

U7103

� __.B,_.,2"--j RF2 RFl 1-'B"'-'l.__ _ _


_

f-----1------"-A"'lc--l
DC_B IAS TP7101

U7102 LB7101 DIV_outA


- �B�3� RF2
- RFl r-_l�
B - - 2

c_---+- A2'---- DC_B IAS

f------------!I I '
-
--=
C7101

DIV Ant Feed

L7103 L7102 C7102 DRX_ANT


.-I

u
('fl
0

108

NFC_match

TP7102
-- -"'L7
(®f-- u _,,l__,.,14
� �1 C7111 '------ - _______j
- I ,
TP7
w

combo,77·, [NFC7{,t}f}7B0Mlt

TP7202
�7221 C7223 1 O O O 1
I
.
I IZJ

C7222

2.4G/5GWiFi&GPSAnt

ANT_WIFI_GPS
L7201 7212 TP7

C7202 C7201

...
"'r-
0 0

r-
u u
"'r-
-
- -
- u
-
- O ••-
, ••
ll½uiiI.B·AOA
-
•• '-
°
r11>?77 'r1BOM,l!f

*' NFCtf-':;'t,¥1l Jtitl'J7 ri!I!.?


1

...
0
M
r--
0:
M
0
M
ll½i.iD¾JE•·AOA
;, -
"'0 -

M
NFC match L7303 , O O O , ;, 3 \_A•·). 4
GND C7 3 08 RXN ■

"'0 ...
0 2
(•Y•1•
M M
r-- r--
CJ CJ U73 01 N
NFCl NFC2 0
(")
r-­
cr:
L7301 TXl

__J__GND

f----- I I '

r-­
CJ

L7302 TX2

(")
0
(")
r--
cr: �
_ _
_-C,_,7_..3__,
Q,_
7 _J
f- -RXP
-- - ----'""''----- •
-■

You might also like