You are on page 1of 10

Integration, the VLSI Journal xxx (xxxx) xxx

Contents lists available at ScienceDirect

Integration, the VLSI Journal


journal homepage: www.elsevier.com/locate/vlsi

A survey on fault injection methods of digital integrated circuits


Mohammad Eslami a, *, Behnam Ghavami a, Mohsen Raji b, Ali Mahani a
a
Department of Engineering, Shahid Bahonar University of Kerman, Kerman, 7345199665, Iran
b
School of Electrical and Computer Engineering, Shiraz University, Shiraz, 71348-51154, Iran

A R T I C L E I N F O A B S T R A C T

IndexTerms: One of the most popular methods for reliability assessment of digital circuits is Fault Injection (FI) in which the
Reliability assessment behavior of the circuit is simulated in presence of faults. In this paper, we present a survey of FI techniques as well
Fault simulation as classifying these techniques considering different aspects and criteria to bring out their similarities and dif-
Fault injection
ferences. The goal of this paper is to help the researchers and reliable circuit designers in gaining insights into the
Digital circuits
state-of-art in FI techniques and motivate them to further improve these techniques for more efficient reliability
evaluation of digital circuit designs of tomorrow.

1. Introduction Then, by using the appropriate analytical approaches, required parame-


ters such as the circuit failure rate and the other parameters related to the
Fault has become an inevitable member in electronics world. Pres- tolerance of the circuit against faults are calculated. The fault injection
ence of faults is usually originated from a particle strike to a chip surface techniques can be categorized into three main groups:
or environment radiation such as cosmic rays [1]. The probability of fault
occurrence depends on many factors such as the environmental condi- i) hardware-based (physical) fault injection approaches
tions, meters above sea level, and amount of the radiation in the opera- ii) simulation-based software fault injection approaches
tional location [2]. So, establishing proper conditions for fault generation iii) emulation-based fault injection approaches
is a real threat for reliable and safe operation of an electronic component
[3–5]. Hence, to ensure the correct operation of an electronic component, In hardware-based fault injection approaches, a hardware framework
fault tolerant techniques should be exploited. is needed to simulate the faulty condition of the design. Comparing with
Several methods have been developed to increase the reliability of the the software-based approaches, these approaches are better in speed but
electronic components against probable faults. These methods have have more complexities in implementation and impose significant costs
different complexities, different amounts of time needed for imple- to the designers. In contrast, software-based approaches are less expen-
mentation, and a wide range of costs depending on their applications sive, simpler to implement and do not need any extra hardware, but have
(real time, critical, and normal applications) [6–8]. significant computational overheads [16]. Emulation-based approaches
Before using techniques for recovering the circuit from the faulty generally use Field Programmable Gate Arrays (FPGAs) for fault injection
condition, it is necessary to detect the sensitive areas of the design; that help them to take advantages of the acceleration provided by FPGA
generally speaking, if a fault appears in a sensitive area, it has a higher during the fault injection process.
chance to be transformed to an error and affects the normal operation of In this paper, we study and compare the features of different fault
the circuit. So, by identifying the sensitive areas and exploiting appro- injection approaches in digital circuits (Fig. 1). The rest of the article is
priate fault tolerant techniques, unnecessary overheads such as compu- organized as follows: In section II, we study the fundamental concepts
tational overheads and costs will be avoided during increasing the about fault, error, and failure. After that, in section III the software-based
reliability of the circuits. fault injection techniques are investigated. Section IV studies the fault
One of the most common approaches to identify the sensitive areas injection approaches based on FPGA or the emulation-based fault injec-
and measuring the reliability of the circuits is the fault injection tech- tion approaches. In section V, the hardware-based fault injection ap-
nique [9–15]. In this technique, faulty condition is simulated for the proaches are explored. Section VI provides a discussion and finally,
circuit by injecting a fault into the circuit and tracking its impacts in it. section VII concludes the paper.

* Corresponding author.
E-mail addresses: eslami@eng.uk.ac.ir (M. Eslami), ghavami@uk.ac.ir (B. Ghavami), mraji@shirazu.ac.ir (M. Raji), amahani@uk.ac.ir (A. Mahani).

https://doi.org/10.1016/j.vlsi.2019.11.006
Received 29 July 2019; Received in revised form 11 October 2019; Accepted 11 November 2019
Available online xxxx
0167-9260/© 2019 Elsevier B.V. All rights reserved.

Please cite this article as: M. Eslami et al., A survey on fault injection methods of digital integrated circuits, Integration, the VLSI Journal, https://
doi.org/10.1016/j.vlsi.2019.11.006
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

Fig. 1. Different approaches for fault injection in digital circuits.

2. Fault, error and failure in hardware voltage level of the generated SET will be decreased more. During
propagation in the circuit, the voltage level of the SET may be so
Generally, the main threat for a system reliability is the fault-error- decreased that the SET is not recognized in the input of the next gate or
failure chain. Fault may be generated from a particle strike to the chip the latching element of the circuit as a valid voltage pulse. In this case,
surface or an electric migration between the transistor interconnections. the SET is dissolved in the circuit because of the electrical masking effect.
The first case is an example of a transient fault and the second one is an
example of a permanent fault in the system. Permanent faults are those 2.2. Timing masking effect
that remain in the system until a recovering action is done in order to
replace the faulty component. In comparison, transient faults are those If a SET reaches to a latching element of the circuit without being
which temporarily affects the system and their effect may lead to an error dissolved due to electrical masking effect, we cannot still be sure that the
or may be dissolved in by the system. A transient fault cannot be a SET will be stored in the latching element. In order to be stored in the
directly disrupt the normal operation of a system, but if it is converted to latching element, the SET should reach at the input of the element at the
an error, this generated error may lead to system failure in some specific clock edges considering the setup time and the hold time constraints of
conditions. When a particle strikes to the chip surface, a transient voltage the latching element [1] (Fig. 3). Hence, if a SET reaches to the input of a
pulse called Single Event Transient (SET) may be generated at the output latching component of the circuit outside its latching time frame, it will
of the struck gate [9]. SET may be propagated through the logic gate until not be stored and it is dissolved in the circuit due to the timing masking
it reaches to one of the latching components of the circuit and be stored in effect.
it. In this condition, the produced error is called soft error. There are some
barriers through the path from the fault location to the latching com-
ponents of the circuit that may prevent the fault to become an error.
These factors are known as triple masking effects which includes electrical,
timing, and logical masking effect [10]. In the following, more details are
provided about these three masking effects.

2.1. Electrical masking effect

When a SET occurs in the circuit, it has a specific level of voltage.


During propagation in the circuit and by passing through the several
gates of the circuit, the voltage level of SET rapidly decreases because of
the electrical attenuation [16] (Fig. 2). As the path between the fault
location and the latching element of the circuit becomes longer, the

Fig. 2. A SET dissolved in the circuit due to electrical masking effect. Fig. 3. A SET dissolved in the circuit due to the timing masking effect.

2
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

2.3. Logical masking effect triple masking effects. The analytical approaches take advantages of the
mathematical formulations such as Boolean satisfaction or probability
It is possible for a SET to be dissolved in the circuit due to the logical rules to evaluate the impacts of triple masking effects. In the following,
value of the inputs of the gates which are propagating the SET. As shown we briefly describe the approaches of each category.
in Fig. 4, the generated SET in the output of gate G1 has no effect on the
output value of gate G4 because it's another input (and thus, its output) is 3.1. Dynamic approaches
zero without considering the SET value. In this condition, the SET dis-
solves in the circuit because of the logical masking effect. There are several software-based approaches presented in the dy-
If the SET is not dissolved due to the mentioned triple masking effects, namic category. In Ref. [17], the proposed fault injection method uses
it will be stored as a soft error or Single Event Upset (SEU) in the circuit two type of element pre-characterizations called logical
[13]. As one of the most common error occurring in the digital circuits is pre-characterization and flip-flop pre-characterization. In the logical
the soft error, a great part of researches has been done to evaluate the pre-characterization, different current pulses are injected in the output of
tolerance of designs against soft errors including different approaches of different gates for generating different pulse widths of SETs and then, the
fault injection. voltage-current characteristics are extracted from the standard library for
the gates and they are stored in a table called the voltage-current char-
3. Simulation-based software fault injection acteristics table. In the flip-flop pre-characterization, the voltage pulses
with different pulse widths are injected into the inputs of the flip-flops.
Simulation-based software fault injection approaches have been used Then, a counter is used to store number of the times that the transient
widely because of their simplicity and versatility. Although most of the pulse is latched. In the next step, this information is stored in a table
software-based fault injection approaches are not the expensive ones, but known as the timing table. To compute the logical masking rate of the
they take a lot of time to complete the simulation process. Hence, re- gates, logical simulation is performed for different input vectors. A
searchers have been trying to accelerate the software-based approaches similar approach is presented in Ref. [18]. In this approach, first the
by applying different techniques. pre-characterization of the logical gates is computed and then, the error
One of the most important parameters in evaluating the reliability of rate of each logical gate is computed for each input vector by circuit
the circuit is the Soft Error Rate (SER) [17–44]. As there is no limitation simulations and they are stored in a specific table. To compute the error
for considering the triple masking effects in software-based fault injec- rate of available paths from each gate to the circuit flip-flops, logical
tion approaches, the SER of the circuit can be computed with high ac- simulation for different inputs of the circuit is performed. In this condi-
curacy. Although in other two fault injection techniques tion, the SER is computed only for a group of paths. So, the SER in other
(hardware-based and emulation-based) it is tried to consider the triple paths are approximated using the available SER of the closest path.
masking effects during soft error generation in the circuit, but Another approach based on the pre-characterization is represented in
software-based approaches are still the best in the aspect of accuracy. Ref. [19]. This approach uses HSPICE tool for simulating the circuit in
The software-based fault injection approaches are divided into two order to extract the probability distribution of the transient pulse widths
main categories: for different situation of particle striking to each gate. For this purpose, a
large number of the samples from the paths that include different logical
1) dynamic approaches gates are generated and then, for each generated path, different pulses
2) static approaches are injected and propagated through it. By using the information of the
propagated transient pulses, several tables are created for keeping the
In dynamic approaches [17–28], first one (or more than one) fault(s) information about the electrical, timing, and logical masking parameters.
is inserted (injected) into the circuit and then, the circuit is simulated Finally, for each injected pulse, the error rate is obtained for each gate by
with different input vectors to compute the number of faults that are referring to this table in each fault location.
latched and converted to the error. Of course, in some of dynamic ap- Another framework based on pre-characterization approach called
proaches, element pre-characterizations are used for simulating the cir- “SEAT-LA” is presented in Ref. [20]. SEAT-LA supports the designs which
cuit. On the other hand, in static approaches that are also considered as are based on cell libraries. These libraries are characterized based on the
the approximate approaches, two group of symbolic and analytical soft error rate. Also, this framework takes advantages of the analytical
methods are used. In the symbolic approaches, specific data structures equations for modelling the electrical pulse propagation to the input of a
such as matrices or graphs are used to keep the information about the flip-flop.
Another approach named “SERA” is presented in Ref. [21]. In this
approach, the logical masking ratio of each fault location is computed
using the graph theory and the fault simulation. Then, by making
equivalent paths with an inverter chain and performing the circuit level
simulations using the SPICE tool, the electrical and timing masking ratios
are obtained. In Ref. [22], an approach for computing the electrical,
timing, and logical masking probability is presented using the random
input vectors, but it is so time consuming for the large circuits.
An approach presented in Ref. [23] aims to avoid the unnecessary
details of injected faults to achieve a better speed-up during the simu-
lation process. For this purpose, the authors categorize the faults sets into
three subsets and for each subset, they choose the fastest abstraction level
to inject faults (i.e. transfer level, instruction-set level, and host-compiled
level). Furthermore, it is possible to switch between the abstraction levels
during the fault injection campaign for better acceleration. A similar
approach presented in Ref. [24] tries to achieve a better speed-up by
ignoring unused register files in order to limit the fault space. In this
approach first a golden run is performed in the target circuit to obtain the
fault-free values from the outputs. Then, faults are injected in a parallel
Fig. 4. A SET dissolved in the circuit due to the logical masking effect. fashion. This makes the fault injection process to be performed much

3
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

faster specially in manycore systems. Finally, the results are compared 3.2.2. Analytical approaches
with the golden ones to assess the reliability of the system. In this group of approaches, the probabilistic and the mathematical
In Ref. [25], fault injection is performed on the LEON3 micropro- rules (i.e. the Boolean algebra) are used. So, these approaches can be
cessor model using a novel iterative algorithm. In this approach, the divided into two categories: 1) approaches based on the Boolean satis-
number of the experiments are defined dynamically based on estimation faction and 2) the probability propagation approaches.
of the experiments leading to failure. So, fault injection can be stopped by In the approaches based on the Boolean satisfaction, first the SER
the user anytime in order to estimate the error rate of the circuit. estimation problem is changed to an equivalent Boolean satisfaction
Although this approach supports only one fault model (single bit-flip), problem and then, by using the Boolean satisfaction solving tools, the
but it has an acceptable accuracy among the similar approaches. SER is obtained. An approach presented in Refs. [33,34] uses the Boolean
An approach based on the parameterized descriptor is presented in satisfaction. In this approach, the gate level description of the circuit is
Ref. [26]. In this approach, transient pulses are defined using a Weibull traversed and the probability of an error in the output of each gate is
distribution. To estimate the SER, the transient pulse descriptor is computed using the Boolean satisfaction rules.
injected into each node of the circuit and then, the descriptor is propa- Another group of the analytical approaches are based on probability
gated through the paths in the design. SER estimation approaches based propagation. In these approaches, the probability of the fault occurrence
on the signature [27,28] are also categorized in this group. In these is propagated in the critical paths using the signal probabilities, instead of
works, signature is defined as the bit pattern generated in the node of the propagating the fault itself.
circuit by applying different input vectors to the primary inputs of the An analytical approach for SER estimation considering the logical
circuit. To extract the signature, it is needed to simulate the circuit using masking effect is presented in Refs. [35,36] using the probability prop-
the random inputs. agation concepts. To consider the effect of the re-convergent paths, this
work is developed in Ref. [37] using the correlation coefficients of the
3.2. Static approaches different gates of the circuit. However, in this approach, the electrical
and timing masking effects are not considered.
As mentioned before, symbolic approaches (based on the specific data In Ref. [38], the model presented in Ref. [35] is developed consid-
structures such as matrix or the binary decision tree) and the analytical ering the electrical and timing masking effects. For this purpose, the
approaches (based on the algebra or probabilistic theories) are used in electrical masking model presented in Ref. [20] and the timing masking
static approaches. These approaches are categorized as follows: model presented in Ref. [39] are used. This procedure has been devel-
oped by presenting a model for considering the re-convergent paths in
3.2.1. Symbolic approaches Ref. [40]. In Ref. [41], an approach based on the signal probability
In this group, specific data structures are used to maintain the in- propagation using a new data structure. In this approach, the probability
formation about logical and electrical masking effects. In Ref. [29], an of a signal to have a correct or incorrect value is shown by a 2  2 matrix.
approach is presented in which the circuit under study and the transient The probability of the output of each gate is defined regarding to matrix
pulses are both defined as a matrix. Then, the effect of the transient pulse that includes the input probabilities and the transmission matrix of that
on the circuit is investigated using some presented operators on these gate. The transmission matrix shows the probability of the correct/in-
matrices. For this purpose, all responses of the gates to the different correct operation of the gate and depends on the reliability of each logical
pulses are pre-characterized and stored in a matrix. gate. Propagation of the input signal probabilities of a logical gate is done
In some other approaches of this group, a fault is defined as a sym- by multiplying the matrices that include the input probabilities and the
bolic function of the logical gate inputs. In order to study the logical transmission matrix of that gate. This continues until reaching to the POs
masking effect, the transient pulse is modelled by a Binary Decision Di- of the circuit and finally, the probabilities of correct and incorrect out-
agram (BDD). For modelling a function using the BDD, the outputs of the puts are obtained.
function for different values of the inputs are kept in the terminal nodes The presented approach in Ref. [42] tries to make a tradeoff between
in forms of the available values. So, in order to obtain the value of the the accuracy of the estimation and the amount of information needed for
function for a specific input vector, it is needed to start from the root node SER estimation. For this purpose, first it suggests a model for estimating
and select each branch based on the input vector to reach to a terminal the SER of a gate without considering any of the triple masking effects.
node. For modelling the triple masking effects in the BDD, the transient This model is presented in Ref. [43] and it is obtained by performing
pulse information is kept in the related data structures in a way that each some experimental measurements and simulations. Then, the triple
terminal node, not only contains the output value of the logical function, masking effects are considered in this model incrementally. For the
but also have the information about the transient pulse related to this electrical masking, a simple assumption is considered in which, the
output value. To propagate the fault, the BDD is constructed from the amount of the critical load that generates after the strike is considered to
fault location to the Primary Output (PO) of the circuit for each fault and be so high that the generated pulse will pass through the circuit paths,
finally, the SER is estimated. without being attenuated and the electrical masking has no effect on the
In Ref. [30], an approach is presented to analyze the susceptibility of a transient pulse. For the timing masking, the model presented in Ref. [44]
combinational circuit to soft errors based on the BDD and the circuit is used. To compute the SER of a gate considering the timing masking
partitioning. In this approach, transient pulses are coded by a BDD and effect, first the SER is computed for each of the possible values of the
propagated at the gate level. Another similar approach based on BDD is critical load without considering this masking effect. Then, this value is
presented in Ref. [31]. In this approach, the BDD is used to keep the multiplied by the probability of latching the pulse generated from this
information of the critical paths and the soft error parameters. load and finally, all values are added together.
To compute all three masking factors uniformly and consider the ef-
fects of the re-convergent paths in the fault injection process and the SER 4. Hardware-based fault injection approaches
estimation, an approach is presented in Ref. [32] that uses the BDD and
the Algebra Decision Diagram (ADD) simultaneously. In this approach, Hardware-based fault injection techniques also known as physical
the gates that have the largest impacts on the reliability of the circuit are fault injection approaches, are the fastest but the most expensive ap-
chosen in order to be resized. Then, the SER of the circuit is computed proaches among all fault injection methods [45–52].
and it shows better results after resizing the gates. A public infrastructure Physical fault injection is performed either by contact-based ap-
based on the probability transmission matrices is presented in Ref. [33]. proaches in which the external interface of the integrated circuit is per-
The ADD is used to build the probability transmission matrices in this turbed (i.e. pin-level active probes and socket insertion), or without
approach. contact approaches in which some parts of the design are bombarded

4
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

with the external energy resources (i.e. beams of laser, heavy ions, pro-
tons, and neutrons) to simulate the real faulty environment for the digital
components.
In both of the mentioned groups, an external hardware environment
is needed to implement these approaches. Hence, they are extremely
expensive and the labs that are capable of physical fault injection are
limited to a few countries in the world. Moreover, beside the risk of
damaging the design under the test, a fabricated piece of the hardware
should exist for the fault injection experiments leading to a real challenge
in the time-to-market for the designers and the manufacturers. Further-
more, in these approaches, it is not possible to inject faults in all desired
locations of the component leading to wrong or inaccurate results during Fig. 5. Physical fault injection using a) high intensity and b) low intensity
the experiments. However, these approaches are very fast and if the ex- laser beam.
periments are done in the correct manner, the obtained results will be
very close to the real environment. beam is usually preferred to the heavy ion beams. The main reason is
In contact-based fault injection approaches, the fault injection process that, with technology improving, transistor dimension has decreased
is usually performed using a pin-level tool in which faults are injected such that transistor sizes will be less than the diameter of radiation
through the probes connected to the pins of the IC (i.e. processor chips). beams. This makes a group of components in the circuit to be uninten-
Example of a pin-level fault injection is introduced in Ref. [45]. In this tionally exposed to radiation of the beams when radiating other com-
approach, the reputation of faults is completely controlled by user and it ponents in the circuits. In Ref. [50], an approach is presented for physical
can be continuously performed if needed. The architecture of this tool fault injection to overcome the problem of the laser beams size. In this
contains a trace memory collecting the data on the processor bus after approach, a group of the gates are bombarded with the laser beam
injecting the faults to the target processor using the insertion technique. instead of one gate. Hence, different chains of the transistors are bom-
So, it does not need any feedback circuit and this makes the overheads barded using laser beams with different intensities. It is obvious that,
more acceptable. with increasing the intensity of the laser beam, the size of the laser beam
Another contact-based fault injection tool [46] uses both active will be larger [50]. The intensity of the laser beam is not the same in
probes and socket insertion to inject faults to the target circuit. In this different parts of it; i.e. the highest intensity is related to the center of the
approach, multiple faults can be injected to the circuit as well as the beam [50]. By putting the circuit in front of the laser beam with different
single ones and many fault models (stuck-at, open, bridging) are sup- ranges of intensity (Fig. 5), the operation of the circuit is affected by the
ported to make the results more realistic. It also enables the user to injected fault(s) and the output signal value may be changed considering
control the frequency and the duration of the faults. to the radiated beam intensity.
While the available contact-based approaches are acceptable due to
their realistic environment, but they have been used rarely in recent 5. Emulation-based fault injection approaches
years due to the increase in operating clock frequency and density of
newer generation of the ICs. Fault emulation techniques try to benefit from the speed of the
On the other hand, in the physical fault injection approaches without hardware-based approaches and the accuracy of the software-based ap-
contact there is a device that generates the beams that may corrupt the proaches. Hence, their implementation phases usually consist of the both
normal operation of the circuit for simulating the particle striking to software and hardware phases. Emulation-based fault injection ap-
some parts of that. These beams are generally consisted of laser, heavy proaches do not need any special facility used in physical fault injection
ions, protons, and neutrons. Also, the intensity of the generated beams is approaches making them more cost effective. Another advantage of
adjustable in order to reduce the power of the beams, since by performing emulation-based fault injection approach is that, there is no limitation in
a high-powered beam radiation to the sensitive parts of the circuit, the choosing the fault locations. Also, in these approaches, there is no need to
component may be destroyed [50,51]. have a physical version of the component for injecting faults and reli-
A physical fault injection framework is presented in Ref. [51] that ability assessments. Hence, it is possible to validate the circuit in the
uses the proton beams radiation with the intensity between 6 MeV and initial steps of the design [54–61].
37 MeV. In this approach, regarding to the proton flux in real operation
environments, the range of radiation is between 104 particles/cm2/s to 5.1. Motivations of using the emulation-based fault injection approaches
1014 particles/cm2/s. For the radiation of the proton beams to the sen-
sitive parts of the circuit, the lower proton flux should be used to avoid In some large-scale circuits, the time needed for software simulations
damaging the component. However, if the beam flux is lower than 107 of the circuit takes years to be completed making the simulation process
particles/cm2/s, the accurate measurement of the fault tolerance of the impossible. On the other hand, limitations and expensive costs in the
circuit against the radiated beams will not be possible since the amount physical approaches makes them to be unreachable for most of the re-
of beam fluxes radiated to the circuit cannot be accurately measured. searchers. To overcome these challenges, a solution is needed to imple-
Hence, an ionized environment as presented in Ref. [52] is used to ment a fast and cost-efficient framework for fault injection. One of the
overcome the mentioned problem. Furthermore, in Ref. [52], some most popular techniques in emulation-based fault injection approach is
control structures are designed to move the beam radiation device in emulating the behavior of the circuit using FPGAs in presence of faults.
order to inject faults into the different parts of the circuit. Thus, FPGA emulates the expected behavior of the circuit under specific
In Ref. [53], a novel laser fault injection approach is presented that conditions giving an impressive speed to the designer during the fault
attacks thorough the sides of the IC in order to come over the problems injection process. The emulation-based fault injection approaches are
with laser fault injection of newer packaging technologies. These prob- divided into the following categories based on the way they are
lems include newer packaging techniques that limits the efficiency of implemented:
traditional laser fault injection approaches because of absorption of laser
beams by the surface of the IC. Although this approach is more energy i) Hardware reconfiguration-based approaches
consuming than the traditional ones, but it is still valuable since it con- ii) Instrumentation based approaches
siders the side attacks as a potential threat for ICs in real environments.
For implementing the physical fault injection frameworks, the laser

5
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

5.2. Emulation-based fault injection approaches using hardware efficient connection substrate between the host computer and the FPGA,
reconfiguration actually there will not be any acceleration in this approach in comparison
with the other fault injection approaches. Considering this point, in
The overall procedure of emulation-based fault injection methods Ref. [58], designers tried to minimize the connections between the host
based on hardware reconfiguration is shown in Fig. 6. In these methods, computer and the FPGA. In this approach, another FPGA is responsible
in the first step, the design is described with one of the Hardware for controlling the fault injection process and the transmitted data be-
Description Languages (HDL) by considering the type of the FPGA tween the host computer and the controller FPGA are only limited to the
framework. Then, by synthesizing the design and generating the bit-file setup phase and the final instructions and the input bit-strings (Fig. 7).
(that is an executable file for running on FPGA), the design is down- However, it should be noted that the input bit-strings for running on
loaded on the FPGA. In the next step, a benchmark is chosen to be ran on FPGA are only sent once from the host computer to the controller FPGA
the design to study the operation of the design under the test. Regarding for the first configuration run and after the next reconfigurations, the
to the selected benchmark, a set of input bit-strings are applied to the results are stored in the memory that is located on the controller FPGA.
inputs of the circuit. These bit-strings include the information about the These results are sent altogether to the host computer at the end of the
configuration of the design on the FPGA during the operation and a set of fault injection process.
initial inputs for the circuit. After applying all input bit-strings to the As shown in Fig. 8, designers usually select some parts of FPGA for
circuit, the output values are sent back to the host computer for further fault injection and download their chosen test benches and the faulty test
analysis. vectors via a host computer to analyze the circuit under the test. But most
In order to inject faults into the circuit, a part of the circuit is chosen of the fault emulation approaches based on the hardware reconfiguration
and this time, a set of faulty bit-strings are replaced with the initial bit- technique only target the reconfiguration memory part of the FPGA.
strings. These bit-strings also contains information about the fault loca- However, in Ref. [59], an approach is for injecting the SEU or MBU into
tions and the time of the presence of each fault in the circuit. After the memory units of the circuit using the Altera FPGA. Since this
injecting each fault(s) and analyzing the effects of the injected fault(s), it approach applies the commercial tools for different steps of fault injec-
is necessary to remove the injected fault(s) and take the circuit back to tion process, it makes this approach to be very flexible. This means that
the initial state to make it ready for the further fault injections. This is the other synthesizable designs have the potential to be compatible in
usually done by enabling a public reset signal. It should be noted that, the this framework with performing some minor changes.
duration of each fault should be long enough such that the effects of the Moreover, this approach is based on developing in Altera FPGA
fault propagation are observed. The great advantage of using the hard- frameworks and its available tools. Since the most of the works in this
ware reconfiguration for fault emulation is applying the faulty bit-string field is based on Xilinx FPGAs and its tools, this approach offers a wider
only to a part of the circuit, instead of the whole circuit. In this condition, range of choices for designers based on their available tools and facilities.
only the selected part is being reconfigured and there is no need to reset Another advantage of this approach is the possibility of multiple fault
the whole circuit, saving a huge amount of time in this approach. Since injections to the latching components of the circuit making the results to
applying the faulty bit-strings to the all parts of a large circuit such as a be closer to the real conditions.
processor is not possible, it is necessary for the selected parts to be the
most sensitive and vulnerable parts of the circuit.
Choosing the sensitive parts of the circuit is one the most important
steps in validating the reliability of a circuit. Since the elapsed time in the
hardware reconfiguration approach is proportional to the number of the
selected parts for injecting the faults, designers have always tried to limit
the selected parts for reconfiguration. In Ref. [56], a framework based on
Xilinx FPGAs is developed to emulate the effects of the SEUs while trying
to avoid unnecessary injection of faults. The Xilinx Essential Bits tech-
nology is also used for increasing the accuracy of the framework opera-
tion during the fault injection process.
The hardware reconfiguration approach is the fastest one among the
emulation-based approaches. However, it should be noted that, the
connection framework between the host computer and the FPGA plays
the most important role in the fault emulation speed and it is always
Fig. 7. The framework presented in Ref. [58] for fault injection using the
known as the bottleneck of these approaches. Hence, without having an
hardware reconfiguration approach.

Fig. 6. The emulation-based fault injection approach for simulating the Fig. 8. Different parts of the FPGA memory for injecting faults using the
behavior of the circuit in presence of faults using the FPGA. hardware reconfiguration approach.

6
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

In Ref. [57], the circuit is separated into two parts including the the circuit for each fault location which is responsible for changing the
combinational and the sequential logic. Then, after injecting a SET or value of the specific signal regarding to the fault model chosen by the
Multiple Event Transients (MET) in the combinational part of the circuit, designer (Fig. 9). The saboteur part may be located in the input (Fig. 9a)
the propagation effects are tracked using software simulations until or the output parts (Fig. 9b) of the combinational or the sequential part of
reaching to the latching components of the circuit. The software-based the circuit. The location of this part is defined by the designer regarding
simulation is applied for this part to handle the complexities of consid- to the chosen fault model. Adding the saboteur parts to the circuit is done
ering the electrical and the timing masking effects in hardware-based by the designer only one time at the early stages of the designing. Hence,
approaches. Although using software-based approach leads to longer for configuring the FPGA, the bit-file is downloaded on the FPGA once
operation time compared to the fully hardware-based approaches, the making this approach to be much faster than the hardware reconfigu-
results obtained from the propagation of the transient pulses in the circuit ration approach. However, the area overhead has a significant increase in
are more accurate. After storing the faulty bits in the latching elements of this approach making it impossible to be applied on very large circuits.
the circuit, the software simulation phase is completed and then, As shown in Fig. 9, each saboteur part has an enable signal which is
emulation-based approach is used with the FPGA to investigate the ef- triggered in the cycles defined for injection of faults. The enable signal is
fects of the SEUs in reaching to the main outputs of the circuit. Although determined based on the fault model and changes the value of the signal
the time needed for this fault injection method is increased, the area that goes through the saboteur part. This change can be a logical ‘1’ for
overhead is significantly reduced making it possible to be used for the Stuck-at-One fault model, a logical ‘0’ for the Stuck-at-Zero model, or
large-scale circuits. even a bit flip for SEU models.
As mentioned before, one of the challenges in fault emulation ap-
5.3. Emulation-based fault injection approaches based on instrumenting proaches is the complexities of modelling the electrical and the timing
the circuit masking effects. This is because, the digital design tools are incapable of
considering the timing delays after the synthesize process. To overcome
An impressive speedup can be achieved by using the hardware the mentioned challenge, an approach is presented in Ref. [54] to model
reconfiguration approaches compared with the software-based ap- the delays of the circuit on the FPGA when analyzing the effects of a SET.
proaches. However, in this category, the FPGA should be reconfigured The proposed model first defines the delay of each input or output signals
several times for injecting faults to different parts of the circuit. This leads of the circuit components to compute the critical path of the circuit.
to consume a huge amount of time because of the several data trans- Then, regarding the fabrication technology of the FPGA, the largest
missions between the host computer and FPGA. Although this amount of available shift register size is defined. By dividing the maximum delay of
time is several times less than the time required for the software simu- the gates to the size of the largest shift register, a basis unit is created for
lation process, it still cannot be avoided by the designers. Hence, this has the smallest delay unit that is possible for the FPGA. Then, each delay of
become a motivation for using the instrumentation-based fault emula- the gates is considered as an integral multiple of the basis unit. By
tion. In this approach, an extra part usually called “saboteur” is added to computing the new delay values for each gate of the circuit, the delay of
the gates can be implemented by using the shift registers. For instance,
for a gate with the new delay value of 5, a shift register with 5 blocks is
considered (Fig. 10).
As shown in Fig. 10, the delays for each gate may be a single gate
delay or a multiple gate delay. However, it should be noted that, shift
registers usually take a lot of area of the FPGA. Hence, as the large
number of the gates in the circuit have more than two inputs, considering
the multiple gate delay model for the gates of the circuit may lead to
extreme area overheads even for the small-scale circuits. Hence, the
single gate delay model is used in this approach. By implementing the
delays of the gates on FPGA, modelling the electrical and timing masking
effects which are dependent to the gate delays will be possible.
Inspired from the approach presented in Ref. [54], an approach is
presented in Ref. [55] to consider the electrical masking effect. This
approach is based on sampling the amount of the voltage passing through
the gates such that changing the voltage level from high to low and low to
high is sampled and stored on the FPGA. The samples contain the cap-
tures from the voltage curves and their range varies from 0 to VDD. On the
other hand, the delays of each gate are stored in the shift register similar
to the approach presented in Ref. [54]. Based on the changes in the
voltage curve, the counter starts to count in an ascending or descending
order and if the voltage level goes beyond or lower than the specific limit
while the counter is counting, the electrical masking effect is determined.
An approach presented in Ref. [60] tries to reduce the area overheads
in instrumented-based fault emulation approaches by performing a

Fig. 9. Adding the saboteur parts to the a) input parts and b) output parts of the Fig. 10. Modelling the gate delays based on the approach presented
circuit components. in Ref. [54].

7
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

Fig. 11. The overall overview of the presented approach in Ref. [61] for fault injection.

Table 1
Comparison between the available fault injection techniques for the main characteristics.
Properties Software-based Techniques Hardware-based Techniques Emulation-based Techniques

Dynamic Static Contact-based Without Contact Instrumentation-based Reconfiguration-based

Cost Low Low High High Medium Medium


Time High High Low Low Low Medium
Area Overheads None None Low Low High Medium
Complexity Medium Medium Low Low High High
Risk of Damage None None High High None None
Accuracy High High Low Low High Medium

probability-aware fault injection. In this approach, a weighted distribu-


Table 2
tion is introduced based on the fault probability of the circuit elements.
Main benefits and drawbacks of fault injection techniques.
This enables the authors to only one Pseudo Random Number Generator
(PRNG) for the entire design instead of using many PRNG in similar Technique Benefits Drawbacks
approaches and consequently, reduce the number of logic blocks needed Software-  Enables early reliability  Needs a lot of time for each
on FPGA. based assessments (no need for a experiment
Another approach trying to enhance the area overhead is presented in prototype of the design)  Quality of the model
 Does not require any extra implementation by the user
Ref. [61], which aims for SEU modelling by changing the default Xilinx hardware (only a device for affects the obtained result
libraries that is capable of performing the fault emulation for the running the user-modified  Actual faults in real
large-scale circuits. In this approach, as shown in Fig. 11, first the HDL code is sufficient) environment of working
description of the design is synthesized using the Synopsis Synplify Pro  Wide range of fault models circuit may be neglected
can be injected in this during the experiments
tool and a netlist is generated based on the available FPGA technology.
technique
Then, the generated netlist is taken as an input for the developed tool Hardware-  Fastest fault injection  High risk of damage for the
called “MODNET” which automates the modification of the flip-flops, based technique among all other target IC
latching components, logical elements and the multiplexers of the ones  New packaging technologies
initial netlist. The output of this tool contains several input signals added  Does not need for and high level of density in
implementation or modelling newer ICs limit the efficiency
to the circuit in order to enable the injection of the SETs and the SEUs. the target design of this technique
After adding the new input signals, a memory controller is also added to  Results are very close to actual  Needs very expensive and
the design to manage the connections of the available SRAM memory operating environment for the limited for fault injection
located on the FPGA. The SRAM memory stores the results of the fault circuit which are not available for
 Actual permanent faults can everyone
injection process. At the next step, the design is being re-synthesized for
be injected at the pin-level  Needs at least one initial
final validation. If the modified design passes the second synthesize fault injection prototype of the circuit for the
process successfully, the next steps for bit-file generation of the design experiments
and running it on the FPGA is performed. Emulation-  Early reliability assessments of  Accuracy of the results are
based the circuit can be done much lower than other techniques
faster than the software-based since it is difficult to consider
6. Discussion approaches the timing delays in FPGA
 FPGA and other commercial  The implementation of fault
Choosing a proper method for performing the fault injection process tools used in this technique injection framework using this
in digital circuits can be a determinative factor in reducing the imple- are available globally with an technique has the highest
acceptable cost amount of complexity
mentation costs, decreasing the time to market of a product and
 Enables the fault injection to  Significant area or
increasing the performance and the accuracy of a digital component. We be performed on very large computational overheads may
tried to give insights into the state-of-art in fault injection techniques and scaled circuits be imposed in the case of fault
motivate the researcher and reliable circuit designers to further improve injection for large circuits
these techniques for more efficient reliability evaluation of future digital

8
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

circuit designs. Table 1 shows a brief comparison between the available [16] H.M. Quinn, D.A. Black, W.H. Robinson, S.P. Buchner, fault simulation and
emulation tools to augment radiation-hardness assurance testing, IEEE Trans. Nucl.
fault injection techniques for the main characteristics in terms of cost,
Sci. 60 (3) (2013) 2119–2140.
amount of time needed for completing the fault injection technique, [17] R. Ramanarayanan, V.S. Degalahal, R. Krishnan, K. Jungsub, V. Narayanan, Y. Xie,
amount of extra hardware needed, complexity of implementation, risk of M.J. Irwin, K. Unlu, Modeling soft errors at the device and logic levels for
damage to the IC (if available), and the accuracy of the results. Also, in combinational circuits, IEEE Trans. Dependable Secure Comput. 6 (3) (2009)
3007–3017.
Table 2 we summarized the main benefits and drawbacks of each fault [18] D. Holcomb, W. Li, S.A. Seshia, Design as you see FIT: system-level soft error
injection technique. analysis of sequential circuits, in: Proceedings of the IEEE/ACM International
Conference on Design, Automation and Test in Europe (DATE), 2009, pp. 785–790.
[19] Y.H. Kuo, H.K. Peng, C.H. Wen, Accurate statistical soft error rate (SSER) analysis
7. Conclusion using a quasi Monte Carlo framework with quality cell models, in: Proceedings of
the IEEE International Symposium on Quality Electronic Design (ISQED), 2010,
In this paper, different fault injection approaches for digital circuits pp. 831–838.
[20] R. Rajaraman, J.S. Kim, V. Narayanan, Y. Xie, M.J. Irwin, SEAT-LA: a soft error
has been studied to help the researchers choose the proper fault injection analysis tool for combinational logic, in: Proceedings of the International
technique based on their demands. We categorized different fault injec- Conference on VLSI Design, 2006, pp. 159–165.
tion techniques mainly based on the amount of hardware they need as [21] M. Zhang, N.R. Shanbhag, A soft error rate analysis (SERA) methodology,, in:
Proceedings of the IEEE International Conference on Computer Aided Design
follows: (ICCAD), 2004, pp. 111–118.
[22] Y.S. Dhillon, A.U. Diril, A.A. Chatterjee, Soft error tolerance analysis and
1) approaches that do not need any extra hardware for implementation optimization of nanometer circuits, in: Proceedings of the IEEE/ACM International
Conference on Design, Automation and Test in Europe (DATE), 2005, pp. 288–293.
(Simulation-based software fault injection)
[23] R. Petra, U. Maier, D. Sharif, Mueller-Gritschneder, U. Schlichtmann, Efficient fault
2) approaches that needs only commercial hardware and tools available injection for embedded systems: as fast as possible but as accurate as necessary, in:
globally (Emulation-based fault injection) Proc. IEEE 24th International Symposium on On-Line Testing and Robust System
3) approaches that needs Hi-tech tools and hardware that are very Design, 2018, pp. 119–122.
[24] X. Meng, Q. Tan, Z. Shao, N. Zhang, J. Xu, H. Zhang, SEInjector: A dynamic fault
limited (Hardware-based fault injection) injection tool for soft errors on x86, in: Proc. International Conference on Computer
Systems, Electronics and Control (ICCSEC), 2017, pp. 1492–1495.
High computational overhead in software-based approaches and un- [25] I. Tuzov, D. Andres, J. Ruiz, Accurate robustness assessment of HDL models through
iterative statistical fault injection, in: Proc. 14th European Dependable Computing
affordable equipment costs in hardware-based approaches makes the Conference (EDCC), 2018, pp. 1–8.
motivation of using the emulation-based approaches for performing the [26] R.R. Rao, K. Chopra, D.T. Blaauw, D.M. Sylvester, Computing the soft error rate of a
fault injection process in digital circuits. However, choosing a fault in- combinational logic circuit using parameterized descriptors, IEEE Trans. Comput.
Aided Des. Integr Circuits Syst. 26 (3) (2007) 468–479.
jection technique depends on many factors such as budget, time and [27] S. Krishnaswamy, I.L. Markov, J.P. Hayes, On the role of timing masking in reliable
knowledge and the final decision is made by the user considering these logic circuit design, in: Proceedings of the ACM/IEEE Design Automation
factors. Conference (DAC), 2008, pp. 924–929.
[28] S. Krishnaswamy, S.M. Plaza, I.L. Markov, J.P. Hayes, Signature-based SER analysis
and design of logic circuits, IEEE Trans. Comput. Aided Des. Integr Circuits Syst. 28
References (1) (2009) 74–86.
[29] L. Entrena, M. Valderas, R. Cardenal, A. Lindoso, M.P. Garcia, C. Lopez-Ongil, Soft
[1] N. Seifert, X.W. Zhu, L.W. Massengill, Impact of scaling on soft error rates in error sensitivity evaluation of microprocessors by multilevel emulation-based fault
commercial microprocessors, IEEE Trans. Nucl. Sci. 49 (2002) 3100–3106. injection, IEEE Trans. Comput. 61 (3) (2012) 313–322.
[2] N. Seifert, B. Gill, K. Foley, P. Relangi, Multi-cell upset probabilities of 45 nm high-k [30] B. Zhang, M. Orshansky, Symbolic simulation of the propagationand filtering of
plus metal gate SRAM devices in terrestrial and space environments, in: Proc. 46th transient faulty pulses, in: Proceedings of the Workshop on Silicon Errors in Logic:
Annu. IEEE Int. Reliab. Phys. Symp., 2008, pp. 181–186. System Effects, 2005, pp. 458–469.
[3] J. Benedetto, P. Eaton, K. Avery, D. Mavis, M. Gadlage, T. Turflinger, P.E. Dodd, [31] B. Zhang, W.S. Wang, M. Orshansky, Faser: Fast analysis of soft error susceptibility
G. Vizkelethyd, Heavy ion-induced digital singleevent transients in deep submicron for cell-based designs, in: Proceedings of the 7th International Symposium on
processes, IEEE Trans. Nucl. Sci. 51 (2004) 3480–3485. Quality Electronic Design (ISQED), 2006, pp. 368–379.
[4] J.D. Black, D.R. Ball, W.H. Robinson, D.M. Fleetwood, R.D. Schrimpf, R.A. Reed, [32] N. Miskov-Zivanov, D. Marculescu, Modeling and optimization for soft-error
D.A. Black, K.M. Warren, A.D. Tipton, P.E. Dodd, N.F. Haddad, M.A. Xapsos, reliability of sequential circuits, IEEE Trans. Comput. Aided Des. Integr Circuits
H.S. Kim, M. Friendlich, Characterizing SRAM single event upset in terms of single Syst. 27 (No. 5) (2008) 803–816.
and multiple node charge collection, IEEE Trans. Nucl. Sci. 55 (2008) 2943–2947. [33] S. Krishnaswamy, G.F. Viamontes, I.L. Markov, J.P. Hayes, Accurate reliability
[5] R. Natella, D. Cotroneo, J. Duraes, H. Madeira, On fault representativeness of evaluation and enhancement via probabilistic transfer matrices, in: Proceedings of
software fault injection, IEEE Trans. Softw. Eng. 39 (1) (2013) 80–96. the IEEE/ACM International Conference on Design, Automation and Test in Europe
[6] N. Laranjeiro, M. Vieira, H. Madeira, A technique for deploying robust web services, (DATE), 2005, pp. 282–287.
IEEE Trans. Serv. Comput. 7 (1) (Jan. 2014) 68–81. [34] S.Z. Shazli, M.B. Tahoori, Using boolean satisfiability for computing soft error rates
[7] J. Tarrillo, F. Lima Kastensmidt, P. Rech, C. Frost, C. Valderrama, Neutron cross- in early design stages, Microelectron. Reliab. 50 (No. 1) (2010) 149–159.
section of n-modular redundancy technique in SRAMbased FPGAs, IEEE Trans. [35] G. Asadi, M.B. Tahoori, An accurate SER estimation method based on propagation
Nucl. Sci. 61 (4) (2014) 1558–1566. probability, in: Proceedings of the IEEE/ACM International Conference on Design,
[8] H. Quinn, P. Graham, B. Pratt, An automated approach to estimating hardness Automationand Test in Europe (DATE), 2005, pp. 306–307.
assurance issues in triple-modular redundancy circuits in xilinx FPGAs, IEEE Trans. [36] G. Asadi, M.B. Tahoori, An analytical approach for SoftError rate estimation in
Nucl. Sci. 55 (2008) 3070–3076. digital circuits, Proc. IEEE Int. Symp. Circuits Syst. 3 (2005) 2991–2994.
[9] U. Kretzschmar, A. Astarloa, J. Jimenez, M. Garay, J. Ser, Fast and accurate single [37] L. Chen, M. Ebrahimi, M.B. Tahoori, CEP: correlated error propagation for
bit error injection into SRAM based FPGAs, in: Proc. Int. Conf. Field Programmable hierarchical soft error analysis, J. Electron. Test. 29 (2013) 143–158.
Logic and Applications, 2012, pp. 675–678. [38] Xin-Tian (Lena) Wu, Kai-Hua (Dennis) Hsu, Lynn c.-L. Chang, Charles H.-P. Wen,
[10] H. Ziade, R. Ayoubi, R. Velazco, A survey on fault injection techniques 1 (2) (2004) Spatial-correlation-aware soft error rate analysis using quasi-importance sampling,
171–186. in: International Symposium on VLSI Design, Automation, Test (VLSI-DAT), 2012,
[11] O. Boncalo, A. Amaricai, C. Spagnol, E. Popovici, Cost effective FPGA probabilistic pp. 1–4.
fault emulation, Proc. NORCHIP (2014) 1–4. [39] H.T. Nguyen, Y. Yagil, A systematic approach to SERE estimation and solutions, in:
[12] M. Ebrahimi Mohammadi, A. Ejlali, S. Miremadi, SCFIT: a FPGA-based fault Proceedings of the International Reliability Physical Symposium (IRPS), 2003,
injection technique for SEU fault model, in: Proc. Design, Automation Test in Eur. pp. 60–70.
Conf. Exhib., 2012, pp. 586–589. [40] H. Asadi, M.B. Tahoori, M. Fazeli, S.G. Miremadi, Efficient algorithms to accurately
[13] G. Asadi, S. Miremadi, H.-R. Zarandi, A. Ejlali, Fault injection into SRAM-based compute derating factors of digital circuits, Microelectron. Reliab. 52 (2012)
FPGAs for the analysis of SEU effects, in: Proc. IEEE Int. Conf. Field-Programmable 1215–1226.
Technology, 2003, pp. 428–430. [41] M. Vasconcelos, D.T. Franco, L.B. Naviner, J. Naviner, Reliability analysis of
[14] A. Sari, D. Agiakatsikas, M. Psarakis, A soft error vulnerability analysis framework combinational circuits based on a probabilistic binomial model, in: Proceedings
for xilinx FPGAs, in: Proc. 2014 ACM/SIGDA Int. Symp. On Field-Programmable International IEEE Northeast Workshop on Circuits and Systems (NEWCAS), 2008,
Gate Arrays, 2014, pp. 237–240. pp. 309–313.
[15] H. Baig, J.-A. Lee, Z. Siddiqui, A low-overhead multiple-SEU mitigation approach [42] N. Kehl, W. Rosenstiel, An efficient SER estimation method for combinational
for SRAM-based FPGAs with increased reliability, IEEE Trans. Nucl. Sci. 61 (3) circuits, IEEE Trans. Reliab. 60 (4) (2011) 742–747.
(2014) 1389–1399. [43] P. Hazucha, C. Svensson, Impact of CMOS technology scaling on the atmospheric
neutron soft error rate, IEEE Trans. Nucl. Sci. 47 (No. 6) (2000) 2586–2594.

9
M. Eslami et al. Integration, the VLSI Journal xxx (xxxx) xxx

[44] P. Shivakumar, M. Kistler, S.W. Keckler, D. Burger, L. Alvisi, Modeling the effect of [52] PTW, Dosimetry solutions [Online]. Available: http://www.ptw.de.
technology trends on soft-error rate of combinational logic, in: Proceedings of [53] J. Rodriguez, A. Baldomero, V. Montilla, J. Mujal, LLFI: lateral laser fault injection
International Conference on Dependable Systems and Networks (DSN), 2002, attack”, in: Proc. Workshop on Fault Diagnosis and Tolerance in Cryptography
pp. 389–398. (FDTC), 2019, pp. 41–47.
[45] H.S. Madeira, M. Rela, F. Moreira, J.G. Silva, RIFLE: a general purpose pin-level [54] M.G. Valderas, L. Entrena, R.F. Cardenal, C.L. Ongil, M.P. Garcia, SET emulation
fault injector, in: K. Echtle, D. Hammer, D. Powell (Eds.), Proc. 1st European under a quantized delay model, J. Electron. Test. Theory Appl. 25 (2009) 107–116.
Dependable Computing Conference (EDCC ’94), Springer-Verlag, 1994, [55] L. Entrena, M.G. Valderas, R.F. Cardenal, M.P. Garcia, C.L. Ongil, SET emulation
pp. 197–216. considering electrical masking effects, IEEE Trans. Nucl. Sci. 56 (2009) 2021–2025.
[46] J. Arlat, M. Aguera, L. Amat, Y. Crouzet, E. Martins, D. Powell, J.-C. Fabre, J.- [56] S. Fpgas, S. Di Carlo, P. Prinetto, D. Rolfo, P. Trotta, P. Torino, a fault injection
C. Laprie, Fault injection for dependability validation: a methodology and some methodology and infrastructure for fast single event upsets emulation on xilinx, in:
applications, IEEE Trans. Softw. Eng. 16 (2) (Feb. 1990) 166–182. Proc. IEEE Int. Symp. Defect Fault Tolerance in VLSI and Nanotech. Syst., 2014,
[47] J.R. Schwank, M.R. Shaneyfelt, P.E. Dodd, Radiation hardness assurance testing of pp. 159–164.
microelectronic devices and integrated circuits: radiation environments, physical [57] R. Nyberg, J. Heyszl, D. Heinz, G. Sigl, Enhancing fault emulation of transient faults
mechanisms, and foundations for hardness assurance, IEEE Trans. Nucl. Sci. 60 (3) by separating combinational and sequential fault propagation, in: ACM Great Lakes
(2013) 2074–2100. Symposium on VLSI, 2016, pp. 209–214.
[48] J.R. Schwank, M.R. Shaneyfelt, P.E. Dodd, Radiation hardness assurance testing of [58] J.M. Mogollon, H. Guzman-Miranda, J. Napoles, J. Barrientos, M.A. Aguirre,
microelectronic devices and integrated circuits: test guideline for proton and heavy FTUNSHADES2: a novel platform for early evaluation of robustness against SEE, in:
ion single-event effects, IEEE Trans. Nucl. Sci. 60 (3) (2013) 2101–2118. Proc. 2011 12th Eur. Conf. On Radiat. Its Effects Compon. Syst., 2012.
[49] S. Buchner, F. Miller, V. Pouget, D. McMorrow, Pulsed laser testing for Single-Event [59] M. Ebrahimi, A. Mohammadi, A. Ejlali, S.G. Miremadi, A fast, flexible, and easy-to-
Effects investigations, IEEE Trans. Nucl. Sci. 60 (3) (2013) 1852–1875. develop FPGA-based fault injection technique, Microelectron. Reliab. 54 (5) (2014)
[50] C. Godlewski, V. Pouget, D. Lewis, M. Lisart, Electrical modeling of the effect of 1000–1008.
beam profile for pulsed laser fault injection, Microelectron. Reliab. 49 (2009) [60] F.B. Armelin, L. Navinery, R. d'Amore, Probability aware fault-injection approach
1143–1147. for SER estimation, in: IEEE 19th Latin-American Test Symposium (LATS), 2018.
[51] J. Posp, F. K, J. Ferencei, H. Kub, A system for radiation testing and physical fault [61] W. Mansour, R. Velazco, An automated SEU fault-injection method and tool for
injection into the FPGAs and other electronics, in: Proc. Euromicro Con. On Digital HDL-based designs, IEEE Trans. Nucl. Sci. 60 (4) (2013) 2728–2733.
System Design, 2015, pp. 205–210.

10

You might also like