You are on page 1of 176

TECHNISCHE UNIVERSITÄT MÜNCHEN

Institut für Nanoelektronik

Modeling of Leakage Currents in


High-κ Dielectrics

Gunther Christian Jegert

Vollständiger Abdruck der von der Fakultät für Elektrotechnik und


Informationstechnik der Technischen Universität München zur Erlangung des
akademischen Grades eines Doktors der Naturwissenschaften genehmigten
Dissertation.

Vorsitzender: Univ.-Prof. Dr. Th. Hamacher


Prüfer der Dissertation: 1. Univ.-Prof. P. Lugli, Ph.D.
2. Univ.-Prof. Dr. P. Vogl

Die Dissertation wurde am 29.09.2011 bei der Technischen Universität München


eingereicht und durch die Fakultät für Elektrotechnik und Informationstechnik am
20.12.2011 angenommen.
1. Auflage März 2012

Copyright 2012 by

Verein zur Förderung des Walter Schottky Instituts der Technischen Universität
München e.V., Am Coulombwall 4, 85748 Garching.
Alle Rechte vorbehalten. Dieses Werk ist urheberrechtlich geschützt. Die
Vervielfältigung des Buches oder von Teilen daraus ist nur in den Grenzen der
geltenden gesetzlichen Bestimmungen zulässig und grundsätzlich vergütungspflichtig.

Titelbild: Electronic transport mechanisms in a high-k thin film capacitor


structure

Druck: Printy Digitaldruck, München (http://www.printy.de)

ISBN: 978-3-941650-37-4
Contents

Abstract 1

1 Introduction 3

2 DRAM Technology 11
2.1 DRAM Basics: Operation Principle . . . . . . . . . . . . . . . . . . . 13
2.2 Introduction of High-κ Oxides . . . . . . . . . . . . . . . . . . . . . . 14
2.3 Experimental Methods . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.3.1 Atomic Layer Deposition . . . . . . . . . . . . . . . . . . . . . 22
2.3.2 Electrical Characterization . . . . . . . . . . . . . . . . . . . . 25

3 Models for Charge Transport 27


3.1 Direct Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
3.1.1 Transmission Coefficient and Tunneling Effective Mass . . . . 31
3.1.2 Thermionic Emission and Field Emission . . . . . . . . . . . . 34
3.1.3 Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . 37
3.2 Tunneling Into and Out of Defects . . . . . . . . . . . . . . . . . . . 41
3.2.1 The Elastic Case . . . . . . . . . . . . . . . . . . . . . . . . . 41
3.2.2 Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . 43
3.2.3 The Inelastic Case . . . . . . . . . . . . . . . . . . . . . . . . 46
3.2.4 Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . 48
3.3 Defect-Defect Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . 51
3.3.1 The Elastic Case . . . . . . . . . . . . . . . . . . . . . . . . . 52
3.3.2 The Inelastic Case . . . . . . . . . . . . . . . . . . . . . . . . 52
3.3.3 Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . 54
3.4 Poole-Frenkel Emission . . . . . . . . . . . . . . . . . . . . . . . . . . 57
3.4.1 Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . 60

i
ii CONTENTS

4 Methods for Transport Simulations 63


4.1 Kinetic Monte Carlo (kMC) . . . . . . . . . . . . . . . . . . . . . . . 63
4.1.1 Modeling of Leakage Currents:State-of-the-Art vs. kMC . . . 64
4.1.2 History and Development of kMC . . . . . . . . . . . . . . . . 65
4.1.3 Basics of kinetic Monte Carlo . . . . . . . . . . . . . . . . . . 68
4.1.4 A Simple kMC Algorithm . . . . . . . . . . . . . . . . . . . . 73
4.2 Leakage Current Simulations via kMC . . . . . . . . . . . . . . . . . 80
4.2.1 Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
4.2.2 Time Scaling Problem . . . . . . . . . . . . . . . . . . . . . . 83

5 Leakage Current Simulations 85


5.1 High-κ Thin Film TiN/ZrO2 /TiN Capacitors . . . . . . . . . . . . . 86
5.1.1 Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
5.1.2 kMC Simulations . . . . . . . . . . . . . . . . . . . . . . . . . 87
5.1.3 Transport Model . . . . . . . . . . . . . . . . . . . . . . . . . 89
5.1.4 Contributions from Different Defect Positions . . . . . . . . . 91
5.1.5 Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . 93
5.1.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
5.2 Electrode Roughness Effects . . . . . . . . . . . . . . . . . . . . . . . 95
5.2.1 Fractal Geometry . . . . . . . . . . . . . . . . . . . . . . . . . 97
5.2.2 Structural Characterization of the TiN Electrodes . . . . . . . 101
5.2.3 Generation of Random Rough Electrodes . . . . . . . . . . . . 101
5.2.4 Mesh Generation and Solution of Poisson’s Equation . . . . . 105
5.2.5 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . 106
5.2.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
5.3 Structural Relaxation of Defects . . . . . . . . . . . . . . . . . . . . . 115
5.3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
5.3.2 GW Calculations . . . . . . . . . . . . . . . . . . . . . . . . . 117
5.3.3 Defect Model . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
5.3.4 Kinetic Monte Carlo Framework . . . . . . . . . . . . . . . . . 122
5.3.5 First-principles kMC Leakage Current Simulations . . . . . . . 124
5.3.6 Trap-assisted Tunneling Across Oxygen Vacancies . . . . . . . 125
5.3.7 TiN/ZrO2 /Al2 O3 /ZrO2 /TiN Capacitors . . . . . . . . . . . . 128
5.3.8 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

Conclusions and Outlook 131


CONTENTS iii

Bibliography 135

List of Own Publications and Contributions 154

List of Abbreviations 156

Acknowledgements 159
Abstract 1

Abstract
Leakage currents are one of the major bottlenecks impeding the downscaling efforts
of the semiconductor industry. Two core devices of integrated circuits, the tran-
sistor and, especially, the DRAM storage capacitor, suffer from the increasing loss
currents. In this perspective a fundamental understanding of the physical origin of
these leakage currents is highly desirable. However, the complexity of the involved
transport phenomena so far has prevented the development of microscopic models.
Instead, the analysis of transport through the ultra-thin layers of high-permittivity
(high-κ) dielectrics, which are employed as insulating layers, was carried out at an
empirical level using simple compact models. Unfortunately, these offer only limited
insight into the physics involved on the microscale.
In this context the present work was initialized in order to establish a framework of
microscopic physical models that allow a fundamental description of the transport
processes relevant in high-κ thin films. A simulation tool that makes use of kinetic
Monte Carlo techniques was developed for this purpose embedding the above models
in an environment that allows qualitative and quantitative analyses of the electronic
transport in such films. Existing continuum approaches, which tend to conceal
the important physics behind phenomenological fitting parameters, were replaced
by three-dimensional transport simulations at the level of single charge carriers.
Spatially localized phenomena, such as percolation of charge carriers across point-
like defects, being subject to structural relaxation processes, or electrode roughness
effects, could be investigated in this simulation scheme. Stepwise a self-consistent,
closed transport model for the TiN/ZrO2 material system, which is of outmost
importance for the semiconductor industry, was developed. Based on this model
viable strategies for the optimization of TiN/ZrO2 /TiN capacitor structures were
suggested and problem areas that may arise in the future could be timely identified.
Clearly, such a simulation environment should also be of use for the study of follow-
up material systems which, already in the front-end research, show very promising
performance, however, combined with great challenges especially in gaining control
of the leakage currents.
2

Zusammenfassung
Leckströme in High-k Dielektrika sind eines der wesentlichen Hindernisse für die
weitere Miniaturisierung integrierter Schaltkreise. Ein fundamentales, physikalis-
ches Verständnis ihres Ursprungs ist daher sehr erstrebenswert.
In der vorliegenden Arbeit werden mikroskopische, physikalische Modelle für die
relevanten Leckstrommechanismen entwickelt und in einen Simulationsalgorithmus,
basierend auf kinetischem Monte Carlo, integriert. Transportsimulationen wer-
den mit einer Auflösung einzelner Ladungsträger in drei Dimensionen durchge-
führt. Dies erlaubt das Studium räumlich lokalisierter Phänomene wie Perkolation
von Ladungsträgern über punktförmige Defekte oder des Effekts von Elektroden-
rauigkeiten. Schrittweise wird ein selbstkonsistentes, abgeschlossenes Transport-
modell für das technologisch bedeutsame TiN/ZrO2 -Materialsystem entwickelt und
aus diesem werden Optimierungsstrategien abgeleitet.
Chapter 1

Introduction

Introducing high-κ (κ: dielectric permittivity) materials has turned out to be one
of the most challenging tasks the semiconductor industry ever had to master. Many
problems have to be solved in order to successfully integrate these novel materials.
As this is at the very core of the present thesis, the first chapter is intended to
provide the reader with a sound overview of the topic. Major problems arising upon
the replacement of SiO2 with high-κ oxides are highlighted, and the topic of the
thesis is put in a wider context.

Referring to the last 40 years, people involved in the integrated circuit (IC) business
nostalgically talk of the "days of happy scaling". Indeed, semiconductor industry
has been able to keep pace with Moore’s lawI up to now. This strong urge to shrink
has been driven by both cost reduction due to more efficient use of substrate area
and improved device performance, e.g. switching speed, coming hand in hand as
circuit dimensions are decreased. In large part this success story is owed to the
unique properties of SiO2 . SiO2 can be easily grown in very high quality, i.e. with
very low defect densities, via thermal oxidation of silicon and additionally forms
a smooth, nearly defect-free interface with the underlying silicon. In fact, this is
widely thought to be the major reason why we have a silicon industry today.
However, miniaturization lead to a point where the semiconductor industry hit some
fundamental physical boundaries, the most serious problems being associated with
the transistor gate stack, which consists of the gate electrode (polycrystalline-Si) and
the dielectric layer (SiO2 ) sitting on the silicon channel. Eventually, the thickness

I In 1965 Gordon Moore, co-founder of Intel, observing the industry of integrated circuits at
that time, stated his famous law: "The number of transistors on integrated circuits doubles every
two years."

3
4 1. Introduction

of the dielectric film was scaled down to ∼ 1.4 nm, a value so thin that intrinsic
quantum mechanical tunneling currents through the dielectric exceeded a tolerable
level. Due to these currents in combination with the exponentially increasing density
of transistors on a chip power dissipation drastically increased. But, especially
nowadays, energy efficiency is extremely relevant, since low-power electronics gain
more and more importance, e.g. mobile phones, laptops etc. As a result, after more
than 40 years SiO2 , at least for some applications, had to be abandonned.
New materials, enabling further device scaling, were searched for. The most urgent
problem to be addressed was the leakage current. The aim was clear: a reduction
of the leakage current without loss in device performance; and the way to go was
predetermined. Field-effect transistors are capacitance-operated devices, i.e. the
source-drain current depends on the gate capacitance C, calculated from

A
C = ǫ0 κ . (1.1)
d
Here, ǫ0 is the permittivity of free space, κ is the dielectric permittivity, A is the
capacitor area, and d is the oxide thickness. Since tunneling currents exponentially
decrease with film thickness, one had to replace SiO2 with a physically thicker layer
of a new material with a higher dielectric permittivity, a so called "high-κ" oxide, to
retain the capacitance and thus the transistor performance. In the year 2007, Intel
introduced its 45 nm technology for their new processor generation, which uses a
completely new material combination for the transistor gate stack - a hafnium-based
high-κ gate dielectric and metal gate electrodes (e.g. TiN)[1].
The above equally applies to the DRAMII industry. Here, due to the ever shrinking
chip size, the available area for the cell capacitor, where information is stored in form
of an electrical charge, is reduced for each new product generation. However, a cer-
tain cell capacitance has to be maintained. Compared to the transistor gate stack,
discussed above, which has a more lenient leakage criterion (∼ 10−2 A/cm2 @ 1 V),
the requirements are much stricter for the DRAM capacitor to ensure that a suf-
ficiently large amount of the stored charge is preserved between two refresh cycles
(∼64 ms). Leakage currents therefore have to stay below ∼10−8 -10−7 A/cm2 @ 1 V.
Again, high-κ oxides may resolve this issue, since they allow to increase the capaci-
tance.
Eventually, high-κ dielectrics have found their way to the market and, at least
in certain areas, have replaced SiO2 . Today, most of the DRAM producers like

II DRAM (Dynamic random access memory) is the primary working medium for volatile infor-
mation storage right across the range of electronic systems.
5

Samsung, Hynix, Micron, and Elpida have shifted to zirconium- and hafnium-based
dielectrics[2, 3]. This new class of materials, in principle, provides extendibility of
Moore’s law over the next technology generations. But, in contrast to the well-known
and well-behaving SiO2 , these new materials are mostly unexplored. Meanwhile,
the rising commercial interest has triggered huge research efforts dealing with the
problems inherently associated with the introduction of high-κ oxides.
Above, two major fields of application for high-κ oxides in large-scale industrial
production have been identified, the transistor gate stack and the DRAM storage
capacitor. Their integration in the transistor implies a lot of problems which are ad-
dressed by current research, like the growth of unwanted interfacial layers[4], carrier
mobility degradation in the channel due to remote phonon scattering[5], and, not
to forget, reliability issues of the dielectric and Fermi level pinning effects[6], which
indicate that for the introduction of high-κ oxides in the gate stack the replacement
of the polycrystalline-Si electrode by a metal electrode will be a prerequisite. How-
ever, in transistor applications, having quite lenient leakage current requirements,
the key aspect is the electronic transport in the channel and not the transport across
the high-κ layer. This of course will change when, due to further device scaling, gate
oxide thicknesses again reach critical values.
In the following, since it is at the very core of this thesis, we comment on the appli-
cation of high-κ oxides in DRAM storage capacitors. Here, due to the rigid leakage
current criterion, the focus clearly lies on the insulating properties of the dielectric.
Shrinking the DRAM cell dimensions enforces higher capacitance densities to ensure
a sufficient cell capacitance. This is achieved either by decreasing the thickness of
the dielectric film or by utilizing high-κ materials. The ultimate goal is a metal-
insulator-metal (MIM) structure that provides a sufficient capacitance density and
concurrently fulfills the leakage current criterion. Besides this, issues arising due to
integration and reliability have to be controlled.
Since the majority of the high-κ candidate materials are not well-known, no estal-
ished recipes for large-scale production of high-quality films are known. To guarantee
sufficient insulation, the electrode material has to be chosen in accordance with the
dielectric. The electrode work function has to ensure that the potential barrier at
each band, conduction band (CB) and valence band (VB), is larger than ∼ 1 eV in
order to inhibit conduction via Schottky emission of electrons or holes into the oxide
bands. Some oxides additionally need the correct crystalline structure to reach a
high permittivity - e.g. TiO2 needs the rutile phase[7]. Typically, the crystal phase
has to be induced by the bottom electrode acting as growth substrate. In the effort
6 1. Introduction

to minimize chip area, besides downscaling feature sizes, DRAM cells are more and
more designed vertically. Nowadays, storage capacitors with aspect ratios as high as
80:1 are realized in a stack or trench geometry[8], imposing strong requirements on
the conformity of film growth. Atomic layer deposition (ALD)[9], a method of cyclic
deposition and oxidation, allows the most conformal growth of ultra-thin films, even
in these three-dimensional(3D) structures, and thus is the growth method of choice.
The aim of the current ALD research is, starting from precursor chemistry, to de-
velop well-characterized ALD processes and transfer these to the industrial scale.
This has resulted in several new processes for materials of interest to the DRAM
industry, e.g. ZrO2 , HfO2 , Sr(Ba)TiO3 , TiN, Ru, and Pt[10, 11, 12]. Of these, ZrO2 ,
HfO2 , and TiN have subsequently been studied worldwide and have found their way
into the newest chip generations.
Unfortunately, the high-κ oxides are materials with a high intrinsic defect concen-
tration. Due to their higher coordination number and their more ionic bonding
compared to SiO2 their chemical bonds cannot relax easily. In addition, ALD is
known to generally introduce large amounts of impurities, such as C, H, or Cl,
depending on the precursor, which further worsen the film quality.

Figure 1.1: Band gap Eg of high-κ oxides vs. permittivity κ, showing an inverse
scaling behaviour, i.e. Eg ∼ 1/κ. Currently tetragonal ZrO2 (t-ZrO2 ) is employed
featuring a permittivity close to 40 and a band gap of ∼ 5.5 eV.

Much of the present-day research of the high-κ oxides thus consists of pragmatic
7

strategies to reduce defect densities by processing control and annealing[13, 14, 15].
The high intrinsic and process-induced defect density comes together with the fact
that the κ-value of the candidate oxides tends to vary inversely with the band gap,
see Fig. 1.1, meaning highest-κ materials are rather weak insulators.
Against this backdrop the present work was initialized to identify immediate needs
for action. Viable strategies for leakage current reduction were searched for. A
profound understanding of electronic transport in high-κ films will be a prerequisite
for the realization of future DRAM cell capacitor generations, and it will also be
very useful for the transistor gate stack where leakage currents will become an issue
in the medium term.

Modeling of Leakage Currents: The State-of-the-art

To gain deeper insights into the important transport mechanisms, a huge amount of
experimental leakage current data has been collected for the electrode/high-κ oxide
systems of interest. However, the analysis of the data, so far, typically remained on
the level of simplified compact-models that can be evaluated very fast[16, 17, 18, 19].
Often the functional form of the leakage currents could be reproduced quite well, but
only if unrealistic or even unphysical fitting parameters were used[20, 21]. This is
due to the fact that these models, at best, only grasp certain aspects of the observed
transport and neglect essential parts, describing for instance only one single step in
a multistep transport process. A prominent example is the standard Poole-Frenkel
(PF) treatment[22], which only accounts for the field-enhanced thermal emission of
charge carriers localized at defect states, but does not describe the carrier injection
step. At worst, these models are misused in the wrong context, e.g. the often cited
Richardson-Dushman equation was originally intended to describe thermal emission
of electrons from metals into the vacuum[23, 24]. Parameters extracted from such
an erroneous analysis can be misleading.
More sophisticated transport models were proposed, e.g. the "dead layer" model,
suggesting bulk limitation of the electronic transport in SrTiO3 and (Ba,Sr)TiO3 [25].
The drawback of this model is that good fitting of experimental results is only
achieved at elevated temperature (∼ 400 K) and low defect densities, since trans-
port across defect states is not considered. A similar model considers tunneling
through the interfacial layers to dominate the leakage current[26, 27]. For hafnium-
and zirconium-based dielectrics a tunneling-assisted PF conduction mechanism has
been suggested[28] that expands the standard PF treatment. Also a first effort to
embed a hopping transport model via multiple traps in a Monte Carlo algorithm
8 1. Introduction

was undertaken[29].
To summarize, existing approaches usually are tailored to describe certain material
systems and thus focus on certain (isolated) aspects of transport. They fail at
providing a closed model for the transport. Often different transport mechanisms
are present at the same time, and these turn out to be mutually interdependent,
e.g. in case of a charge carrier localized in a defect state which might either escape
via tunneling or thermal emission - competing with each other, the stronger one
mechanism is, the weaker is the other.

Towards the modeling of leakage currents via kinetic Monte Carlo

It was a major goal of this thesis to provide closed models for electronic transport
through high-κ thin films and to incorporate these into simulation tools. A global
picture encompassing all relevant transport mechanisms and their interdependence
was developed. Effects arising in systems with ultra-thin high-κ oxide films, e.g.
the influence of rough electrode/dielectric interfaces leading to local electric field
enhancement or film thinning, or structural relaxation of defects that are involved
in defect-assisted tunneling, were addressed. Modeling and simulation, in contrast
to most of the existing approaches, was carried out in three dimensions to avoid ar-
tifacts due to lower dimensionality. This allowed for the investigation of phenomena
that are localized in all three dimensions like transient degradation of the dielectric
film, i.e. the accumulation of point-like defects, which opens a percolation path for
charge carriers shortening the electrodes. A kinetic Monte Carlo (kMC) approach
was developed, which provides fascinating possibilities, since kMC simulations take
place at the level of individual charge carriers. Complex phenomena like carrier-
carrier interaction and its implications for the transport or transient phenomena
like charge trapping can be investigated in a straightforward manner.
The ultimate goal was to provide the theoretical background that allows for a deep
understanding of the electronic transport in high-κ films and thus supports the
experimental efforts in target-oriented system optimization and the identification of
future device scaling potentials.
Focus was laid on the study of the state-of-the-art TiN/ZrO2 material system for the
DRAM storage capacitor, which is employed in current chip generations. As future
material systems, based on TiO2 and SrTiO3 , are still far away from being ripe
for their introduction into the production process, optimization of the TiN/ZrO2
system will be the key enabler for further scaling in the next years.
The structure of the present thesis is as follows. To get the reader, who is maybe
9

not a specialist in DRAM technology, started, chapter 2 provides the basics of


DRAM technology, beginning with the functional principle of a DRAM cell and a
general survey of nowadays DRAM business. Implications of the downsizing efforts
of the semiconductor industry are discussed and the topic of the present thesis is
put in a wider context, showing its significance for this technology field. At this
point, experimental details on the fabrication and characterization of the investi-
gated high-κ capacitor structures are supplied. The following chapter 3 sets the
physical basis for the simulations carried out in the framework of this thesis by in-
troducing the models for the charge carrier transport mechanisms that are relevant
on the nanoscale. Complementary, sensitivity analyses are carried out to establish a
deeper understanding of the system parameters and their significance for the device
performance. Chapter 4 introduces the kinetic Monte Carlo techniques. Providing
some historical background, the generic kinetic Monte Carlo algorithm is derived, its
main advantages but also its limitations are discussed. Subsequently, its implemen-
tation and application for leakage current simulations in high-κ dielectrics is pre-
sented. Chapter 5 may be regarded as the core of this thesis, the traditional "Results
and Discussion" part. Kinetic Monte Carlo leakage current simulations of different
kinds of capacitor structures are presented. They are contrasted with measurement
data. The whole process of developing a transport model for TiN/ZrO2 /TiN and
TiN/ZrO2 /Al2 O3 /ZrO2 /TiN capacitors is sketched. Higher order effects, such as
electrode roughness, are systematically taken into account. Finally, a brief conclu-
sion is given, intended to sum up the most significant findings of the present thesis.
Possible fields and trends of future research are highlighted in a concise outlook, en-
compassing the application of the simulation framework, established in this thesis,
to future DRAM material systems as well as the adaption of the simulator to other
interesting devices, such as organic solar cells.
10 1. Introduction
Chapter 2

DRAM Technology

DRAM production is a highly competitive business and todays global market is


shared among a handful of huge companies, which are capable to raise the gigantic
amounts of capital needed to built up production facilities and to buy the processing
equipment. DRAM industry traditionally is very cyclic. In good times with high
market prices the top-tier companies can pile up huge profits. In the fourth quarter of
2010, e.g., market leader Samsung controlled roughly 40% of the entire market, which
amounts to a business volume of 2.5 billion euros. In such phases the companies
heavily invest into additional production capacities in order to expand their market
share. Eventually, the market starts to suffer from the resulting oversupply of DRAM
chips, causing a massive plunge of the prizes. In such a situation an intrinsic problem
of the DRAM market emerges. The amount to which the companies can react to
the market situation by reducing their chip production is very limited. Firstly,
decreasing the chip production does not lead to a significant reduction of the overall
production costs, and, secondly, beyond a certain point it is simply not possible,
as shutting down a production line would irreversibly lead to the destruction of
the equipment. Thus, the situation of oversupply remains until the market gains
enough momentum to generate a sufficient request for the chips, which drives the
prizes up again. In short words, DRAM production is one of the harshest business
environments one can think of.
As the functionality that has to be provided by the memory chips is strictly standard-
ized in nearly every detail, the companies do not have the possibility to differentiate
much from each other by providing a superior chip functionality. Thus, to gain an
advantage about the competitors, one has to manufacture the same product at lower
cost.
Grinding down the suppliers of the raw materials, i.e. especially the silicon wafers,

11
12 2. DRAM Technology

200
180
DRAM nodes

160 ITRS Forecast 2010

140
half-pitch (nm)

120
100
80
60
40
20
0
2000

2002

2004

2006

2008

2010

2012

2014

2016

2018

2020

2022

2024
year of production

Figure 2.1: Evolution of DRAM node half-pitches shown for chip generations since
2000. Predicted values until 2024 are based on the ITRS.

and the manufacturers of the production tools is no viable strategy to pursue, as


the small set of these companies is shared by all the competitors. They would just
switch over to another company. So, the cost advantage has to be designed in.
A rough measure for this, neglecting differences arising to process complexity, is,
how efficiently the silicon wafer area is used. Since a wafer costs the same for all
companies, the one wins, who produces the most chips from one wafer. This urge
keeps the miniaturization process alive and its speed at a high level, as can be seen
in Fig. 2.1. Here, the evolution of the minimum feature size, the so called half-pitch,
over the past eleven years and a forecast based on estimations of the International
Technology Roadmap for Semiconductors (ITRS) are shown.
In the following, we will first, in 2.1, outline the basic operation principle of a single
DRAM cell, and then, in 2.2, we will comment on how this downscaling of DRAM
chips is realized via technology and design improvements.
2.1. DRAM Basics: Operation Principle 13

2.1 DRAM Basics: Operation Principle


Whenever information has to be stored in a way that allows quick access, Dynamic
Random Access Memory (DRAM) is the medium of choice. While the miniaturiza-
tion has driven the DRAM production process to an extreme level of sophistication,
the working principle of the DRAM cell, being very simple, has not changed during
the last decades.

Figure 2.2: Schematic picture of a DRAM cell consisting of a capacitor and a


transistor. Via the wordline a voltage can be applied to switch on the transistor and
allow access to the storage capacitor. Readout and writing of the capacitor is done
via the bitline.

As depicted in Fig. 2.2, a single DRAM cell consists of a capacitor and a transistor,
connected to a grid of perpendicular, conducting lines. The information is stored in
form of an electrical charge on the capacitor, the so called storage node or cell node.
Either the capacitor is charged ("0") or not ("1"). Thus, every cell can store one
bit of digital information. Access to the capacitor is controlled by the transistor.
Its gate is connected to the wordline (WL). By changing the bias applied to the
WL, access to the storage node via the bitline (BL) can be controlled by opening or
blocking the transistor channel.
A readout process is carried out by applying a voltage to the WL, switching on
the transistor channel. The stored information is sensed as a voltage change in the
BL, caused by the charge flowing from the storage node onto it. To write to the
memory, the BL is forced to the desired high or low voltage state, and the capacitor
is charged or discharged.
In reality, due to the non-ideality of the dielectric film, acting as insulating layer
14 2. DRAM Technology

between the capacitor electrodes, the charge stored on the capacitor, i.e. the infor-
mation, is lost over time. Therefore, the information has to be refreshed in regular
intervals. The JEDEC (foundation for developing semiconductor standards) has
defined a refresh cycle length of 64 ms or less. This is the reason why this type of
memory is called "Dynamic" Random Access Memory.
It is one of the central topics of this thesis to investigate the loss mechanisms involved
in the transport of charge across the dielectric layer. These so called leakage currents
are studied in detail, invoking Monte Carlo techniques to simulate the transport
phenomena. A better understanding of the underlying physics is intended to foster
the leakage current minimization and thus to extend miniaturization potentials.

2.2 Introduction of High-κ Oxides


While the principle of a DRAM cell is very
simple, as discussed in 2.1, its realization is
very complex due to the urge of minimizing
the wafer area per cell.
Over the last years, this has lead to a growing
degree of vertical integration. Exemplarily,
Fig. 2.3 shows a scanning electron microscopy
(SEM) picture of a cut through a modern
90 nm DRAM cell with deep trench capacitor.
In order to save wafer surface area the capac-
itor is realized as a trench, which is etched
deep down into the Si wafer. The capacitor
electrode, on which the charge is stored, is
marked blue. It is separated by an ultra-thin
dielectric layer (typical thicknesses nowadays
are below 10 nm) from the plate, the grounded
counter-electrode of the capacitor, which is
shared among the individual cells. Here, the Figure 2.3: SEM cut through
dielectric is only visible as a thin, white line. a 90 nm deep trench DRAM cell
Also the WL, running perpendicular to the (source: Qimonda AG).
cutting plane, and the transistor channel di-
rectly below it can be seen (marked red). In the upper part of the picture, BL and
BL contact to the cell are marked green. The ingenuity of engineers has allowed
2.2. Introduction of High-κ Oxides 15

Figure 2.4: SEM image of 90 nm deep trench capacitors and zoom on the top part
of a DRAM cell (source: Qimonda AG).

aspect ratios as high as 1:80 for the trench capacitor, as can be seen in Fig. 2.4.
Due to the shrinking DRAM cell dimensions the size of every single cell component
has to be reduced. A rigid criterion for the cell capacitor is set by the desired
minimum cell capacitance. In DRAMs the digital information is read by detecting
the change in the BL voltage ∆VBL = αVdd Ccell /(CBL + Ccell ) that is caused by the
charge flow from the storage node onto the BL. Here, α ∼ 0.5, Vdd is the operational
voltage, and Ccell and CBL are the capacitances of the cell capacitor and the BL,
respectively. To ensure a reliable information readout, a voltage change ∆VBL ≥
0.1 V is necessary to differentiate between "0" and "1". This can be translated to a
minimum capacitance that has to be maintained. So, every loss of capacitor area has
to be counterbalanced by an increase of capacitance per area (capacitance density).
The situation is worsened by the fact that soon a very drastic change in the capacitor
design will inevitably take place. Currently, the cell capacitor is realized as a hollow
cylinder, as shown in Fig. 2.5, allowing to use both the inner and the outer surface
as capacitor area. Within the upcoming node generations the shrinking lateral cell
dimensions will enforce the switch to a block capacitor design. This means a massive
loss of area which will make drastic increases of the capacitance density necessary.
Let us quantify this. Capacitancy density usually is measured in terms of equivalent
oxide thickness (EOT). The EOT gives the thickness of a SiO2 dielectric film required
16 2. DRAM Technology

Figure 2.5: Hollow cylinder and block design for the cell capacitor.

0.6 ITRS forecast


EOT (nm)

0.4

0.2

0.0
2010

2012

2014

2016

2018

2020

2022

2024

year of production

Figure 2.6: Prediction of required EOTs for the storage capacitor in the next years
according to the ITRS.

to reach a certain capacitance density. With κ(SiO2 )= 3.9 the EOT of a capacitor
using a dielectric with permittivity κ and thickness d, can be calculated from

3.9
EOT = · d. (2.1)
κ
The currently best capacitor concepts, suited for large-scale production, feature
EOTs around 0.7 nm[30] and employ a trilaminate ZrO2 /Al2 O3 /ZrO2 dielectric. Fig.
2.6 shows the EOT requirements for the next years according to ITRS estimates,
one year being roughly equivalent to one chip generation. As can be seen, the
downscaling of the capacitor has already fallen two years behind the schedule. Only a
few years after successful integration of high-κ dielectrics the semiconductor industry
2.2. Introduction of High-κ Oxides 17

seems to have reached a point where further scaling proves to be very hard. Via
clever cell architectures, up to now, the requirements could be mitigated.

But why is that? To understand what makes it so hard to increase the capacitance
density and to fulfill the leakage current criterion at the same time, let us have
another glance on the formula for the planar plate capacitor, given in equation
(1.1). In principle, one has two options.

First, one can shrink the thickness of the dielectric layer. But, as the operational
voltage is downscaled only very weakly between two node generations, this approach
leads to higher electric fields being present in the dielectric. These growing fields,
in turn, cause an increase of leakage currents, as the most transport mechanisms
strongly scale with the electric field. Additionally, higher electric fields may cause
early breakdown of the dielectric.

The alternative approach is to increase the permittivity κ, inevitably requiring the


introduction of a new dielectric material, which typically comes along with many
problems connected with, e.g., the development of suitable industry-scale growth
processes and their integration into the overall production flow.

In the past, the latter has proven to be a Herculean challenge, one only meets if
it cannot be avoided. Therefore, SiO2 was scaled down until the limit of quantum
mechanical tunneling was reached. As has been mentioned earlier in chapter 1, there
is an unfortunate correlation between the insulating properties of a material and its
dielectric properties. The higher the permittivity of a material is, the lower is its
band gap, making it a worse insulator. To get a rough feeling of the requirements
on the materials, one can make a simple estimate.

Let us assume that we have introduced a new dielectric material with permittivity
κ and a conduction band offset (CBO) Φ with respect to the Fermi level of the
employed electrodes. The CBO defines the height of the tunneling barrier, as hole
transport usually is many orders of magnitude lower due to the much larger VB
offset. We further assume that the film is perfect, i.e. free of any kind of defects. As
long as we are above the limit of quantum mechanical tunneling, ensured by a suffi-
cient film thickness, in this scenario leakage currents will be dominated by Schottky
emission, i.e. thermionic emission of charge carriers from the electrode into the CB
of the dielectric. This transport mechanism will be discussed in detail in 3.1.2. For
now, we simply estimate the amount of leakage current via the Richardson-Dushman
equation for the current density jSE due to Schottky emission:
18 2. DRAM Technology

Figure 2.7: Current density due to Schottky emission as a function of the CBO,
calculated from eqn. (2.2). The dashed green line marks the current criterion for
the storage capacitor.

  v 
emk 2 e  u eF
u
jSE = 2 B3 T 2 · exp − Φ−t  . (2.2)
2π h̄ kB T 4πǫ0 ǫopt

Here, m is taken as the free-electron mass, F is the applied electric field, and ǫopt is
the optical permittivity of the dielectric material. The requirement on the leakage
current for the storage capacitor is j < 10−7 A/cm2 for an applied electric field of
F = 1 MV/cm and a temperature of 125◦ C. ǫopt is exemplarily set to 5.6[31], the
value of t-ZrO2 , currently the state-of-the-art dielectric in the storage capacitor.
With these values, a minimum CBO of ∼ 1.3 V is necessary, as can be seen in Fig.
2.7.
This value of Φ has to be understood as a minimum requirement. As will be seen,
meeting this criterion alone is by far not sufficient. But, just by regarding the evolu-
tion of the CBO with the introduction of high-κ oxides, one gets a first impression of
the problems, which may arise. In Fig. 2.8 the most promising electrode/dielectric
material combinations are listed together with an estimate of the CBO, which is to
be expected. The displayed values are calculated from the difference of the electrode
work function Φm and the electron affinity Ξa of the dielectric, i.e. it is implicitly
assumed that the interfaces are ideal. This case is called the Schottky-limit[32] and
the listed values thus only constitute an upper limit for the CBO. Realized CBOs
2.2. Introduction of High-κ Oxides 19

Figure 2.8: CBO values for electrode/dielectric material combinations in volts.


Ideal interfaces, i.e. without Fermi-level pinning or charge transfer are assumed.
Thus, the CBO is calculated as Φ = Φm − Ξa , Φm being the electrode work function
and Ξa the electron affinity of the dielectric.

can be significantly reduced due to Fermi level pinning caused by interface states in
the band gap or charge transport across the metal/insulator interface leading to the
formation of an interface dipole.
As can be seen, for the currently employed TiN/ZrO2 material, with a CBO of 2.2 V
in the Schottky-limit, no noteworthy leakage currents due to Schottky emission are
to be expected. In contrast, for the next generation of high-κ materials, i.e. TiO2
and SrTiO3 , problems may arise. While Pt promises to be the best suited electrode
for these materials in terms of CBO, its integration into the DRAM production
process simply would be too costly and would also rise a lot of issues connected with
the processing of Pt. And, experimentally, the actual CBO, e.g. at the Pt/TiO2
interface, was found to be only 1.05 V[33]. TiO2 in its rutile high-κ phase has a band
gap of ∼ 3 eV[34]. The fact that the Fermi level of the metal electrode is shifted
towards the CB of TiO2 is caused by the n-type character of TiO2 due to self-doping
with oxygen vacancies[35]. Thus, p-doping is investigated as a measure to increase
the CBO for TiO2 and SrTiO3 . For TiO2 it has been demonstrated that doping
with Al increases the CBO by 0.5 V to 1.54 V[36]. This is close to the optimum
value of this material, since a larger CBO would correspond to a too small VB offset
giving rise to hole injection.
Besides shrinking CBOs with increasing κ, other severe problems have to be ad-
dressed, e.g. to ensure growth in the correct crystalline phase. While rutile TiO2
has a permittivity larger than 100, in its anatase phase the permittivity is only
20 2. DRAM Technology

around 40[37]. So far, the only successful approach to reach the rutile crystalline
phase for TiO2 thin films was to induce the correct crystalline structure via the
substrate, i.e. the bottom electrode of the capacitor structure, for which Ru was
used. On top of the Ru a thin, rutile RuO2 layer was formed prior to the deposition
of the dielectric.
And, when extremely high values for the permittivity are discussed, one usually
refers to the bulk value of the materials. Unfortunately, however, especially for the
ultra-high-κ materials discussed above, the permittivity has been found to be thick-
ness dependent. At the metal/dielectric interfaces thin layers with a siginificantly
reduced dielectric constant are formed, so called "dead layers". Obviously, this is
detrimental for the scaling of the capacitor, as it reduces the effective dielectric con-
stant of the whole film. Consequently, many groups have studied this phenomenon
and tried to track down its origin. Both intrinsic and extrinsic effects have been
discussed, e.g. a distortion of the dielectric response of the insulator due to imper-
fections, secondary phases or interdiffusion at the interface, or the finite penetration
length of the electric field into the metal electrode[38]. A detailed overview of the
current knowledge is given in ref. [33].
The multitude of the above-discussed aspects, which have to be considered con-
cerning leakage currents, gives a first impression of the complexity of the task to
downscale the capacitor, while ensuring sufficiently low leakage currents. However,
the above is still just a part of the problems that one encounters on the path of
downscaling. So far, we emanated from the assumption of perfect, defect-free di-
electric thin films. Thus, the above-mentioned problem areas cover only the intrinsic
problems associated with the introduction of high−κ materials, i.e. low CBO, dead
layers, stabilization of the desired crystalline structure.
Now we drop the assumption of perfect, defect-free dielectrics, since it is far from
reality. Indeed, all high-κ candidate materials are known to suffer from high defect
densities[39]. This is due to their high coordination number, hindering the relaxation
and rebonding of broken bonds at a defect site. Often, defects create localized
electronic states in the band gap, so called defect states. These give rise to another
class of transport mechanisms, subsumed under defect-assisted transport (DAT).
Charge carriers, here, are thought to tunnel/hop across defect states, which, acting
as stop-overs, lead to drastically increased transmission probabilities of particles
through the barrier set up by the dielectric. A detailed introduction to the zoo of
transport mechanisms relevant in high-κ thin films will be given in chapter 3. For
now, it is only important to be aware of these additional transport pathways.
2.3. Experimental Methods 21

The above in mind, the steps in optimizing a material system, i.e. a metal/high-κ
dielectric combination, are clear. First, it has to be ensured that intrinsic currents
are sufficiently low by providing the minimum CBO of Φ = 1.3 V. Then, extrinsic
transport has to be dealt with. The research effort, here, is focused on the reduc-
tion of defect densities, e.g. via suited annealing strategies[40] or their passivation
via doping with other elements. Doping with La, Sc, or Al, for example, has been
demonstrated to passivate oxygen vacancies in HfO2 by repelling the defect states
out of the band gap[41] and also doping with F has been shown via ab initio calcu-
lations to have an advantageous effect[42].
But, in order to guide this effort one first has to identify the defects, which open up
the transport channels, i.e. a transport model has to be set up for a material system.
In the present work, we intend to present a scheme how such a model can be system-
atically developed. We lay emphasis on the constant exchange between simulations
and experiment. After an elaborate discussion of the basic transport mechanisms in
chapter 3, we will, in chapter 4, outline a novel, kMC-based simulation approach to
the complex transport phenomena in high-κ films. Having established the routines,
a closed transport model for the currently employed state-of-the-art material system
TiN/ZrO2 will be presented. Such a model is very useful in pointing out strategies
for the minimization of leakage currents.

2.3 Experimental Methods


At this point, although the focus of this work is not on the experimental side, we will
give a concise overview of the process flow for fabrication of the TiN/ZrO2 /TiN ca-
pacitors, which are investigated here with respect to their electronic properties. Also
characterization methods will be described. For further details, the reader should
refer to the dissertation of Wenke Weinreich on the "Fabrication and characteri-
zation of ultra-thin ZrO2 -based dielectrics in metal-insulator-metal capacitors"[43].
There, a very detailed overview over experimental details is given, also for exactly
those capacitor structures which are investigated here from a theoretical point of
view. All samples investigated in this thesis were fabricated by the Qimonda AG in
collaboration with the Fraunhofer Center Nanoelectronic Technology in Dresden.
22 2. DRAM Technology

Figure 2.9: (a) TEM picture of 2.5 µm deep trenches, etched into a Si substrate
and subsequently coated via ALD with ZrO2 (taken from ref. [43]). (b) TEM picture
of a ZrO2 /Al2 O3 multi-layer structure, formed via ALD.

2.3.1 Atomic Layer Deposition

Atomic layer deposition is a method for the chemical growth of thin films from the
vapour phase. It is based on alternating, saturating surface reactions[44]. ALD guar-
antees film deposition with a high degree of conformity and homogeneity. Accurate
film thickness control and high reproducibility is ensured via a cyclic growth proce-
dure. The self-limitation of the involved chemical surface reactions allows conformal
coating of three-dimensional structures, as they are found in the case of the DRAM
storage capacitor, which is either realized as a trench, etched down in the Si-wafer
as shown in Fig. 2.9 (a), or in a stack geometry. Composition of a multi-component
material can be controlled with a resolution down to monolayers of atoms (Fig. 2.9
(b)).
As said, ALD is a cyclic process, whereas one growth cycle can be subdivided into
four steps, as sketched in Fig. 2.10. (1) Pulse of educt or precursor A until the
surface is saturated with precursor molecules. (2) Purging of the growth reactor
with an inert gas to remove excessive educts and volatile byproducts. (3) Pulse of
educt or precursor B until the surface is saturated with precursor molecules. (4)
Purging of the growth reactor with an inert gas to remove excessive educts and
volatile byproducts. Steps (1)-(4) are repeated until the desired film thickness is
deposited.
ALD is a strictly surface-controlled process. Thus, other parameters besides reac-
2.3. Experimental Methods 23

Figure 2.10: ALD flow scheme. (top) substrate surface before ALD growth, (1)
pulse of educt A, (2-1) purging of growth reactor with inert gas, (3) pulse of educt
B, (4-1) purging of growth reactor with inert gas, (2-2) repetition of step (2-1), (4-2)
repetition of step (4-1).
24 2. DRAM Technology

tants, substrate, and temperature have no or only negligible influence on the film
growth. Of course, a real ALD growth process suffers from non-idealities, such as
incomplete chemical reactions, decomposition of the precursor materials, and espe-
cially incorporation of impurities in the growing film. The latter are in the case of
high-κ dielectrics comparably high. Electrically active defect states, leading to large
leakage currents, can be the consequence. Therefore, a huge effort is undertaken
in order to optimize the ALD growth recipes (e.g. via the choice/development of
suitable precursors[44]) and minimize impurities.

Fabrication of the Capacitor Structures

For the fabrication of the TiN/ZrO2 /TiN (TZT) capacitors, which are investigated
in this thesis, (001)-silicon wafers were coated with a bottom electrode consisting of
10 nm of chemical vapour-deposited TiN, with TiCl4 and NH3 as precursors, grown
at 550◦ C[45]. Subsequently, the ZrO2 film was grown by ALD at 275◦ C and 0.1 Torr
vapour pressure with a Jusung Eureka 3000, a single-wafer (300 mm) process-
ing equipment of Jusung Engineering (Korea). Here, Tetrakis(ethylmethylamino)-
zirconium (TEMAZr) was employed as metal precursor and ozone (O3 ) as oxi-
dizing agent. A high growth rate of ∼ 0.1 nm/ALD cycle was reported for this
process[46, 47, 48]. For ALD growth of Al2 O3 films Trimethylaluminum (TMA)
was used as metal precursor. The top TiN electrode was deposited at 450◦ C by
chemical vapour deposition.
TiN/ZrO2 /Al2 O3 /ZrO2 /TiN (TZAZT) capacitors, studied in 5.3, were additionally
subjected to a post-deposition anneal at 650◦ C in N2 prior to TiN top electrode
deposition in order to crystallize the ZrO2 layers. As grown, the thinner layers of
ZrO2 (∼ 3.5-4.5 nm) employed in the TZAZT capacitors are amorphous, which is not
surprising, since crystallization of ZrO2 films is known to be thickness dependent[3].
2.3. Experimental Methods 25

2.3.2 Electrical Characterization


For the electrical characterization of the TZT capacitors, temperature-dependent
current measurements were carried out on a heatable wafer holder with a Keithley
4200 parameter analyzer, delay times of 2 s, and a voltage ramp rate of 0.05 V/s.
Additionally, capacitance measurements were carried out using an automated setup
PA300 from SüssMicroTec and an Agilent E4980A, whereas the standard measuring
frequency was 1 kHz, and the voltage amplitude was set to 50 mV. From capacitance
measurements the effective permittivity of a dielectric film can be easily extracted
via

Cd
κ= , (2.3)
ǫ0 A
whereas C is the measured capacitance, d the thickness of the dielectric film, and A
the capacitor area.
26 2. DRAM Technology
Chapter 3

Models for Charge Transport

In the preceding chapter the multitude of possible mechanisms by which charge


carriers can overcome the barrier for transport set up by the dielectric layer was
already mentioned and divided into two categories, intrinsic mechanims, i.e. those
which are always present, even if a dielectric film of perfect quality is assumed, and
extrinsic mechanisms, which are related to the existence of defect states. As will
be discussed in detail in chapter 4, if one plans to carry out kMC transport simu-
lations, rate models for every relevant transport process are crucial input. kMC, as
will be described, enables the study of the statistical interplay between the different
transport mechanisms. Thus, in this chapter, a detailed discussion of all important
transport mechanisms and their sensitivity towards system parameters and external
parameters is given. This is intended to form the basis for the following investiga-
tions, carried out on the currently most important material system TiN/ZrO2 . In
the following we will limit the discussion to electron transport, since hole transport
in the relevant material systems is negligible due to the comparably large VB offset.
The set of transport mechanisms, which are discussed in the remainder of this chap-
ter and are implemented in the kMC simulation tool, is sketched in Fig. 3.1. These
encompass both, intrinsic mechanisms, such as (i) direct tunneling (which merges
into Fowler-Nordheim tunneling for high voltages) and (ii) Schottky emission, as well
as extrinsic mechanisms, such as (iii) elastic/inelastic tunneling of electrons into and
(iv) out of defects, (v) tunneling between defects, and (vi) field-enhanced thermal
emission of electrons from defects, so called PF emission. In an extra section we
will address the role of structural relaxation of defects upon a change of their charge
state, inducing a shift of the defect level energy (not shown).

27
28 3. Models for Charge Transport

Figure 3.1: Schematic band diagram of a MIM structure with applied voltage U .
Transport mechanisms, implemented in the kMC simulator: (i) direct/Fowler Nord-
heim tunneling, (ii) Schottky emission, (iii) elastic and inelastic tunneling (p = num-
ber of phonons with energy h̄ω) into and (iv) out of defects, (v) defect-defect tun-
neling, (vi) PF emission.
3.1. Direct Tunneling 29

3.1 Direct Tunneling

Referring to direct tunneling, one usually means coherent tunneling through an


energetically forbidden barrier region, which, in our situation, is set up by the band
gap of the dielectric material. Thus, the barrier height is determined by the CBO
of the high-κ oxide, and its width is given by the layer thickness. In the following
we will derive the standard expression for direct tunneling, the so called Tsu-Esaki
tunneling formula[49], since it is a very introductive exercise in order to get familiar
with the relevant physics. For an in-depth study the reader is referred to ref. [50].
We consider elastic, energy conserving tun-
neling through a barrier that varies only
in transport direction and is constant and
of infinite extent in the two lateral di-
mensions. Conservation of the lateral mo-
mentum of the tunneling electron is as-
sumed. Effects of inhomogeneities, impu-
rities or interface roughness only induce
minor quantitative deviations but do not
lead to a qualitatively different behaviour.
Thus, we neglect them.

Figure 3.2: Band diagram for a MIM The general problem we are dealing with, is
structure setting up a tunneling bar- illustrated in Fig. 3.2. Here, a MIM struc-
rier for electrons. Charge flow is indi- ture with an applied bias U is shown, set-
cated. After tunneling thermalization ting up a generic tunneling barrier for elec-
takes place. trons. The two metal contacts, or, more
general, charge carrier reservoirs, are as-
sumed to be in equilibrium. A Fermi-Dirac distribution of the electrons in the
electrodes is assumed. In case of current flow, this is not exactly true, but again, we
neglect minor deviations arising from this fact. The CB bottom of the left contact is
chosen as zero-reference of the potential energy. Assuming a single, parabolic, and
isotropic CB minimum, we write the energy of the tunneling electron to the left (l)
and to the right (r) of the barrier as

h̄2 kx,l
2 h̄2 ky,z,l
2
El = Ex,l + Ey,z,l = + (3.1)
2m∗ 2m∗

and
30 3. Models for Charge Transport

h̄2 kx,r
2 h̄2 ky,z,r
2
Er = Ex,r + Ey,z,r = + + Ec,r . (3.2)
2m∗ 2m∗
Here, kx and ky,z are the momenta in the transport direction and the two lateral
directions. Ec,r denotes the CB minimum of the right contact. Since we assume
that the lateral momenta are conserved, i.e. ky,z,l = ky,z,r , we arrive at

h̄2 kx,l
2
h̄2 kx,r
2
Ex,l = = + Ec,r . (3.3)
2m∗ 2m∗
After tunneling, the electron is assumed to thermalize. Via inelastic collisions excess
energy is tranferred to the reservoir and the electron loses memory of its previous
state. The overall electron current is calculated, in this picture, as net difference
between the electron current from the left to the right jl and the current from the
right to the left jr .
We consider the current flow in x direction for a given energy E with a component
Ex,l in transport direction. Particles in an infinitesimal volume element of momen-
tum space dkl around kl cause a current density ji,l impinging from the left onto
the barrier, calculated as

ji,l = −eN (kl )fl (kl )vx (kl )dkl . (3.4)

fl denotes the Fermi-Dirac distribution in the left contact and N = 1/(4π 3 ) the
density of states in k-space. The velocity perpendicular to the barrier from the left
is

1 ∂E(kl ) h̄kx,l
vx (kl ) = = . (3.5)
h̄ ∂kx,l m∗

To calculate the current density transmitted to the right, equation (3.4) is multiplied
with the transmission probability T (kx,l ), which, for the above simplifications, is only
a function of the momentum in transport direction. Thus, we have

eh̄
jl = − T (kx,l )fl (kl )kx,l dkx,l dky,z,l . (3.6)
4π 3 m∗
In an analogous way one arrives for the current transmitted to the left for given
energies E and Ex at:

eh̄
jr = − T (kx,r )fr (kr )kx,r dkx,r dky,z,r . (3.7)
4π 3 m∗
3.1. Direct Tunneling 31

From equation (3.3) it follows that kx,l dkx,l = kx,r dkx,r = m∗ dEx /h̄2 . If we addition-
ally use the symmetry of the transmission coefficient with respect to the tunneling
direction, we can calculate the total net current flow from

e Z∞ Z ∞
′ ′
Z 2π h i
jDT = 3 dEx dk k dφT (Ex ) fl (Ex , k ′ ) − fr (Ex , k ′ ) . (3.8)
4π h̄ 0 0 0
As mentioned above, we assume that the electrons in the contacts are distributed
according to the Fermi-Dirac function given by

1
fl/r (Ex , Ey,z ) = ,
(Ex +Ey,z −Ef,l/r )/(kB T )
(3.9)
e
whereas Ef,l = Ef,r + eU . Again using the assumption of parabolic bands, we arrive
at

em∗ Z ∞ Z ∞
′ ′
h
′ ′
i
jDT = dEx T (Ex ) dE E fl (Ex , E ) − fr (Ex , E ) (3.10)
2π 2 h̄3 0 0
em∗ kB T Z ∞ 1 + e(Ef,l −Ex )/(kB T )
!
= dEx T (Ex )ln , (3.11)
2π 2 h̄3 0 1 + e(Ef,l −eU −Ex )/(kB T )

the Tsu-Esaki formula for tunneling currents.


All information on the contacts is contained in the effective mass and the logarithmic
term, while information on the tunneling barrier is contained in the transmission
coefficient. As said, some uncritical assumptions were made concerning the electron
distribution within the contacts and the band structure - cf. page 29. Dropping these
does not lead to major modifications of the tunneling current. The crucial point in
carrying out studies of tunneling currents is how one deals with the transmission
coefficient.

3.1.1 Transmission Coefficient and Tunneling Effective Mass


The transmission coefficient T (E) is defined as the ratio of the quantum mechanical
(qm.) current density due to a wave impinging on a potential barrier V (x) and
the transmitted current density. It is a measure for the probability of a particle,
described by a wave package, to penetrate a potential barrier, whereas E is the
energy perpendicular to the barrier.
Tsu and Esaki developed the transfer-matrix method[49], which allows the straight-
forward calculation of T (E). As sketched in Fig. 3.3, calculation of the transmission
through an arbitrarily shaped barrier is carried out by approximating the barrier
as a series of piecewise constant rectangular barriers, for which the transmitted
32 3. Models for Charge Transport

Figure 3.3: Tunneling of a particle with energy E through an arbitrarily shaped


barrier V (x). For numerical computation of the transmission coefficient the barrier
is approximated by a series of rectangular barriers with constant potential.

qm. current density can be easily determined. The integration over this series of
infinitesimal barriers is also reflected in the well-known Wentzel-Kramers-Brillouin
(WKB) approximation (e.g. ref. [51]):

R x1 q
2m∗
−2 dx (V (x)−E) R x1 q
e x0 h̄2 −2 dx 2m∗
(V (x)−E)
T (E) ≈  q 2 ≈ e
x0 h̄2 . (3.12)
R x1 2m∗
1 + 1 −2 x0
dx
h̄2
(V (x)−E)
4e

Here, the integration is performed between the classical turning points x0 and x1 , at
which E = V (x). The huge advantage of the WKB transmission coefficient is that
its numerical evaluation involves only small computational effort.
However, a point that is often not addressed is the importance of the tunneling
mass m∗ , which has frequently been misused as an adjustable parameter to fit ex-
perimentally observed tunneling currents (e.g. ref. [52]). The correct treatment of
the tunneling mass, in contrast, leads to an energy-dependent mass that, just as the
band masses, can be calculated for every material, as will be outlined below.
A particle, say an electron, that tunnels through the band gap of an insulator, is
described by a wavefunction with complex wave vector k, whereas the imaginary
part of k describes the dampening of the evanescent wave within the tunneling
barrier. It enters the above formula for the transmission coefficient, equation (3.12),
q
2m∗
and is given by k = h̄2
(V (x) − E). Thus, T (E) strongly depends on the relation
k(E), which can be extracted from the dispersion relation in the band gap, i.e. the
imaginary band structure (IBS) of the insulator. The IBS is the complex extension
3.1. Direct Tunneling 33

Figure 3.4: Energy dependence of the effective tunneling mass of cubic ZrO2 in the
upper part of the band gap, as it is derived from the dispersion models in equations
(3.13) and (3.14) and tight binding (TB) calculations. The figure is taken from ref.
[53].

of the lowest real conduction band of the insulator and determines the decay of the
tunneling wave function[53].
The simplest possible assumption, i.e. a parabolic (pb) dispersion in the band gap
leads to
q

2mpb E ′
kpb (E ) = , (3.13)

with a constant tunneling mass mpb throughout the whole band gap, as can be seen
in Fig. 3.4. Here, E ′ is the negative difference between electron energy and the CB
bottom.
Assuming a Franz-type dispersion[54], one arrives at[55]

√ v
2mF √ ′ u E′
u
kF = E t1 − . (3.14)
h̄ Eg

Equation (3.14) reduces to a parabolic dispersion close to the CB edge (E ′ → 0)


and the VB edge (E ′ → Eg , Eg being the size of the band gap) with the same
34 3. Models for Charge Transport

effective mass (see Fig. 3.4). Since VB and CB masses are different in most cases,
Dressendorfer proposed a modified dispersion


v
E ′
2mc √ 1− E
u
u
g
kD = E ′t . (3.15)
u
 
h̄ mc E′
1− 1− m v Eg

This dispersion reduces to a parabolic one with the appropriate masses mc close to
the CB and mv close to the VB. The accuracy can be further increased by performing
theoretical calculations of the IBS, as it has been done for SiO2 [56] and recently also
for ZrO2 [53], unfortunately in the cubic crystalline phase and not in the tetragonal
phase, which features the highest permittivity.
Since the dispersion of the wavevector in the band gap, equivalent to an effective
tunneling mass, enters the exponents in equation (3.12), the tunneling mass has
a strong impact on the transmission coefficient. This issue will again be referred
to in the following sections, where we introduce rate models, which are necessary
to perform kMC simulations and frequently involve the calculation of transmission
coefficients.

3.1.2 Thermionic Emission and Field Emission

As CBOs of high-κ materials are comparably low (see Fig. 2.8), emission of charge
carriers over the tunneling barrier into the CB of the high-κ film, called thermionic
emission, plays an important role. This process has been studied in detail[57]. In
the metal electrode a free-electron gas is assumed, whereas the electrons follow
the Fermi-Dirac statistics. The major task in order to determine the current due
to thermionic emission is to calculate the transmission probability for the tunneling
barrier depicted in Fig. 3.5. Here, a rectangular barrier V0 (grey) with a CBO of EB
with respect to the electrode Fermi level at EF is shown. Application of an electric
field F tilts the barrier by introducing the additional potential VF (x) = −eF x. Then
the barrier has a slope −eF (dash-dotted line). The study can be extended to take
image charge effects into account. An electron which is emitted from the metal
electrode induces a positive image charge within the metal electrode that attracts
the emitted electron. The attractive force follows a screened Coulomb law, whereas a
traveling distance x of the electron from the metal surface corresponds to a distance
2x between the electron and its image charge. With ǫopt we denote the optical
permittivity of the barrier material. Thus, the image force can be written as
3.1. Direct Tunneling 35

Figure 3.5: Effective barrier shape (black) at a metal-insulator interface. The


rectangular barrier V0 is tilted, if an electric field F is applied (VF ), and further
reduced due to the image potential Vim .

−e2
Fim (x) = (3.16)
4πǫ0 ǫopt (2x)2
and the image potential Vim (dashed line) is then

Z x
−e2
Vim (x) = − Fim (x′ )dx′ = . (3.17)
∞ 16πǫ0 ǫopt x
Addition of the different contributions to the total potential energy leads to an
effective tunneling barrier with a reduced CBO (drawn in black). We can write the
total potential as

e2
V (x) = V0 (x) + VF (x) + Vim (x) = EB − eF x − . (3.18)
16πǫ0 ǫopt x
From dV (x)/dx = 0 for x = xm we conclude that V (x) has its maximum at
q
xm = e/(16πǫ0 ǫopt F ) with a value of
v v v
e3 F e3 F e3 F
u u u
V (xm ) = EB − t = EB − t (3.19)
u u u
−t .
16πǫ0 ǫopt 16πǫ0 ǫopt 4πǫ0 ǫopt
r
e3 F
Thus, the barrier is reduced by ∆ESE = 4πǫ0 ǫopt .
To accurately calculate the current through the barrier, equation (3.11) has to be
solved for the given barrier shape. While this, in general, is only possible numerically,
for some limiting cases well-known analytical approximations exist[58, 59].
36 3. Models for Charge Transport

Figure 3.6: Comparison of analytical formulas for SE, equation (3.20), and FN
tunneling, equation (3.21), with an accurate numerical solution. A CBO of 1 eV and
a barrier thickness of 10 nm was assumed. SE describes the limit of low electric fields
and high temperatures and FN the limit of high electric fields and low temperatures.

For high temperatures and low electric fields emission of electrons over the tunneling
barrier delivers the dominant current contribution, while for low temperatures and
high electric fields tunneling of electrons close to the Fermi levels dominates. The
former case is called Schottky emission (SE) - if barrier lowering due to the image
potential is taken into account. This limit is described by the Richardson-Dushman
equation[57]
  v 
em ∗ kB2 T2 1  u e3 F
u
jSE = 3 exp − EB − t  . (3.20)
2π h̄
2 kB T 4πǫ0 ǫopt
The latter case is known as Fowler-Nordheim (FN) tunneling. An approximate
formula is found, if one calculates the tunneling of electrons close to the Fermi level
at zero Kelvin through a triangular barrier, which is realized at high electric fields.
Then, the current is described by[60]
 q 
4 2m∗ EB3
e3 F 2
jF N = exp − . (3.21)
8πhEB 3h̄eF
In Fig. 3.6 a comparison of the approximations given in equations (3.20) and (3.21)
3.1. Direct Tunneling 37

with a numerical solution of equation (3.11), as it is implemented in the kMC simu-


lator, is shown. Calculations were carried out for different temperatures and a fixed
CBO of EB = 1 eV. As can be seen, in this case equation (3.21) is a reasonable
approximation for electrical fields larger than 3 MV/cm and temperatures of 200 K
and lower. For higher temperatures, the approximation fails, as it does not account
for temperature scaling of the current at all. Equation (3.20), on the other hand,
is a good approximation for temperatures above 350 K and electrical fields below
∼ 1.5 MV/cm. In the intermediate transition regime only the numerical solution
delivers accurate results.
There is often the misunderstanding that direct tunneling, FN tunneling, and SE
describe qualitatively different transport mechanisms. Thus, to conclude, we point
out that they are all contained in the general treatment of electron emission through
a tunneling barrier leading to equation (3.11). Sometimes it is convenient to study
them separately. This can be done, e.g. by splitting the integral over Ex , the electron
energy perpendicular to the barrier, in equation (3.11), as discussed in [59]. SE, for
example, denotes emission over the tunneling barrier, and thus one only integrates
over energies Ex close to the maximum energy of the barrier. However, if not stated
otherwise, in the remainder of this thesis we will refer to all these three regimes as
direct tunneling.

3.1.3 Sensitivity Analysis


If one aims at developing transport models based on simulations, it is important
to know how sensitive the simulation results are towards changes of the adjustable
parameters. In general, changes in simulation parameters should be carried out
with outmost care, and the plausibility of the parameter values should always be
scrutinized, especially, if the simulations react very sensitively towards the change
of a certain parameter. In the case of kMC the simulation algorithm is a purely
stochastical procedure in the sense that it works correctly from a mathematical point
of view. Physics enter this stochastic framework via rate models, which are discussed
in this chapter. The validity of the models itself and of the input parameters which
enter the simulations with the rate models has to be ensured by the scientist carrying
out the simulations, cf. chapter 4. A sensitivity analysis of the model parameters
has proven to be very useful in this context and thus is provided for all models
implemented in the kMC simulator, beginning with the above-discussed model for
direct tunneling.
We regard formula (3.11), stated again below for convenience:
38 3. Models for Charge Transport

(Efl −Ex )/(kB T )


 
em∗ kB T Z ∞ 1 + e
jDT = dEx T (Ex )ln  .
2π 2 h̄3 0 1+e
(Efl −eU −Ex )/(kB T )

Apart from the effective electron mass in the contacts, which we keep fixed during
all simulations, there is no further explicit dependence on input parameters. All
information on the investigated structure is implicitly included in the transmission
coefficient T (Ex ). T (Ex ) contains information on the barrier shape, i.e. the bar-
rier thickness, its height (CBO), and the barrier material, determining, e.g., the
tunneling effective mass or ǫopt .
In Fig. 3.7 the effect of a change of the CBO between electrode and dielectric
material on the current flow is illustrated. For a barrier of 10 nm thickness EB was
varied between 0.8 eV and 1.6 eV. The tunneling effective mass was treated according
to equation (3.15), whereas for mc and mv values of 1.16·m0 and 2.5·m0 were used,
corresponding to t-ZrO2 [61], and the transmission coefficient was calculated in the
WKB approximation, given in equation (3.12). ǫopt in equation (3.18) was set to
the literature value 5.6 for t-ZrO2 [31].
At 125◦ C and for lower CBOs also at 25◦ C SE dominates in the whole field region
studied. For higher barriers (EB → 1.6 eV) FN tunneling becomes dominant for F >
2.5 MV/cm, visible as an increase of the slope of the current-voltage(IV)-curves. The
higher the CBO, the more important becomes FN tunneling. As to the sensitivity
of the tunneling current, a reduction of ∆E = 0.1 eV in the CBO corresponds to
an increase of the current by one and a half orders of magnitude. If FN tunneling
dominates, a slightly weaker current increase by one order of magnitude is observed.
Both SE and FN tunneling do not depend on the barrier thickness, provided that
for different thicknesses identical electric fields, and not identical voltages, are com-
pared. This can be understood regarding Fig. 3.8. SE (Fig. 3.8 (a)) only depends
on the effective barrier height and the electron distribution within the contact, since
the electrons are emitted over the barrier. In the case of FN tunneling (Fig. 3.8
(b)), electrons penetrate through a triangular barrier and are injected into the CB of
the dielectric. The tunneling distance is determined by the classical turning points
and thus does not depend on the total thickness of the dielectric. Any thickness
dependence observed for direct tunneling has its origin in the electrons at lower
energies Ex , which tunnel through a trapezoidal barrier, as shown in Fig. 3.8 (c).
In this case an exponential dependence on the barrier thickness is to be expected.
However, this contribution to the total direct tunneling current only becomes dom-
inant for extremely thin films (e.g. ∼ 3 nm for t-ZrO2 on TiN electrodes) and very
3.1. Direct Tunneling 39

Figure 3.7: Direct tunneling current was calculated at temperatures of (a) 25◦ C
and (b) 125◦ C for a 10 nm thick tunneling barrier consisting of t-ZrO2 . EB was
varied between 0.8 eV and 1.6 eV.
40 3. Models for Charge Transport

Figure 3.8: Different mechanisms subsumed under the general term direct tun-
neling. (a) SE. Electrons with large thermal energy are emitted over the tunneling
barrier. (b) FN tunneling. At high electric fields electrons with a lower energy tun-
nel through a triangular barrier (marked orange). (c) At low electric fields, electrons
with energies around the electrode Fermi level face a trapezoidal tunneling barrier
(marked orange).
3.2. Tunneling Into and Out of Defects 41

low temperatures, i.e. for conditions which have not been part of this work.

3.2 Tunneling Into and Out of Defects


Besides intrinsic conduction mechanisms, as discussed in 3.1, especially in high-κ
oxides with large defect densities extrinsic conduction mechanisms are important.
A first step in DAT is, naturally, always the injection of electrons from the electrode
into a defect state. First studies of such processes appeared in the early seventies[62,
63].

Figure 3.9: (a) Injection and (b) emission of an electron with energy Ee + ph̄ω
into/out of a defect state with depth ED . Both elastic tunneling (red) and inelastic,
multiphonon-assisted tunneling (black) under emission or absorption of p phonons
are shown.

As shown in Fig. 3.9, tunneling can be both an elastic process or an inelastic


one, in the latter case under emission or absorption of p lattice phonons of energy
h̄ω (single-mode approximation), whereas ω is a typical phonon frequency. Both
kinds of electron injection into and emission out of defects will be discussed in the
following.

3.2.1 The Elastic Case


Lundström et al. determined the probability for a metal electron to tunnel into
a defect state[62] using Bardeen’s method[64], according to which the transition
matrix element can be calculated as
Z

TED = ψD (H − Ee )ψE dr, (3.22)
where ψE and ψD are the wave functions of the electron in the metal electrode
and of the trapped electron, respectively. H is the complete Hamiltonian of the
42 3. Models for Charge Transport

system and Ee the total electron energy. The transition probability per unit time p
for a constant energy E|| = h̄2 k||2 /(2mE ) in the lateral dimensions then follows from
Fermi’s golden rule, i.e.


!
dNE
p= |TED |2 . (3.23)
h̄ dE E=Ee

Here, NE (E) is the electron density of states and mE the electron mass in the
electrode. Integration over k|| delivers the total transition probability per unit time
P . Assuming a three-dimensional delta-like defect potential, Lundström arrived
at[62]

4h̄mE Kk03 2 x0 k02


!
P= 1 − exp(−2Kd). (3.24)
3m2i K 2 + k02 5 K
Here, mi is the electron mass in the insulator, K 2 = 2mi ED /h̄2 , with the defect
depth ED (see Fig. 3.9), k02 = 2mE Ee /h̄2 , and the distance x0 of the defect from
the electrode. One can also derive an alternative expression for P , where P is
reformulated as a product of a time constant and a transmission coefficient[65],
which can be easily computed, e.g. in the WKB approximation. Then, we obtain

3/2
 
4 h̄mE k03 8E
5/2
me

P= T (Ee ) =  √e  T (Ee ), (3.25)
2
3 mi K mi 3h̄ ED
an expression which, for convenience, was used in later works, e.g. to study the
enhanced conductivity of nitrided SiO2 films[66, 67]. To arrive at the final rate for
electron injection into the defect, P has to be weighted with the probability that
the electronic states in the electrode at energy Ee are occupied, i.e. f (Ee ), given in
equation (3.9). Thus, we arrive at

3/2
 
8E
5/2
me

RED =  √e  f (Ee )T (Ee ) = C · f (Ee )T (Ee ), (3.26)
mi 3h̄ ED

me 5/2
   3/2

8E
with C = mi
√e
3h̄ ED
. In an analogues manner, release of trapped electrons
to the electrode is calculated via

3/2
 
8E
5/2
me

RDE =  √e  (1 − f (Ee )) T (Ee ) = C · (1 − f (Ee )) T (Ee ), (3.27)
mi 3h̄ ED

whereas (1 − f (Ee )) is the probability that the electronic states in the electrode at
energy Ee are empty.
3.2. Tunneling Into and Out of Defects 43

3.2.2 Sensitivity Analysis

10
10

9
10

8
10

7
(s )

10
-1

ED

6
10
R

5
10

4
10

(a)
3
10
0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0

E (eV)
D

Figure 3.10: (a) Rate RED for injection of electrons into defects as a function of
defect depth ED . The defect was placed in a distance of 2 nm from the electrode.
EB was set to 1 eV, and temperature was set to 25◦ C. (b) Sketch of electron injection
into a defect for varying defect depth.

Since C is a slowly varying function of Ee and ED , the product f (Ee )T (Ee ) is in


the focus of the sensitivity analysis. The interplay of the two factors governs the
behaviour of the injection rate RED . We calculated RED for a barrier with a CBO
44 3. Models for Charge Transport

of EB = 1 eV and a defect in a distance of 2 nm from the electrode. The defect


depth ED was varied between 0.3 eV and 1.7 eV, as can be seen in Fig. 3.10 (b). A
maximum rate is observed, for a defect aligned with the electrode Fermi level. The
rate strongly decreases for shallower defects and weakly decreases for deeper defects.
For shallow defects the tunneling barrier is small, thus T (Ee ) is large. But this is
overcompensated by the low occupation probability f (Ee ) for energies that are far
above the Fermi level. For defects below the Fermi level and defects aligned with
the Fermi level the number of electrons impinging on the tunnel barrier is nearly
equal. Thus, a moderate reduction of the injection rate is observed, which is due
to the higher tunneling barrier for the deeper defects. The higher the temperature,
the more the maximum rate will shift towards shallower defects.
It is important to keep in mind that, if an electric field is applied, the bands of the
insulator are tilted. Consequently, for growing fields, shallow defect will move closer
to the Fermi level, while deeper defects will be pushed further down, as shown in
Fig. 3.11. In Fig. 3.11 (a), calculated injection rates under an applied electric field
of up to 4 MV/cm are shown for a shallow defect with ED = 0.5 eV and a deeper
one with ED = 1.0 eV. For F ∼ 2.5 MV/cm we observe a maximum injection for
the shallow defect. At a distance of x = 2 nm from the electrode the potential drop
due to F amounts to ∆V = eF x = 0.5 eV. Thus, the defect is perfectly aligned with
the Fermi level. For higher fields, as can be seen in Fig. 3.11 (b), the tunneling
barrier increases and the injection rate is slightly reduced. The deeper defect with
ED = 1 eV, accordingly, has its maximum injection rate at F ∼ 0 and RED slightly
decreases for higher fields.
3.2. Tunneling Into and Out of Defects 45

1012
E

1011
D

0.5 eV

1.0 eV
1010

109
(s )
-1

108
ED
R

107

106

105
(a)
4
10
0 1 2 3 4
F (MV/cm)

Figure 3.11: (a) Rate RED as a function of the electric field F for two defect depths
ED = 0.5 eV and ED = 1.0 eV. The defect was placed in a distance of 2 nm from the
electrode. EB was set to 1 eV, and the temperature was set to 25◦ C. (b) Change
of the barrier shape due to the applied field. Orange colour marks the barrier for
ED = 0.5 eV and green colour for ED = 1.0 eV.
46 3. Models for Charge Transport

3.2.3 The Inelastic Case


We describe inelastic tunneling from an electrode contact into a defect and the
reverse process of emission of trapped electrons into the electrode as a multi-phonon-
assisted tunneling process. A first analysis of tunnel-assisted release of trapped
electrons into the electrode was carried out by Kiveris et al.[68], which was later
on augmented by Dalidchik[69], who refined the multi-phonon transition theory and
coupled it to WKB tunneling expressions. Herrmann further expanded the model by
calculating Shockley-Read-Hall lifetimes, assuming that electron capture/emission
is a multi-phonon-assisted transition between a free-electron state in the electrode
and a localized state at the defect site[70]. We followed his treatment, adapting it
to our needs.
As depicted in Fig. 3.9, we consider a defect state at energy Ee (x), which, in presence
of an electrical field F , depends on the x coordinate of the defect. Coupling to the
phonons of the insulator is described in the single-mode approximation, i.e. we
replace the coupling to the various phonon modes of the insulator by a coupling to
only one effective phonon mode, characterized by the effective phonon energy h̄ω
and two coupling constants, the lattice relaxation energy Elattice and the Huang-
Rhys factor S. Consequently, we obtain a series of virtual states of the coupled
electron-phonon system at energies Ep = Ee + ph̄ω. Each of these states can be
initial or final state of a multi-phonon-assisted tunnel process.
M P ) and out of
We express the rates for multi-phonon-assisted tunneling into (RED
M P ) via characteristic times, needed to occupy or to empty a defect,
defects (RDE
i.e.[70]

MP 1
RED = MP
, (3.28)
τED
MP 1
RDE = MP
. (3.29)
τDE

Unlike in former treatments where the interest was focused on the steady-state con-
ditions of the system, i.e. the equilibrium occupation probability of the defect[70],
we intend to explicitly study the dynamical behaviour of the system. Besides, a
steady-state analysis in the framework of our kMC simulations would also have to
encompass other transport mechanisms that are present at the same time and, com-
peting with multi-phonon-assisted tunneling, influence the steady-state occupation
probability of the defect.
The time constants given in equations (3.28) and (3.29) are calculated from[70]
3.2. Tunneling Into and Out of Defects 47

1 Z ∞
MP
= NE (E)f (E)TED (E, x)cED (E, x)dE (3.30)
τED −∞
1 Z ∞
= NE (E) [1 − f (E)] TDE (E, x)cDE (E, x)dE. (3.31)
τDEP
M −∞

Here, NE (E) is the density of states in the electrode,

1 2mE 3/2 q
 
NE (E) = 2 E − Ec · Θ(E − Ec ) (free-electron gas), (3.32)
2π h̄2
where Θ is the Heaviside function and Ec is the CB edge of the electrode. TED (E, x) =
TDE (E, x) ≡ T (E, x) is the transmission coefficient through the tunnel barrier be-
tween the electrode and the defect at position x for electron energy E. cED and
cDE denote the characteristic electron-phonon interaction rates,


exp − Ee (x)−E ,
 
∞ 
kB T if Ee (x) − E > 0,
cED (E, x) = c0 Lp (z)δ (E − Ep (x)) 
X

p=−∞,p6=0 1, otherwise,


(3.33)
and


exp − E−Ee (x) ,
 
∞ 
kB T if E − Ee (x) > 0,
cDE (E, x) = c0 Lp (z)δ (E − Ep (x)) 
X

p=−∞,p6=0 1, otherwise.


(3.34)
For a 3D, delta-like defect potential c0 has been estimated to[71, 72]

(4π)2 rD
3
c0 = (h̄E0 )3 . (3.35)
h̄Eg

Here, rD = h̄/ 2mi ED is the localization radius of the electron in the defect with
depth ED . h̄E0 denotes the electro-optical energy in the insulator,

!1/3
e2 h̄2 F 2
h̄E0 = , (3.36)
2mi
and Eg is the band gap of the insulator material.
Apparently, only energies E = Ep = Ee (x) + ph̄ω, where p is the integer phonon
number, are allowed for the multi-phonon-assisted processes. This is an immediate
consequence of the single-mode approximation. In this picture the multi-phonon
transition probability Lp (z), introduced in equation (3.33), can be calculated as
48 3. Models for Charge Transport

!p/2
fBE + 1
Lp (z) = exp [−S(2fBE + 1)] Ip (z), (3.37)
fBE
where Ip (z) denotes the modified Bessel function of order p with the argument
q
z = 2S fBE (fBE + 1), and fBE is the Bose-Einstein distribution, i.e.

1
fBE = 
h̄ω
 . (3.38)
exp kB T −1

Inserting equations (3.33) and (3.34) into equations (3.30) and (3.31) delivers

∞ ph̄ω
MP
= c0 NE (Ep )f (Ep )T (Ep , x)Lp (z) · e kB T
X
RED
p<0
∞ (3.39)
+ c0 NE (Ep )f (Ep )T (Ep , x)Lp (z)
X

p>0


MP
= c0 NE (Ep ) [1 − f (Ep )] T (Ep , x)Lp (z)
X
RDE
p<0
∞ −ph̄ω
(3.40)
+ c0 NE (Ep ) [1 − f (Ep )] T (Ep , x)Lp (z) · e
X
kB T
.
p>0

3.2.4 Sensitivity Analysis


In general, the above-presented model for inelastic, multiphonon-assisted tunneling
introduces a multitude of parameters. However, most of these can be chosen to
match experimentally extracted values, as stated below. Compared to elastic injec-
tion of electrons into defects, the inelastic injection is usually suppressed by some
orders of magnitude. This is at least the case for the above-chosen approximation,
i.e. especially the chosen defect potential shape which determines the magnitude of
M P for
c0 . To study the sensitivity towards the model parameters, we calculated RED
a defect in a distance of x = 2 nm from the electrode, a CBO of 1 eV, and a defect
depth of ED = 1 eV.
M P on the most important variables, i.e. (a)
In Fig. 3.12, the sensitivity of RED
temperature, (b) Huang-Rhys factor, and (c) phonon energy is illustrated, whereas
the contributions from individual phonon numbers, calculated from


exp − Ee (x)−E ,
 

kB T if p > 0,
c0 NE (Ep )f (Ep )T (Ep , x)Lp (z) ·  (3.41)
1, otherwise,
3.2. Tunneling Into and Out of Defects 49

6
10 6
10

4
10 4
10

2
10 2
10
(s )

(s )
-1

-1
0
0
MP

10

MP
ED

ED
10
R

R
-2
10 T -2
S
10
25°C 0.5

-4 125°C -4
1.0
10 10
225°C 10.0
(a) (b)
-6 -6
10 10
-10 -5 0 5 10 -10 -5 0 5 10
p p

6
10

4
10

2
10
(s )
-1

0
10
MP

ED
R

-2
10

0.02 eV
-4
10 0.04 eV

0.06 eV
(c)

-6
10
-20 -15 -10 -5 0 5 10 15 20

E/0.04 (eV)

M P for inelastic, multi-phonon-assisted injection into a defect


Figure 3.12: Rate RED
in a distance of x = 2 nm from the electrode. A CBO of 1 eV, a defect depth
ED = 1 eV , and an electrical field F = 1 MV/cm were used. The dependence of the
rate on (a) temperature, (b) Huang-Rhys factor, and (c) phonon energy is depicted.

are resolved. For electron injection negative phonon numbers correspond to phonon
absorption and positive numbers to phonon emission (and vice versa for electron
emission from the defect).

Increasing the temperature leads to an increased injection rate (see Fig. 3.12 (a)), as
intuitively expected, since the number of available phonons increases. An increase in
temperature of 100◦ C leads to an increase of RED
M P by 1-2 orders of magnitude. Addi-

tionally, the maximum contribution to the total rate slightly shifts to higher phonon
numbers. While for T = 25◦ C it lies at p = −1, it shifts to p = 1 for T = 225◦ C. This
shift is due to the broadening of the Fermi-distribution, i.e. the increased probabil-
ity to find occupied electron states with higher energy in the electrode, which can
50 3. Models for Charge Transport

tunnel under emission of phonons into the defect.


Coupling to oxide phonons, here represented by an effective phonon energy h̄ω, leads
to a thermal broadening of the defect level, whereas the Huang-Rhys factor S is a
measure for the coupling strength of the electron-phonon interaction. If one would
set S to zero, thus eliminating the electron-phonon interaction, one would observe
a delta-like peak in Fig. 3.12 (b). The higher S, the more this peak is broadened,
i.e. the probability for transitions involving higher numbers of phonons increases.
In principle, S can be calculated for a certain material, if its phonon modes and the
electron-phonon coupling potential are known[73, 74]. Experimentally, via studies
of temperature-dependent photoluminescence of zirconia films, a Huang-Rhys factor
of up to 40 was found[75], indicating very strong electron-phonon coupling in these
films. In most common binary semiconductor materials like GaAs, GaP, GaSb,
InAs, InP, or InSb S ranges from 1 to 3[76]. In our kMC simulations we used values
between 1 and 10 for S.
M P on the assumed effective phonon mode is shown in Fig. 3.12 (c),
The dependence of RED
M P is depicted as a function of the energy change ∆E during an inelastic
where RED
transition. This energy ∆E has to be either absorbed by or emitted from the elec-
tron via phonon absorption or emission. For a given ∆E the transition probability,
M P , decreases with decreasing phonon energy h̄ω, since this means that a
i.e. RED
higher number of phonons is necessary to supply or to dissipate this energy, thus
making it a less likely process. In agreement with theoretically and experimentally
determined values for ZrO2 h̄ω was kept in the interval 30 − 50 meV[75, 31] for all
kMC simulations.
3.3. Defect-Defect Tunneling 51

3.3 Defect-Defect Tunneling


In the preceding section electron injection into defects was investigated, forming the
first step in transport across defect states. In a subsequent step trapped electrons
can be 1) reemitted to the electrode, they came from, or tunnel to the counter-
M P in equation (3.40), 2) reach the CB of the insulator via
electrode, described by RDE
thermal emission, as discussed in 3.4, or 3) tunnel to another defect in their vicinity.
For the latter kind of transition, unlike trapping and detrapping processes involving
delocalized states in the electrode, which are dealt with in 3.2, both initial and final
state, have to be described by strongly localized wave-functions.

Figure 3.13: Schematic of (a) elastic and (b) inelastic tunneling between two
defects i and j in the band gap of an insulator. In (a) no electric field is applied,
thus assuming two identical defects, the defects have the same energy level. The
exponentially decaying wave functions of the trap states are sketched. In (b) an
electrical field is applied, which tilts the bands and shifts the defect level energies
with respect to each other. The electron transition from defect i to j can only occur
under phonon emission.

As sketched in Fig. 3.13, defect-defect tunneling can be (a) an elastic process,


e.g. between two defects with the same energy without an applied electric field or
for transport perpendicular to an applied electric field, or (b) an inelastic, multi-
phonon-assisted process, e.g. between defects energetically shifted with respect to
each other due to an applied electric field.
52 3. Models for Charge Transport

3.3.1 The Elastic Case


Elastic defect-defect transitions are modeled as a hopping process between isolated
electron states. For elastic hopping from defect i to defect j Mott derived the
transition rate[77]

−2rij
 
Rij = ν · exp . (3.42)
rD
Here, ν is a typical phonon frequency, i.e. of the order of 1013 s−1 , rij is the distance
between the two defects, and rD is defined by the wave function of the localized
states, decreasing as exp(−r/rD ). For a delta-like defect potential the normalized
wave funtion of the trapped electron is given by[71]

rD e−r/rD
r
ψ= (r > 0), (3.43)
2π r
as sketched in Fig. 3.13, with the localization radius


rD = √ , (3.44)
2mi ED
which has already been introduced in 3.2. Here, ED is the depth of the defect, i.e.
the deeper a defect level, the stronger the electron localization.

3.3.2 The Inelastic Case


Inelastic defect-defect tunneling, depicted in Fig.3.13 (b), analogous to the case
of inelastic electron injection into a defect, described in 3.2, is a phonon-assisted
process, where the electron exchanges energy with the crystal lattice via electron-
phonon interaction. Phenomenologically, the inelasticity can be incorporated into
the rate expression, given in equation (3.42) by multiplication with an exponential
weighting factor. Then the rate for inelastic tunneling from defect i to defect j is[78]
  
∆E

−2rij exp − kB T ,
  if ∆E > 0
Rij = ν · exp ·
rD  1, otherwise,

where ∆E is the energy difference between final and initial defect state. The above
expression for Rij corresponds to the Miller-Abrahms hopping model, which was de-
veloped to describe impurity conduction in doped semiconductors[79]. The inelastic
defect-defect transitions can also be regarded as a two-step process: 1) elastic tun-
neling from defect i into a virtual state at the position of defect j and 2) subsequent
3.3. Defect-Defect Tunneling 53

energetic relaxation to Ej , the energy of defect j, moderated by the electron-phonon


interaction. Then, one arrives at
  
exp − ∆E ,

if ∆E > 0
k T
Rij = ν · Tij ·  B
(3.45)
1, otherwise,
whereas Tij is the transmission coefficient through the tunneling barrier separating
the two defects.

Alternatively, we can calculate the rate Rij from expressions analogue to equations
(3.30) and (3.31). Adopted to the current situation, one can write
Z ∞ Z ∞
Rij = Ni (E)fi Nj (E ′ )[1 − fj ]Tij (E, rij )cij (E, E ′ )dEdE ′ . (3.46)
−∞ −∞
Here, Ni (E) = δ(E − Ei ) and Nj (E) = δ(E − Ej ) are the densities of states for the
two defects at energies Ei and Ej , and fi and fj are there occupations, i.e. either
zero or one, if multiple occupation is forbidden. A transition from defect i to defect
j, in this picture, is only possible, if defect i is occupied and defect j is empty. Thus,
we arrive at

Rij = Tij (Ei , rij )cij (Ei , Ej ). (3.47)


Ridley carried out quantum-mechanical calculations of the rate cij for multi-phonon-
assisted, non-radiative defect-defect transitions[76]. Adopting the single-mode ap-
proximation, he arrived at a widely applicable, simple expression for cij , given by

cem p
ij = R0 (fBE + 1) exp(−2fBE S) (3.48)
for a transition under emission of p phonons and

p
cabs
ij = R0 fBE exp(−2fBE S) (3.49)
for a transition under absorption of p phonons. The prefactor R0 can be approxi-
mately written as

π S p−1 e−S |∆|2


" #
R0 = 2 0.26|V |2 + 0.18 (p − 1) , (3.50)
h̄ ω (p − 1)! S
with

|V |2 = |Vq |2 (3.51)
X

q
54 3. Models for Charge Transport

and

|V ∆|2 = | Vq ∆q |2 . (3.52)
X

Here, Vq and ∆q are defined as

Vq =< ψj |Uq |ψi > (3.53)

and

∆q =< ψj |Uq |ψj > − < ψi |Uq |ψi > . (3.54)

Uq denotes the electron-phonon coupling potential, which may depend on the phonon
wave vector q. ψi and ψj are the wave functions of the initial defect i and the final
defect j.
So far, no assumptions have been made on the localized electron states or the
electron-phonon interaction. If detailed models for Uq , ψi and ψj are supplied, the
matrix elements |V |2 and |V ∆|2 can be evaluated and, thus, also RDD in equation
(3.48), a task which has been carried out in the past for various models[80, 81, 82].
For our purposes the calculation of the above matrix elements was performed numer-
ically by the simulator assuming for the defect wave function a constant probability
density in a cubic volume centered around the defect position and a vanishing prob-
ability density outside the cube, i.e. for a defect at r = 0,
h i−1/2
 4π r 3

, if − R2 ≤ x, y, z ≤ R
3 D 2
ψ= (3.55)
0, otherwise.
The edge length of the cube was chosen to be

R = (4π/3 · rD )1/3 , (3.56)

and for the electron-phonon interaction an optical deformation potential

Uq = UD · exp(iq · r) (3.57)

was adopted.

3.3.3 Sensitivity Analysis


In equations (3.45) and (3.47) two models for inelastic, multi-phonon-assisted tun-
neling between two defect states were introduced, whereas the first can be evaluated
3.3. Defect-Defect Tunneling 55

straightforwardly, while the second involves much more mathematics and also more
assumptions, which are reflected in additional model parameters. As the phonon
vibration frequency ν was kept fixed to 1013 s−1 in all our simulations, it is not
discussed here. Anyway, the dependence of Rij on it is trivial.

Figure 3.14: Sketch of two defect levels i and j in the band gap of an insulator. (a)
If U1 > 0, then Ei > Ej . Thus, tunneling from i to j can only occur under emission
of p1 = ∆E1 /h̄ω phonons. (b) If U2 > U1 , then ∆E2 > ∆E1 . Thus, the number
of emitted phonons increases, i.e. p2 > p1 . The rate Rij for non-radiative, multi-
phonon-assisted transitions from i to j was calculated for rij = 2 nm, ED = 1 eV,
h̄ω = 40 meV and T = 125◦ C.

Instead, we compare the two models introduced in the preceding subsection with
respect to their scaling with phonon number p. To this end, we investigated the
following situation, shown in Fig. 3.14. We regard two defect states i and j in
the bulk of an insulator separated rij = 2 nm from each other. The defect depth
is chosen to be ED = 1 eV. Electric fields between 0 MV/cm and 4 MV/cm are
applied in order to shift the defect levels with respect to each other. The rate for
non-radiative, multi-phonon-assisted tunneling Rij from defect i to j was computed.
The higher the applied bias, the larger ∆E, the energy difference between the defect
levels. Thus, for higher fields higher phonon numbers are desired for the transition
to take place.
56 3. Models for Charge Transport

5
10

eqn. (3.45)

eqn. (3.47)

4
10
R (s )
-1

ij

3
10

2
10
0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0

F (MV/cm)

Figure 3.15: Rij was computed for the defect-defect transition sketched in Fig. 3.14
for varying electric fields. The two models given in equations (3.45) and (3.47) are
compared.

As Fig. 3.15 shows, rates Rij calculated from equations (3.45) and (3.47) are in
close agreement, both, in quantitative terms and in their scaling behaviour with the
electric field, or, equivalently, the phonon number. The minor differences, which are
observed, have their origin in the assumptions made for the defect potential and the
localized electron wave functions, which enter equation (3.47).
In Fig. 3.16 Rij is shown for different defect depths. ED was varied between
0.5 eV and 1.5 eV. In general, for all investigated defect depths the Miller-Abrahams-
like (MA) model (equation (3.45)) scales stronger with the electric field than the
model derived from Ridley’s considerations (equation (3.47)), as already observed
in Fig. 3.15. The rate calculated according to the MA model also scales stronger
with the defect depth. For both models, the scaling behaviour is dominated by the
scaling of the transmission coefficient, thus it is very similar. However, in equation
(3.47) also cij depends on ED . The matrix elements in equation (3.50) depend
on the shape of the wave functions which again depends on ED . In the chosen
approximation the distance, to which the localized states extend into the insulating
material, is determined by the edge length R, which is calculated from (3.56). The
dependence of cij on ED enters the model via the localization radius rD .
3.4. Poole-Frenkel Emission 57

8 E = 0.5 eV eqn. (3.45)


10 D

E = 0.5 eV eqn. (3.47)


D

E = 1.0 eV eqn. (3.45)


7 D

10
E = 1.0 eV eqn. (3.47)
D

E = 1.5 eV eqn. (3.45)


D
6
10 E = 1.5 eV eqn. (3.47)
D
R (s )
-1

5
10
ij

4
10

3
10

2
10

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5

F (MV/cm)

Figure 3.16: Rij was computed for the defect-defect transition sketched in Fig. 3.14
for different defect depths ED between 0.5 eV and 1.5 eV for varying electric fields.
The two models given in equations (3.45) and (3.47) are compared.

3.4 Poole-Frenkel Emission


Discussing DAT, we so far only considered tunneling processes, where the electrons
never reach the CB of the insulator. We regard an electron trapped in a defect
state. Besides tunneling to other nearby defects or to the contacts, it can also leave
the defect via thermal emission to the CB. In the late 1930s, Frenkel developed a
model for this kind of process, motivated by the observation that in insulators and
semiconductors the conductivity increases, if large electric fields are applied[22]. A
field-dependent mobility of the charge carriers could be ruled out, since the same
increase of conductivity was also found upon illumination of the materials, whereas
the applied electric field was left constant. Thus, the reason for this enhancement
was assumed to be an increase of the charge carrier density. Frenkel envisioned
a field-assisted detrapping, freeing immobile charge carriers, which subsequently
contribute to the conduction. In a medium with high defect densities, as for instance
the high-κ oxides, defect states are assumed to be the sources of the additional charge
carriers. The increased conductivity, in this picture, is explained by a field-assisted
barrier lowering, whereas for an electron trapped in a defect the barrier height equals
the defect depth.
58 3. Models for Charge Transport

A simple model, describing the field-enhanced detrapping of electrons from defect


states, can be obtained from the following considerations: We regard a defect state,
which, if occupied with an electron, is neutral. Thus, as soon as the electron leaves
the defect, the latter becomes positively charged. The resulting Coulomb attraction
between electron and charged defect is sufficient to explain a barrier lowering in
presence of an electric field, as can be understood from Fig. 3.17.

Figure 3.17: Effective electron potential (black) in the vicinity of a positively


charged defect under an applied electric field F . The constant crystal potential V0
is tilted by the electrostatic potential VF . Combined with the screened Coulomb
potential VD of the defect, this leads to a field-dependent barrier reduction ∆EP F
for emission of trapped electrons.

A constant crystal potential

VC (x) = V0 , (3.58)
describing, e.g., the CB edge of the insulator, is assumed. We add an electric field
F , which gives rise to an electrostatic potential

VF (x) = −eF x. (3.59)


The attractive Coulomb interaction between the positively charged defect at posi-
tion x0 and the emitted electron at position x is described by a screened Coulomb
3.4. Poole-Frenkel Emission 59

potential

e2
VD (x) = − . (3.60)
4πǫ0 ǫopt |x − x0 |
ǫopt is the optical permittivity of the insulator material. Its usage at this place has
been subject to discussion[83]. The overall potential V , shown as continuous line in
Fig. 3.17, is calculated by superimposing VC , VF , and VD :

e2
V (x) = VC (x) + VF (x) + VD (x) = V0 − eF x − . (3.61)
4πǫ0 ǫopt |x − x0 |
The combination of the band tilting due to the electric field and the attractive
Coulomb potential leads to the barrier reduction ∆E, which can be easily deter-
mined. From the condition

dV (x)
!
=0 (3.62)
dx x=xm
we extract the coordinate of the maximum of the barrier for electron emission xm ,

e
s
xm = x0 + . (3.63)
4πǫ0 ǫopt F
Back in equation (3.61) we obtain
v
u 3
u e F
V (xm ) = V0 − eF x0 − t (3.64)
πǫ0 ǫopt
and thus an effective barrier height of

v v
u 3 u 3
u e F u e F
ED − ∆EP F = V0 − eF x0 − t − (V0 − ED − eF x0 ) = ED −t (3.65)
πǫ0 ǫopt πǫ0 ǫopt
r
e3 F
with a field-dependent barrier reduction ∆EP F = πǫ0 ǫopt . This expression is quite
similar to ∆ESE , the barrier reduction for thermionic emission, calculated on page
35, which resulted from the interaction of the electron with its image charge in the
electrode, see 3.1.2.

∆EP F = 2∆ESE , (3.66)

whereas the factor 2 is due to the fact that, in the case of PF emission, the positive
charge is spatially fixed at the defect site, while, in case of thermionic emission, if
the electron moves a certain distance in the x-direction, its image charge moves the
same distance in the −x-direction.
60 3. Models for Charge Transport

Emission of the trapped electron into the CB can be modeled as a thermally excited
process, i.e. one can calculate the probability that, at a certain point in time, a
sufficient amount of thermal energy is transferred to the electron so that it can
overcome the barrier. The corresponding transition rate is
  v 
1 
u 3
u e F
RP F = ν · exp − ED −t  . (3.67)
kB T πǫ0 ǫopt

ν denotes the lattice vibration frequency (∼ 1013 s−1 ), which can be physically
interpreted as number of times per second the electron interacts with the surrounding
crystal lattice[84].
If the above one-dimensional treatment, which only considers electron emission par-
allel to the applied electric field, is expanded to three dimensions, allowing electron
emission under every angle with respect to the electric field, one arrives at[85]

 !2 " √ √ !# 
1
!
ED kB T β F β F
 
RP F = ν · exp − √ 1+ − 1 exp +  , (3.68)
kB T  β F kB T kB T 2
q
with β = e3 /(πǫ0 ǫopt ).

3.4.1 Sensitivity Analysis


The major, and in fact the only, parameter governing the rate for field-enhanced
thermal emission of trapped electrons from defects into the insulator CB is the trap
depth ED , since we adopted throughout all simulations a value of 1013 s−1 for ν.
In Fig. 3.18 the rate for PF emission has been calculated for electrical fields F up
to 4 MV/cm varying ED . ǫopt = 5.6, the value for t-ZrO2 [31], was adopted. The
comparison between the 1D model, given in equation (3.67), and the 3D model,
given in equation (3.68), shows that both have the same scaling behaviour with
respect to ED . Rates calculated according to the 3D model are slightly smaller,
which is due to the fact that in this case the net rate was obtained by averaging
over the rates for electron emission in all angles with respect to the applied field,
whereas the 1D model only treats emission parallel to the field. In the latter case
the barrier reduction takes on its maximum value, resulting in a higher emission
rate.
Variation of ǫopt has a huge impact on the calculated emission rates, as shown in
Fig. 3.19. Smaller values of ǫopt lead to a stronger increase of RP F for increasing
electric fields. Unfortunately, ǫopt has been frequently misused as a free fitting
3.4. Poole-Frenkel Emission 61

16
10
E
14 D
10
0.6 eV
12 0.8 eV
10
1.0 eV
10
1.2 eV
10
1.4 eV
8
10
(s )
-1

6
10
PF
R

4
10

2
10

0
10

-2
10

-4
10
400 600 800 1000 1200 1400 1600 1800 2000
0.5 0.5
F (V/cm)

Figure 3.18: RP F is calculated varying ED between 0.6 eV and 1.4 eV. Continuous
lines correspond to the 3D model given in equation (3.68), dashed lines to the 1D
model given in equation (3.67).

108

107 opt

4.6

5.6

106 6.6

105
(s )
-1

PF

104
R

103

102

101
400 600 800 1000 1200 1400 1600 1800 2000
0.5 0.5
F (V/cm)

Figure 3.19: RP F is calculated for ED = 1 eV varying ǫopt . The 3D model from


equation (3.68) was used.
62 3. Models for Charge Transport

parameter in order to match experimental current-voltage data, e.g. in refs. [86, 87].
In contrast to this, we fix ǫopt to literature values for the respective insulator material.
Chapter 4

Methods for Transport


Simulations

4.1 Kinetic Monte Carlo (kMC)


As outlined in 2.2, the downscaling of semiconductor devices inevitably lead to the
introduction of high-κ materials, e.g. as gate dielectric in transistors or as insulating
film in the DRAM storage capacitor. In general, they allow higher capacitance
densities and thus enable further downscaling. Unfortunately, these high-κ thin films
typically suffer from high defect densities, giving rise to defect states that open up
pathways for undesirable leakage currents. Especially the DRAM storage capacitor
poses high requirements to the insulating properties of the dielectric. Hence, further
scaling requires a detailed understanding of the transport across these films.
It has been the major task of this thesis to develop a deeper insight into the transport
in high-κ thin film capacitors for the usage as DRAM storage capacitors. To this
end, models, describing the individual charge transport mechanisms, see chapter
3, were developed and, in a next step, integrated in a simulation algorithm, which
allows us to mimic the charge carrier flow in the capacitors, i.e. to simulate the
movement of charge carriers through the insulating high-κ layer, which separates
the two capacitor electrodes. This framework was used to develop and validate
transport models for the state-of-the-art material systems employed in the storage
capacitors of the most recent DRAM chip generations.
Since transport through high-κ films is very complex and involves numerous different
microscopic processes, the algorithm had to be very versatile, in order to be able to
unite the individual processes in one simulator. Especially DAT so far was described
by continuum approaches. However, in the face of the peculiarities of the system

63
64 4. Methods for Transport Simulations

under investigation, i.e. the high permittivity, the high defect density, and the
small dielectric film thickness, such treatments are questionable. Many phenomena
connected with high-κ thin films require a simulation of charge transport at the
level of single charge carriers that resolves their point-like nature, as well as point-
like defects and other spatially localized phenomena, such as localized electric field
enhancement due to electrode roughness, cf. 5.2, or transient degradation of the
dielectric via defect accumulation[88, 89].
Therefore, we decided to carry out our simulations in a kinetic Monte Carlo (kMC)
framework. The advantages, but also the difficulties, that come along with this
choice will be outlined in this chapter.
First, we will give a concise survey of the state-of-the-art as what concerns modeling
of leakage currents in high-κ films in order to show up the deficiencies in the estab-
lished analysis techniques and in how far kMC promises to bring an improvement.
The reader will be introduced to Monte Carlo techniques in general and especially
into the specialties of the subtype kMC. We will briefly discuss the origins of kMC,
as far as it impacts the design of the algorithm, and we will outline the basic ideas
of a kMC simulation, before we move on to the practical implementation of the
algorithm for our purposes.

4.1.1 Modeling of Leakage Currents:


State-of-the-Art vs. kMC
Up to now transport in the most established high-κ materials, ZrO2 and HfO2 , has
been studied using simplified compact-models, e.g. refs. [90] and [86]. In ZrO2
transport was assumed to be dominated by PF emission from defects. According to
the standard-PF model the current density j is proportional to[91]
  v 
1 
u 3
u e F
j ∝ F · exp − ED −t  , (4.1)
kB T πǫ0 ǫopt
where ED is the defect depth, F is the electric field, and ǫopt the optical permittivity
of the dielectric. However, good fits to experiments could only be achieved by using
unrealistic values for material parameters, especially for ǫopt . This is due to the fact
that this picture of charge transport is incomplete. Only charge carrier emission
from traps is described, while the injection from the electrodes into the traps is
neglected. A tunneling-assisted Poole-Frenkel (TAPF) conduction mechanism has
been suggested for HfO2 [28] that incorporates the injection step. However, the
TAPF model only allows for homogeneous defect distributions and it does not take
4.1. Kinetic Monte Carlo (kMC) 65

into account that, by applying an electric field, the defect energy becomes a function
of the defect position. Furthermore, competing transport channels like detrapping of
electrons via quantum mechanical tunneling, cf. 3.2.1 and 3.2.3, are not considered.
In general, a closed model for charge transport in these high-κ films is missing.
These restrictions may be lifted by employing Monte Carlo techniques, which have
proven to be a versatile tool for transport simulations[92, 93, 94]. kMC provides a
rigorous stochastic framework[95, 96] to describe correctly the statistical dynamics
of the charge carriers in high-κ dielectrics, which are very complicated due to the
diversity of mutually interdependent transport processes, which play a role. Sim-
ulations take place at the level of single charge carriers, whose pathway through
the dielectric is tracked. In this way all relevant transport channels are accounted
for concurrently, whereas the well-defined relation between kMC simulation time
and real time, see 4.1.4, allows one to study the real-time dynamics of the charge
carriers. Local phenomena, as described above, are resolved and can be taken into
account in a straightforward manner, e.g. carrier-carrier interactions by coupling
the simulations to a Poisson solver.

4.1.2 History and Development of kMC


The term "Monte Carlo" subsums a class of
computational algorithms that rely on the us-
age of random numbers. First algorithms of
this kind were developed in the late 1940’s at
the Los Alamos Scientific Laboratory to study
neutron diffusion in fissionable material[97].
These algorithms were developed to study
static or equilibrium properties of physical
model systems. For instance the conforma-
tion of polymers[98] was investigated using
the so-called Metropolis algorithm, which was
developed in 1953[99]. The idea behind this
is quite simple and sketched briefly in the fol-
lowing:
It shall be the aim of the simulation to find the
Figure 4.1: Sketch of a polymer
lowest energy configuration for a given poly-
configuration.
mer. To this end a random walk in the con-
formation space of the polymer is performed, i.e. the polymer is transferred from
66 4. Methods for Transport Simulations

configuration to configuration, or from state to state, respectively, in order to find


the one with the lowest energy.
Let state A of the polymer, sketched in Fig. 4.1, be defined by the coordinates of
its N atoms, i.e. by the tuple

A = (r1 , .. ri , .. rN ) . (4.2)

We now consider a transition from state A to state B, e.g. given by the tuple

B = r1 , .. r′i , .. rN , (ri ′ 6= ri ).
 
(4.3)

The energies EA and EB for both states are computed and the change of the system
energy due to the transition ∆E = EB − EA is calculated. In order to direct the
random walk towards low energies, a transition A → B is only accepted with a
probability

1,

if ∆E ≤ 0,
P (A → B) =    (4.4)
exp − ∆E , otherwise.
kB T

This favors transitions for which ∆E ≤ 0, but stills allows for uphill steps so that
the system does not get stuck in local minima of the system’s total energy surface.
In this manner the polymer is iteratively driven into its state of minimum energy.
However, we do not learn anything about the system dynamics, since there is no
link between the probability P to accept a transition and the time needed for this
transition to happen.
A first algorithm, aiming at a simulation of system dynamics, appeared in the
1960’s with Beeler’s simulation of radiation damage annealing[100]. In the following
decades this development gained momentum and numerous applications of such al-
gorithms, studying surface processes, crystal growth etc., appeared. An informative
review of these developments can be found in ref. [101]. While this type of approach
originally was called "dynamic Monte Carlo", in the 1990’s, the term "kinetic Monte
Carlo" settled in. What makes it so attractive is the prospect that, in principle, it
delivers the exact dynamical behaviour of a system. Due to practical reasons, as
will be discussed below, this ideal is virtually never achieved.
For simulations of the dynamical behaviour of ensembles of atoms "molecular dy-
namics" (MD) were developed and, since then, have been the workhorse in this field.
In this simulation scheme, interatomic interactions and interactions with external
forces are described by a model potential, which is determined in advance and fed
4.1. Kinetic Monte Carlo (kMC) 67

into the simulations. Then the dynamics of the atoms emerge from forward prop-
agation in time of Newton’s classical equations of motion. No further assumptions
or user input are required.
While the first application in the late 1950’s assumed a hard sphere potential[102]
and was very successful in studying simple liquids, more accurate model poten-
tials appeared a few years later with the first use of Lennard-Jones potentials in
MD[103] for liquid argon. The development of faster computers enabled the us-
age of more sophisticated approaches, which are combined with high computational
costs. At the very core of these novel methods stands the idea that forces, acting
on atoms/molecules at a certain point in time, are calculated on-the-fly using the
results from ab initio electronic structure calculations. This type of MD is known
as Car-Parrinello MD or ab initio MD[104]. In contrast to the early approaches in
this case the treatment of the interatomic interactions adapts to the current situ-
ation, i.e. also systems in which the dynamics lead to a qualitative change of the
interatomic interactions during a simulation can be investigated.
Unfortunately, all MD simulations suffer from an intrinsic problem of this approach.
In order to accurately compute the atomic movements, the time steps ∆t, with which
the simulation advances, have to be chosen sufficiently short, i.e. ∆t < 10−15 s, in
order to resolve the vibrational motions of the atoms. Thus, typical MD simulations
only reach overall simulation times of less than a microsecond. The same applies to
the length scale. Unfavorable scaling of the computational effort with the number of
simulated atoms also sets strict limitations to the system size, which is accessible to
simulations. At present, one can simulate ensembles up to some hundred particles,
which translates to some hundred nanometers extension in every spatial dimension.
Often, however, processes one would like to study take place on much longer time
and length scales, e.g. macroscopic surface diffusion processes or phase transitions.
The ultimate goal is to unravel the link between macroscopic phenomena, which can
be directly observed, and the underlying mechanisms on the molecular scale. To this
end one has to bridge many orders of magnitude both in time and in space, setting
up large obstacles for computational approaches. This problem is known as time-
scale/length-scale problem and, in fact, was the major trigger for the development of
the kMC method. A lot of research has been dedicated to exactly this problem and
advances have been made. A good summary of the state-of-the-art can be found in
ref. [105]
A comprehensive model of such a system inevitably requires a multi-scale approach,
which closes the gap between the molecular scale, accessible to MD, and the macro-
68 4. Methods for Transport Simulations

Figure 4.2: Multi-scale modeling. kMC is thought to bridge the gap between ab
initio based approaches like ab initio MD and large-scale continuum descriptions.

scopic scale, treated via continuum approaches. kMC algorithms have been devised
for this purpose, see Fig. 4.2. Employing kMC brings along a boost in simulation
speed, which is achieved by describing the system dynamics in a coarse-grained fash-
ion, as will be explained in 4.1.3, neglecting in comparison to ab initio molecular
dynamics e.g. the atomic vibrations.

4.1.3 Basics of kinetic Monte Carlo

Before diving into the implementation of kMC for our specific task, the modeling of
electron transport through high-κ dielectrics, we will first give a general introduction
to the basic ideas of kMC and apply these on a simple example system.
We aim at an accurate description of the dynamics of a system. To this end the
system is regarded as an entity, which, for every instant of time, can be characterized
by its macroscopic state. Different system states are connected with each other via
state-to-state transitions, as sketched in Fig. 4.3 (a). All transitions are identified
and subsequently reduced to a set of relevant transitions, i.e. transitions which
are regarded as of minor importance for the overall system dynamics are neglected.
This coarse-graining of the system dynamics is responsible for the speed up of kMC
compared to MD. Obviously, one has to pay particular attention not to lose essential
parts of the dynamics. A transition rate R is associated with every transition,
whereas R is proportional to the probability of the transition. Usually, it is assumed
that the rate Rij for a transition from state i to state j does not depend one the
4.1. Kinetic Monte Carlo (kMC) 69

Figure 4.3: (a) Macroscopic states of a system interconnected via transitions. To


each transition i → j belongs a transition rate Rij , corresponding to the transition
probability. (b) Configuration space of a sample system. Each box corresponds
to a macroscopic state. States are connected by a complex network of transitions
(arrows).

system’s prehistory. This means that the system has no memory on how it reached
state i. If this is the case, we have to deal with so called Markov processes[106].
The time evolution of such a system is governed by the master equation

dPi (t)
= − j6=i Rij Pi (t) + j6=i Rji Pj (t), (4.5)
X X
dt

whereas Pi (t) is the probability to find the system at time t in state i.


Essentially, kMC models the time evolution by solving the system’s master equation
in a stochastic framework. Often the number of possible states is huge and their
interrelation complex, as sketched in Fig. 4.3 (b), and an analytical solution of
equation (4.5) is not feasible. kMC offers a rigorous procedure to correctly propagate
the system from state to state. Such a trajectory (red in Fig. 4.3 (b)) is called
Markov chain. If one computes a large number of Markov chains and averages
over these, one obtains a numerical solution of equation (4.5) and thus the system
dynamics (for the reduced set of transitions).
The kMC algorithm itself, which will be presented in 4.2.1, is nothing but a stochas-
tic procedure. It is up to the researcher to incorporate the physics describing the
system under investigation via the transition rates.
70 4. Methods for Transport Simulations

Figure 4.4: (left) Trajectory of an atom adsorbed on a solid surface. Vibrational


motion of the atom around adsorption sites is interrupted by occasional hopping
to a neighbouring adsorption site. (right) Coarse-grained picture of the dynamics.
Vibrational motion is neglected.

Simple Showcase

Let us now regard a simple showcase: a single atom chemisorbed on a surface[105].


We want to study the atom’s diffusive motions between different adsorption sites,
drawn in Fig. 4.5 on the left side. In this case the macroscopic system (solid surface
+ adatom) state is defined by the location of the adatom. The surface atoms are
assumed to be immobile. Thus, the system dynamics reduce to the vibrational
motion of the adatom and to the hopping between adsorption sites. We further
reduce the dynamics by allowing only nearest-neighbour hopping, since we expect
this to be much more probable than longer jumps, and by neglecting the vibrational
motion of the adatom. After this coarse-graining procedure only the hopping events
are left, as depicted in Fig. 4.5 on the right.
How can we justify these simplifications? To this end we regard the potential energy
surface (PES) of our system, sketched in Fig. 4.5 (a). At a certain instant of time
the adatom is found at a certain adsorption site, corresponding to a local energy
minimum. In this basin it vibrates, whereas this vibrational motion is orders of
magnitude faster than the hopping events to another adsorption site, for which a
comparably large energy barrier has to be overcome. If we would carry out a MD
simulation, which would correspond to the pink trajectory in Fig. 4.5, even for such
a simple system, we would be stuck, as we would need a time step size of ∼ 1 fs in
order to resolve the vibrations of the adatom. This would mean that we would have
to carry out ∼ 109 MD iterations to observe one single hopping event. This is, at
4.1. Kinetic Monte Carlo (kMC) 71

Figure 4.5: (a) PES of the solid surface + adatom system. Each energy basin
corresponds to an adsorption site. The MD trajectory (pink) and the coarse-grained
kMC trajectory (green) are shown. (b) Lines of constant potential energy and
adatom trajectories are shown[101]
.

best, inefficient and often simply not feasible.


For the kMC calculation we neglect these vibrations saying that a vibrating atom
does not leave a certain state in the sense that, if, at a certain instant of time, we
would freeze all the atoms and reduce the system temperature to zero, the adatom
would relax to the bottom of the basin, it is currently found in. In short words, we
identify a macroscopic state with the energy basin on the PES, in which the adatom
is found, and we associate all vibrational motions in this basin to the very same
state. In this picture the dynamics are those of a so called infrequent-event system
(IES), where long periods of inactivity are interrupted by fast transitions. kMC is
predestined for such systems. Performing a kMC simulation for this simple showcase,
we arrive at the green trajectory in Fig. 4.5. Compared to a MD simulation we
generate the same sequence of adsorption positions, to which the atom moves. In
this sense the trajectories of MD and kMC simulations can be regarded as identical,
whereas the kMC simulation, in this case, would speed up the simulation by orders
of magnitude.
In general, of course, the investigated systems are of much higher complexity. While,
as said, for MD the system dynamics emerge naturally, in the case of a kMC sim-
ulation the researcher’s intelligence and his understanding of the system is asked
for. All possible events have to be foreseen. The kMC algorithm will carry out only
those transitions specified in advance. If processes that are important for the system
dynamics are overlooked, the simulation results will be completely incorrect. And
72 4. Methods for Transport Simulations

Figure 4.6: Sketch of the PES between two energy basins i and j. Barrier shapes
and heights are often extracted from ab initio calculations or they are estimated
from the experiment.

often the transitions in a system will not be so well-separated in terms of their rates,
i.e. there will be more or less a continuum of transition rates spanning many orders
of magnitude in time, which will decrease the efficiency gain of kMC. Actually, this
is one of the biggest problems of kMC, and it has to be addressed in an adequate
way that depends on the system under study. We will come back to this point in
4.2.2.
Back to the showcase. Via coarse-graining we have reduced the dynamics to the hop-
ping between neighbouring energy basins, corresponding to diffusion of the adatom
to a neighbouring adsorption site. In kMC the transition rates are user input, i.e.
they have to be supplied in advance. In the present case, sketched in Fig. 4.6, the
rate Rij for hopping from basin i to basin j depends on the shapes of the potential
basins i and j, and the shape of the ridge-top connecting them. It can be calculated
via ab initio methods[105] or it is derived from transition state theory[107, 108].
Then, it reads

b
Ei→j

Rij = ν0 · e kB T
, (4.6)

b
with Ei→j being the height of the barrier which separates the two basins. Accord-
ingly, one can calculate the rate for the back transition from j to i as

b
Ej→i

Rji = ν0 · e kB T
. (4.7)

As can be seen in Fig. 4.6, one would obtain for the ratio of the two rates
4.1. Kinetic Monte Carlo (kMC) 73

E −E
Rij − kj T i
=e B . (4.8)
Rji
Thus, the property of detailed balance is satisfied in this framework.

Figure 4.7: The adatom (red) has four neighbouring adsorption sites to which it
can hop.

In a next step we will conveniently assume that all transition rates in the system
are known. After the above-introduced reductions of the problem we are left with
the situation depicted in Fig. 4.7. An adatom located at a certain adsorption site
can carry out four different transitions. In order to correctly compute the reduced
dynamics, we have to answer two questions:

1. Which transition will be carried out?

2. When will the transition be carried out?

These questions were first answered by Bortz, Kalos, and Lebowitz (BKL) and Gille-
spie, who derived stochastic algorithms that randomly choose transitions and tran-
sition times under appropriate consideration of the transition probabilities/rates[96,
109]. Nowadays, these are known as BKL algorithm and Gillespie algorithm. In the
next section we will introduce these kMC techniques together with their rigorous
derivation.

4.1.4 A Simple kMC Algorithm


We summarize how, according to 4.1.3, a basic kMC algorithm should look like. A
flow chart is shown in Fig. 4.8. Every kMC simulation starts by defining the initial
macroscopic state of the system under investigation. In a next step a list of all
74 4. Methods for Transport Simulations

Figure 4.8: Flow chart containing the basic building blocks of a kMC algorithm.

transitions from this state to other system states is compiled, and the corresponding
transition rates are calculated according to the supplied models. This step also
encompasses coarse-graining of the dynamics, if desired. We have already studied
this on the showcase in subsection 4.1.3. The next step takes us back to the two
fundamental questions on page 73. The algorithm has to provide procedures to, in
a statistical sense, correctly choose the next transition and to compute the time ∆t,
after which the transition should be carried out. After this is done, the system is
propagated to the next state and the system time is incremented by ∆t. Then it is
checked, whether or not the kMC loop, framed blue in Fig. 4.8, should be continued.
If so, the next kMC iteration is started by recompiling the list of possible transitions.
This list may have changed due to the last transition, since the system is now in
another state. From this point one proceeds as described above until the user-
specified abortion conditions are fulfilled and the kMC simulation is terminated.

Choice of Transition and Time Step Size

One kMC iteration allows us to perform one single step in a Markovian random
walk. Via multiple iterations we obtain a Markov chain. As described above, the
calculation and subsequent averaging over a large number of Markov chains, sam-
pling the configuration space, is equivalent to a numerical solution of the master
4.1. Kinetic Monte Carlo (kMC) 75

equation (equation (4.5)). To this end we have to determine by which transition µ


and after which time τ the system will pass into another state. In the following, we
will closely follow the ideas of Gillespie[96] and adapt them to our needs[110].
The problem we are dealing with may be outlined as follows: We are given a system
in a certain macroscopic state with a set of M possible transitions Tµ (1 ≤ µ ≤ M ).
The set {Tµ } will usually comprise a multitude of, in part, very different physical
processes. We aim at simulating the time evolution of the system, knowing only the
initial state, the forms of possible transitions Tµ , and the values of the associated
transition rates Rµ .
We start by defining Rµ dt as the probability, to first order in dt, that a particular
transition Tµ will take place in the next time interval dt. Here, Rµ is the transition
rate associated with transition Tµ . The time step dt is required to be infinitesimal
to ensure that the probability of another transition Tν , ν 6= µ, to occur in the time
interval dt is negligible.
In order to derive a rigorous algorithm for simulating the time evolution of the
system, we define the probability density function (PDF) P (τ, µ) as

P (τ, µ)dτ = probability at time t for the system to undergo a transition Tµ within
the differential time interval (t + τ, t + τ + dτ ).

P (τ, µ) is a joint PDF on the space of the continuous variable τ (0 ≤ τ < ∞) and
the discrete variable µ (µ is a positive integer; 1 ≤ µ ≤ M ). To correctly compute
the stochastic dynamics of the system, we have to randomly choose a pair (τ , µ)
according to P (τ, µ)dτ , thus specifying the next step in the Markov chain. To derive
an exact analytical expression for P (τ, µ), we calculate P (τ, µ)dτ as the product of
P0 (τ ), the probability at time t that no transition will occur in the time interval
(t, t + τ ) and Rµ dτ :

P (τ, µ)dτ = P0 (τ )Rµ dτ. (4.9)

To calculate P0 (τ ), we devide the interval (t, t + τ ) into K subintervals of length


ǫ = τ /K. Then the probability that none of the transitions Tµ occurs in the first
subinterval (t, t + ǫ) is, following the definition of Rµ dt and the multiplication theo-
rem for probabilities,

M M
[1 − Rµ ǫ] = 1 − (Rµ ǫ), (4.10)
Y X

µ=1 µ=1
whereas terms of higher order in ǫ have been neglected. This is also the subsequent
probability that no transition occurs in (t + ǫ, t + 2ǫ) and then in (t + 2ǫ, t + 3ǫ), etc.
76 4. Methods for Transport Simulations

Then P0 (τ ) reads

 K
M
P0 (τ ) = 1 − (Rµ ǫ) (4.11)
X

µ=1
 K
M
= 1 − (Rµ τ /K) . (4.12)
X

µ=1

In particular we are interested in the limit of infinitely large K. Thus,


 PM K
µ=1 (Rµ τ ) 
P0 (τ ) = lim 1 − (4.13)
K→∞ K
   
M
=exp −  Rµ  τ  = e−Rτ (4.14)
X

µ=1
PM
Here, R ≡ µ=1 Rµ is the cumulative transition rate. Equation (4.14) simply de-
scribes the exponential decay of the existing state through all available transition
channels. Inserting equation (4.14) into equation (4.9) delivers

Rµ e−Rτ ,

if τ ∈ [0, ∞) , 1 ≤ µ ≤ M,
P (τ, µ) =  (4.15)
0, otherwise.
This PDF is properly normalized, as can be seen by evaluating

Z ∞ M M Z ∞
P (τ, µ) = dτ × exp (−Rτ ) (4.16)
X X
dτ Rµ
0 µ=1 µ=1 0
∞
−1

=R exp (−Rτ ) (4.17)
R 0
= − (0 − 1) = 1 (4.18)

We continue by writing the two-variable PDF as product of two one-variable PDFs:

P (τ, µ) = P1 (τ ) × P2 (µ|τ ) , (4.19)

where P1 (τ ) dτ is the probability that the next transition will occur between t + τ
and t+τ +dτ , irrespective of which transition it might be. P2 (µ|τ ) is the probability
that it will be a Tµ transition, given that the transition occurs between t + τ and
t + τ + dτ .
Using equations (4.15), (4.19) and the addition theorem for probabilities[96], we
obtain:
M
P1 (τ ) = P (τ, µ) = R · e−Rτ , (4.20)
X

µ=1
4.1. Kinetic Monte Carlo (kMC) 77

P (τ, µ) Rµ · e−Rτ Rµ
P2 (µ|τ ) = = = . (4.21)
P1 (τ ) R·e −Rτ R
The idea for the MC step is to first generate a random number value τ according to
the distributionP1 (τ ), which determines the time after which the next transition will
occur, and then to generate a random integer µ according to the distribution P2 (µ|τ )
to select the transition. The resulting pair (τ, µ) will be distributed according to
P (τ, µ).

Inversion Method

The so called inversion method[96] allows us to use random numbers from the uni-
form distribution in the unit interval to construct random numbers distributed ac-
cording to any prescribed PDF. Suppose one wishes to generate a random number
according to the PDF P (x). Then P (x′ ) dx′ is the probability that x will lie between
x′ and x′ + dx′ . One can define the function

Z x  
F (x) = P x′ dx′ . (4.22)
−∞
Then F (x0 ) is the probability that x < x0 . Note that F (−∞) = 0 and F (∞) = 1.
F (x) rises monotonously, since P (x′ ) > 0 for all x′ .
The inversion method can be described as follows. To generate x according to a given
PDF, one simply has to draw a random number r from the uniform distribution in
the unit interval and take for x that value which satisfies F (x) = r; in other words
take x = F −1 (r), where F −1 is the inverse of F , whose existence is ensured by the
monotonicity of F . To prove the correctness of this approach, we calculate the
probability that x lies between x′ and x′ + dx′ . By construction this probability is
the same probability that r will lie between F (x′ ) and F (x′ + dx′ ), which is

       
F x′ + dx′ − F x′ = F ′ x′ dx′ = P x′ dx′ . (4.23)

Thus, x is indeed distributed according to P (x).

Determination of Time Step Size τ

We apply the inversion method to the desired PDF for the size of the time step τ ,
given in equation (4.20),

R × exp (−Rτ ) ,

0 ≤ τ < ∞,
P1 (τ ) =  (4.24)
0, else
78 4. Methods for Transport Simulations

and obtain

Z τ   Z τ  
F (τ ) = P1 τ ′ dτ ′ = R exp Rτ ′ (4.25)
−∞ 0
Rh  iτ
= −exp −Rτ ′ = [−exp (−Rτ ) + 1] (4.26)
R 0
!
⇔ F (τ ) = 1 − exp (−Rτ ) = r (4.27)
−ln (1 − r)
⇔τ = (4.28)
R
−ln (r)
⇔τ = . (4.29)
R
In the last step of the calculation (1 − r) was replaced by the statistically equivalent
random variable r.

Choice of the Transition Tµ

First, we briefly demonstrate, how the inversion method can be applied to discrete
variables. The task shall be to generate a random integer number i distributed ac-
cording to P (i). P (i′ ) is the probability that i = i′ . The corresponding distribution
function is

i  
F (i) ≡ P i′ , (4.30)
X

i′ =−∞

and F (i0 ) is the probability that i ≤ i0 . In this case, one has to draw a random
number r and take i, so that

F (i − 1) < r ≤ F (i) . (4.31)

Proof:
i   i−1  
F (i) − F (i − 1) = P i′ − P i′ = P (i) . (4.32)
X X

i′ =−∞ i′ =−∞

The desired PDF for the choice of the transition Tµ was given in equation (4.21),


P2 (µ|τ ) = . (4.33)
R
Thus, we have to choose µ such that

µ−1   µ  
P2 µ′ |τ < r ≤ P2 µ′ |τ (4.34)
X X

µ′ =1 µ′ =1
or
4.1. Kinetic Monte Carlo (kMC) 79

Pµ−1 Pµ
µ′ =1 Rµ′ µ′ =1 Rµ′
<r≤ (4.35)
R R
µ−1 µ
(4.36)
X X
⇔ wµ ′ < r ≤ wµ ′ .
µ′ =1 µ′ =1

Here, we have introduced the transition probabilities wµ , which are easily obtained
by normalization of the corresponding transition rates Rµ to the cumulative transi-
PM
tion rate. Of course, then µ=1 wµ = 1.

Figure 4.9: Flow chart of the kMC algorithm, cf. Fig. 4.8.

In summary, one kMC step, i.e. the task of generating a random pair (τ, µ), dis-
tributed according to P (τ, µ), is cut down to the task of generating two random
numbers r1 , r2 from the uniform distribution in the unit interval. τ and µ then
follow from eqns. (4.29) and (4.36). Accordingly, we adapt the flow scheme of the
kMC algorithm, see Fig. 4.9.
80 4. Methods for Transport Simulations

4.2 Leakage Current Simulations via kMC


As described in the previous section, kMC provides a rigorous stochastic framework[95,
96] to simulate the dynamical behaviour of a physical system, provided that valid
rate models for all relevant physical processes are supplied. The well-defined relation
between kMC simulation time and real time, for instance, allows one to study the
real-time dynamics of the charge carriers, whereas kMC captures the statistical in-
terplay between different intertwined microscopic processes. This makes it a perfect
candidate for our purposes. In the remainder of this section, we will closely follow
the general framework introduced in 4 and will shed light on its implementation for
our transport simulations.

Problem Definition

In the present work, transport through high-κ dielectrics was modeled in a MIM
capacitor configuration, whereas the high-κ film works as an insulating material in
between the two capacitor electrodes.
The problem, we are dealing with, may be outlined as follows. As simulation region
we choose a cuboid-shaped volume V of dielectric material with the two metal elec-
trodes attached to opposing faces. As said earlier, charge carriers are assumed to
flow preferentially across defect states in the band gap of the high-κ material. Thus,
by default, ND point-like defects are randomly allocated in V , with ND = nD × V ,
where nD is the user-specified defect density. Each defect site can accommodate
at most one charge carrier, as long as we exclude multiple occupation. Within the
electrodes a continuous Fermi-Dirac distribution of the charge carriers is assumed.
Since our studies focus on the TiN/ZrO2 system, where hole transport is negligible
due to the large VB offset[111], we will limit our discussion to electrons. Nonethe-
less, the method is equally applicable to holes. We neglect the time electrons in
the CB need to reach the anode, as it is small compared to the time needed by
the tunneling processes[111]. Upon entering V , the electrons are discretized and
thenceforth treated as point-like particles with a defined energy until they leave the
dielectric to one of the electrodes. Their individual trajectories are simulated. The
number of electrons, N , in V may change upon injection from or emission into the
electrodes. The leakage current through the dielectric then simply equals the net
number of charge carriers that pass the dielectric in a given time interval.
4.2. Leakage Current Simulations via kMC 81

Following the remarks of the previous


section, we first have to define what, in
this context, is to be understood as a
macroscopic state. We define the state
of the system at hand, i.e. the MIM
structure, via the electron distribution,
i.e. the positions of all N electrons in
the dielectric. We regard the movement
of a single electron as a transition of
the whole system from one macroscopic
state to another. Further following the
recipe presented in 4, we provide the full
Figure 4.10: Schematic band diagram
set of possible transitions {Tµ }. They
of a MIM structure showing the trans-
are illustrated in Fig. 3.1 and, for conve-
port mechanisms, which are implemented
nience, on the right in a smaller version
in the kMC simulator. Larger version de-
of the original figure. The list of possi-
picted in Fig. 3.1.
ble transitions Tµ , which are taken into
account, reads:

(i) direct/FN tunneling

(ii) thermionic/SE

(iii) elastic/phonon-assisted tunneling into a defect

(iv) elastic or phonon-assisted tunneling out of a defect

(v) elastic or phonon-assisted tunneling between defects

(vi) PF emission out of a defect into the conduction band

(vii) defect relaxation upon electron occupation

Defect relaxation plays a special role, which will be revisited in 5.3. For now, we
will neglect it. Details on the rate models for the transitions (i) to (vi) are provided
in chapter 3.
In short, we now have defined our physical system and its macroscopic states, and
we have provided a set {Tµ } of transitions together with tested rate models. At this
point, the kMC procedure, introduced in 4.1.4, allows us to perform the single steps
in the Markovian random walk, thus mimicking the electron dynamics. Given an
electron distribution at time t, we can determine which of the N electrons will move
82 4. Methods for Transport Simulations

in the next kMC iteration (also injection from the electrodes is possible), where it
moves, i.e. also by which type of transition, and when it moves. The algorithm
determines by which transition Tµ and after which time τ the system will pass into
the next state.

4.2.1 Algorithm
Although some problems arise, to which we will dedicate special attention, in prin-
ciple the practical implementation of the kMC algorithm is straightforward. It can
be outlined as follows:

1) Set time variable t = 0 and define the initial state, i.e. the electron distribution,
by assigning N electrons to the ND defect states.

2) Solve Poisson’s equation on volume V with appropriate boundary conditions,


and calculate rates Rµ for all possible transitions. They collectively determine
the PDF. Specify sampling times at which the current flow is evaluated and a
stopping time tstop at which the simulation ends. Independence of the initial
conditions has to be assured.

3) Generate a pair of random numbers (r1 , r2 ), and, using equations (4.29) and
(4.36), calculate the corresponding pair (τ, µ).

4) Advance t by τ , and carry out electron transition Tµ , i.e. adapt the electron
distribution accordingly.

5) If t has been advanced through a sampling point, read out current. Check,
if the current has reached a constant level. If t ≥ tstop , terminate calculation,
otherwise return to step 2).

Let us briefly comment on the indivudual steps of the algorithm.


1) Setting up the system means that we first specify the simulation region, i.e. the
region on which e.g. Poisson’s equation has to be solved and in which electrons are
discretized. For a MIM structure, assuming continuous electron distributions within
the electrodes, the dimensions of the simulation volume are those of the dielectric
film. In transport direction these are given by the film thickness, i.e. of the order of
10 nm, and in the two lateral dimensions they are chosen as a compromise between
fast simulation runs, sufficient statistics, and negligible edge effects - typically some
ten nanometers. Defects are placed within the dielectric volume according to the
4.2. Leakage Current Simulations via kMC 83

desired distribution, e.g. homogeneously or with a concentration gradient from one


electrode to the other. All physical properties that are necessary to characterize the
dielectric material and the defects, such as permittivity, band gap, dispersion of the
tunneling mass, defect depth and charge etc., are specified.
2) Many of the rate models, introduced in chapter 3, to describe electron transitions
require precise knowledge of the electrostatic potential distribution within the di-
electric. Transmission coefficients for instance depend on the shape of the tunneling
barrier between initial and final electron position. Thus, we have to solve Poisson’s
equation and, doing so, take into account electron-electron interactions as well as
charged defects and image charge effects due to the presence of the electrodes.
By default, we assume ideally flat electrode/dielectric interfaces. If we want to study
electrode roughness effects, advanced techniques to generate the rough interfaces and
the mesh (adaptive mesh refinement, cf. 5.2.4), on which Poisson’s equation is solved
with a finite elements formalism, are applied. These allow us, e.g., to accurately
determine the electric field distribution in the vicinity of convex protrusions. More
details to that issue will be given in 5.2. In general, the boundary conditions for our
simulation volume are of mixed Dirichlet/von Neumann type. At the faces to which
the metal electrodes are attached the potential is given by the applied voltage, while
on the other faces the normal derivative of the electric field was assumed to vanish.
3) to 5) As discussed in 4.1.4, the task of propagating the investigated system from
one state to another can be reduced to picking two random numbers from the uniform
distribution in the unit interval. After having chosen a transition, it is carried out,
i.e. all necessary modifications to the system are made, mainly by manipulating
matrices in which information on the current system state is stored. As said earlier,
kMC is well-suited to study transient effects, e.g. charging of the dielectric by
applying defined voltage pulses. However, the focus in this work was laid on the
leakage current, i.e. the current which is observed after transient contributions have
faded away. Thus, simulation times have to be chosen significantly longer than the
slowest transient current contributions need to decay.

4.2.2 Time Scaling Problem


While the algorithm sketched in the preceding subsection is fairly straightforward,
in practice problems arise due to the fact that the transition rates range over many
orders of magnitude. It may happen that the major part of the computing time is
used for the simulation of the fast events, while the rare events, associated with slow
rates, are not captured by the simulation. Often these rare events are of particularly
84 4. Methods for Transport Simulations

high interest[112]. Thus, the algorithm should include a strategy to bridge the time
scales, allowing one to access all of them. Different approaches to tackle this problem
have been proposed, among these weighted kinetic Monte Carlo[112]. In our case,
a rate equation Monte Carlo approach turned out to be the best-suited. The basic
idea is to treat certain parts of the dynamics via rate equations and couple these to
the kMC algorithm as follows:
A simulation run starts with the full set of transitions {Tµ }, i.e. all possible tran-
sitions are allowed. After having executed a sufficient number of kMC iterations,
the algorithm identifies the most frequent events, i.e. transitions Tξ with Rξ larger
than a specified cutoff rate Rcutof f , and removes them from the simulation. Their
effect on both current flow and electron distribution in the dielectric is, in the follow-
ing, taken into account in the form of averaged values, extracted from the previous
kMC iterations. A simple example for such a situation is a defect state close to
an electrode. It will be frequently populated by electrons being injected from the
electrode, and it will be depopulated by the same electrons being reemitted to the
electrode (transitions of type (iii) and (iv) in Fig. 3.1). To save computation time
these individual transitions are removed from the set {Tµ }. Instead of resolving the
fast fluctuations of the defect occupation between 0 and 1, we calculate the occu-
pation probability f of the defect as ratio of time for which the defect has been
occupied to the time for which it has been unoccupied. Before each kMC iteration,
the defect occupation will be set either to 1 with a probability f , or it will be set
to 0 with a probability (1 − f ). f is subsequently used as a weighting factor for
other transitions involving this defect. The size of the time step ∆t, which is cal-
culated according to equation (4.29), is mainly determined by the largest rates, as
these deliver the main contribution to the cumulative rate R. Now, following the
above-described scheme, ∆t can be increased stepwise by decreasing Rcutof f . The
inaccuracies resulting from this procedure were usually found to be negligible, as the
Coulomb interaction between the charge carriers is screened very efficiently in the
high-κ material. However, outmost care is necessary. Again, we are confronted with
the task to increase the simulation speed by coarse-graining the dynamics without
the loss of essential information which would deteriorate the real system dynamics.
Chapter 5

Leakage Current Simulations

On downscaling of the DRAM storage capacitor, as discussed in chapter 2, the


replacement of SiO2 with high-κ dielectrics became necessary to decrease flowing
leakage currents while achieving higher capacitance densities. Many different ma-
terials have been studied as potential candidates for the dielectric, e.g. Al2 O3 ,
HfO2 , ZrO2 , TiO2 , and SrTiO3 [36, 113, 114]. Among these, Zr-based dielectrics are
currently favored[3, 115, 116], since ZrO2 in its tetragonal phase has a very high
permittivity of κ ∼ 38 and a sufficiently large band gap of 5.5 eV, which suppresses
quantum-mechanical tunneling currents.
Since direct tunneling is not an issue, at least for the next chip generations, the focus
is now on the reduction of the intrinsically high defect density in the aforementioned
materials. The electronic states associated with these defects often lie within the
band gap and thus open up pathways for undesirable leakage currents. One strategy
to reduce the defect density that has been pursued is defect passivation via doping,
e.g. with F or La[13, 41, 42].
Defect-assisted tunneling has proven to be hard to model. Although the leakage
current in the aforementioned materials has been studied extensively, the analysis
has mostly remained on the level of simplified compact models[86, 90, 117]. These
typically account only for certain aspects of the observed transport and neglect other
essential parts. The widely used model for PF conduction, cf. equation (3) in ref.
[91], for example, describes the field-enhanced thermal emission of trapped electrons
from defect states into the CB. However, electron injection into the defects is not
considered, i.e., transport is implicitly assumed to be purely bulk limited. For HfO2 ,
a TAPF conduction mechanism has been proposed, which accounts for the injection
step but does not include the position-dependent alignment of defect levels with
respect to the electrode Fermi level upon an applied bias[28].

85
86 5. Leakage Current Simulations

In summary, existing models usually fail to provide a complete picture of transport.


Neither do they take into account that transport is mostly a multistep process, nor
do they include the competition between parallel conduction channels, e.g. different
transport mechanisms, leading to their mutual interdependence.

This motivated the development of our kMC simulator, which is described in chapter
4. It can handle tunneling transport within the band gap, including transport across
defect states, which is often predominant in high-κ dielectrics. Thus, our approach
promises to remove the aforementioned restrictions.

In the following we will present the results of our study of leakage currents through
DRAM storage capacitors with Zr-based high.κ dielectrics. By comparison of sim-
ulation and experiment transport models are derived. In a first step, models are
developed under the assumption of idealized conditions. These assumptions are
subsequently dropped stepwise. Electrode roughness is introduced, and its impact
on the electronic transport is evaluated. Finally, the role of structural relaxation
of defects upon charging is investigated with respect to its influence on the leakage
current. Following such a hierarchical appraoch, the transport models are iteratively
refined.

Identification of the most important transport channels is intended to support the


undergoing experimental efforts toward system optimization and to outline potential
for further scaling of the DRAM storage capacitor.

5.1 High-κ Thin Film TiN/ZrO2/TiN Capacitors

In the following, an elaborate evaluation of the TZT capacitor architecture with


respect to its electrical performance is provided. Measurements of TZT capacitors
are presented and subsequently compared to kMC simulation results. The transport
model derived from this analysis is presented and its implications for further down-
scaling of the DRAM capacitor are pondered. Temperature and thickness scaling
of the leakage current are discussed as well as contributions from different defect
positions to the overall leakage current. We analyze the mutual interdependence
of the competing conduction mechanisms and test our model with respect to its
sensitivity toward changes of the crucial model parameters. A concise overview of
our analysis is also given in refs. [111] and [110].
5.1. High-κ Thin Film TiN/ZrO2 /TiN Capacitors 87

parameter symbol value

optical permittivity of ZrO2 ǫopt 5.6[31]

band gap of ZrO2 Eg 5.4 eV

Huang-Rhys factor S 1

effective phonon energy h̄ω 40 meV[31]

CB mass of ZrO2 mcb 1.2me [61]

VB mass of ZrO2 mvb 2.5me [61]

Table 5.1: Fixed model parameters used in the kMC simulations. In chapter 3
the sensitivity of the employed transport models with respect to changes of these
parameters is discussed.

5.1.1 Measurements
TZT capacitors with 9 nm (TZ9T) and 7 nm (TZ7T) thick ZrO2 layers were in-
vestigated. Measured κ-values of 38 for both capacitors evidence that the ZrO2 is
fully crystallized in the tetragonal phase. IV characteristics of both capacitors for
temperatures between 25◦ C and 120◦ C are shown in Fig. 5.1. Dielectric breakdown
of the samples occurred at voltages of 3.2 V and 2.6 V, respectively (not shown in
Fig. 5.1). While a strong temperature dependence of the current was observed for
voltages below 2.5 V and 2 V, respectively, above these values the leakage current
scales weaker with temperature. Especially for lower temperatures, the slope of
the curves increases. For voltages below ∼ 1 V constant, temperature-independent
leakage currents are observed.

5.1.2 kMC Simulations


kMC simulations for the TZ9T and TZ7T capacitors, whose leakage current curves
are shown in Fig. 5.1, were carried out using best-estimate physical parameters
from experiment and theory. The full set of employed model parameters is given in
Tab. 5.1 together with the corresponding literature sources. Throughout all kMC
simulations these values were kept fixed. To avoid ambiguities in the interpretation
of the experimental data, we have chosen the simplest model that describes the
essential features of the measured currents. A single defect level and a uniform
defect distribution in the dielectric were assumed. The model was calibrated on the
88 5. Leakage Current Simulations

voltage U (V)

-3 0 1 2 3
10
-4
10 (a) T9ZT

-5
10
-6
10
leakage current density j (A/cm )

-7 transient currents
2

10 25°C

-8 50°C
10
90°C
-9
120°C
10
simulation
-10
10
-2
10
-3
10 (b) T7ZT

-4
10
-5
10
-6
10 25°C

-7 50°C
10 90°C

-8
120°C
10
simulation
-9
10
1.0 1.5 2.0 2.5

voltage U (V)

Figure 5.1: Leakage current data (symbols) of (a) TZ9T and (b) TZ7T capacitor
compared to kMC simulation results (lines). In the low-voltage region (exemplarily
depicted in (a)) no comparison between experiment and simulation is possible, as
here the measured current seems to be dominated by transient currents while the
kMC results describe the steady state. Dielectric breakdown was observed for U ≥
3.2 V and U ≥ 2.6 V, respectively (not shown).

TZ9T capacitor. Only the CBO EB between TiN and ZrO2 and the defect depth
ED with respect to the ZrO2 CB were varied.
Very good agreement between simulation (lines) and experiment (symbols) was
achieved, especially in terms of the temperature dependence of the leakage current
(Fig. 5.1 (a)). The same parameter set was used to simulate the TZ7T capacitor.
As shown in Fig. 5.1 (b), thickness scaling of the leakage current is modeled very
accurately. The experimental data is closely fitted, and especially the more pro-
nounced increase of the slope of the current curves (here at ∼ 2 V), compared to
5.1. High-κ Thin Film TiN/ZrO2 /TiN Capacitors 89

the TZ9T capacitor, is reproduced.

5.1.3 Transport Model


The transport model, as it emerges from kMC simulations, is sketched in Fig. 5.2.

Figure 5.2: Schematic band structure of a TZT capacitor for low (left side) and
high (right side) voltage. Arrows illustrate electron flow, whereas a dashed arrow
indicates that this electron emission path is slower than the other one. Barriers for
tunnel emission are highlighted with color.

For the TZ9T capacitor, for voltages below 2.5 V the leakage current was found to be
dominated by PF emission of electrons from positively charged defects with a depth
ED = 1.15 eV with respect to the ZrO2 CB and a density of nD = 3 · 1018 cm-3 . Elec-
trons are injected from the TiN cathode into the defects via elastic and multiphonon-
assisted tunneling. Detrapping occurs in a next step via PF emission into the ZrO2
CB, where the electrons drift to the anode. Thermally activated detrapping of elec-
trons is the transport limiting step in this multistep process. Therefore, it governs
the temperature scaling of the current, which is found to be strong in this voltage
range.
As the kMC simulations, in contrast to standard treatments, account for the injec-
tion step, it is possible to extract information on the CBO EB between TiN and
ZrO2 , which was found to be EB = 1.74 eV. According to the metal induced gap
states (MIGS) model[32], assuming a work function of 4.7 eV for TiN[118], an elec-
tron affinity of 2.5 eV and a charge neutrality level of 3.6 eV for ZrO2 [32], a barrier
of 1.45 eV is predicted. Since the MIGS model tends to overestimate the barrier
reduction due to Fermi level pinning for wide gap oxides[119], the extracted value
seems reasonable (An upper limit for EB would be 2.2 eV in the Schottky limit, i.e.
without any Fermi level pinning.)
90 5. Leakage Current Simulations

The defect level lies well above the electrode Fermi level, suggesting a limitation
of the current flow due to the low occupation probability of the defect states. In
this sense, the current is electrode-limited, explaining the failure of the standard
PF formula in describing the leakage current[111], since the latter implies a bulk
limitation of transport. This point will be further discussed below.
For U > 2.5 V, at lower temperatures T ≤ 50◦ C, an increase of the slope of the
current-voltage curves was observed together with a reduced temperature scaling.
Here, the dominant conduction mechanism changes from PF emission to tunnel
emission, also known as trap-assisted tunneling (TAT), i.e. electrons predominantly
escape from the defect states via tunneling through the potential barrier. At high
voltages, when the barrier shape changes from trapezoidal to triangular (cf. Fig.
5.2), the rate for this mechanism strongly increases with the applied voltage, so
that it finally surpasses the rate for PF emission. The stronger voltage dependence
of the only weakly temperature-dependent TAT explains the increased slope. For
T ≥ 90◦ C the rate for PF emission is higher than the one for TAT over the whole
voltage range so that PF emission dominates the leakage current.
We assume that below ∼ 1.3 V transient currents, which arise due to the applied
voltage ramp (0.05 V/s), dominate over the steady-state leakage.
These transient currents are thought to consist of two contributions, (1) dielec-
tric relaxation currents and (2) charging/discharging phenomena like charge trap-
ping/detrapping involving defect states in the ZrO2 band gap.
In ZrSix Oy films, as investigated in ref. [120], enormous transient currents, depend-
ing on the voltage ramp rate, were found. To get a rough feeling, one could compare
the measured currents at an applied electric field of 1 MV/cm. This corresponds to a
bias of 5 V for the 5 nm thick film investigated in ref. [120] compared to 0.9 V for the
9 nm film of our investigation. In ref. [120] currents of 2×10−7 A/cm2 , 10−7 A/cm2 ,
4 × 10−8 A/cm2 and 3 × 10−8 A/cm2 were found for voltage ramp rates of 1 V/s,
0.33 V/s, 0.2 V/s and 0.14 V/s, respectively. We measured 10−9 A/cm2 for a voltage
ramp rate of 0.05 V/s. Thus, the order of magnitude of the measured currents seems
indeed to suggest a strong transient contribution. Additionally, these transient cur-
rents typically only weakly depend on the temperature[120], as it is observed here
(see Fig. 5.1 (a)).
However, the possibility cannot be excluded that a transport channel not captured
by our model is present, e.g. one involving a second defect type. The analysis
of transient leakage data, as suggested in ref. [121], should shed light on this in
the future. In general, the modeling of leakage current is a complex problem with
5.1. High-κ Thin Film TiN/ZrO2 /TiN Capacitors 91

many unknowns, especially in case of DAT. Thus, further thorough experimental


investigation is needed in order to complement the present investigation and put
the transport model to the test, e.g. deep-level transient spectroscopy [122] to
study the spatial and energetic defect distribution.

5.1.4 Contributions from Different Defect Positions


In the presence of an electric field the energetic alignment of the defect states with
respect to the cathode Fermi level Ef,l , see Fig. 5.2, depends on their distance from
the electrode. Simulations show that, varying U , different defect positions deliver
the largest current contribution (see Fig. 5.3 and Fig. 5.4). In Fig. 5.3 the simulated

0
10

-2 defect
10 PF TAT
leakage current density j (A/cm )

position
2

1.5 nm
-4
10 2.0 nm

2.5 nm
-6
10 3.0 nm

3.5 nm
-8
4.0 nm
10
sum

-10
10

-12
10

-14
10

-16
10
1 2 3

voltage U ( V)

Figure 5.3: Leakage current of the TZ9T capacitor at 25◦ C. Current contributions
of traps, lying at distances x = 1.5-4 nm of the cathode, are shown. Filled sym-
bols indicate transport via PF emission, empty symbols transport via trap-assisted
tunneling.

leakage current for the TZ9T capacitor at 25◦ C is shown (continuous line). Con-
tributions of defects to the leakage current have been resolved with respect to their
position x. Additionally, Fig. 5.4 exemplarily depicts the occupation probability f
of defects at x = 2 nm and x = 4 nm as a function of U .
92 5. Leakage Current Simulations

voltage U ( V)

0 1 2 3 0
10 10
-2
(a) defect at 4 nm
-4 10
leakage current density j (A/cm )

10
2

-4

-8
10
10 -6
10

occupation probability f
-12
-8
10
10
-16 -10
10 10
0
0
10
10
(b) defect at 2 nm -2

-4
10
10
-4
10
-8 PF (f=1)
10 -6
TAT (f=1) 10
PF
-12 -8
10 TAT 10
f
-10
-16
10
10
1 2 3
voltage U ( V)

Figure 5.4: Occupation probability vs. voltage of defects at (a) x = 2 nm and (b)
x = 4 nm is shown. Lines show upper limits for their current contributions, assuming
f = 1 for all voltages. Symbols indicate simulated currents.

For U ∼ 1 V defects deeper in the dielectric, with x = 3.5-4.0 nm, deliver the high-
est current contribution, as they are nearly level with Ef,l . Nonetheless, f stays
below 10−3 , since electron injection is quite slow, in particular slower than electron
extraction via PF emission, which depopulates the defects. Contributions from de-
fects lying even deeper in the dielectric are suppressed due to the very slow electron
injection, while contributions from interface-near defects are low, since these lie well
above Ef,l . Tunneling into these defects is very fast, however, reemission into the
cathode is even faster, leading for defects at x = 2 nm to a low occupation f ∼ 10-6 .
For U ∼ 2 V defects closer to the cathode are gradually aligned with Ef,l , thus, their
occupation rises, as can be seen in Fig. 5.4 (b). Here, defects at 2.5-3.0 nm give the
highest contribution to the current.
At 2.5 V the dominant transport mechanism changes from PF emission to TAT,
i.e. tunnel emission. In this case, defects between 2.0 and 2.5 nm, being nearly
fully occupied, deliver the highest current contribution. Figure 5.4 also shows the
theoretical maxima for the current contributions (lines) of the defects. Full occu-
pation is assumed, i.e. f = 1, independent of U . The real contributions (symbols),
5.1. High-κ Thin Film TiN/ZrO2 /TiN Capacitors 93

as discussed, are roughly obtained by multiplying the theoretical maxima with f .


Especially for low voltages, the current, in this sense, is injection-limited.
Furthermore, Fig. 5.3 illustrates the mutual interdependence of the parallel trans-
port channels. At voltages where TAT dominates the leakage current, a drop of the
PF current can be observed, although the PF emission rate still increases. In this
voltage region, electrons are very efficiently extracted from the defects via tunneling
and thus are no longer available for PF emission. The defect occupation decreases
(see Fig. 5.4 (a)). Clearly the competition between the two transport channels alters
their individual characteristics.
As transport across interface-near defects is suppressed, for further scaling of these
ZrO2 films, the defect density deeper in the bulk has to be minimized. Concerning
electrode roughness, the leakage current is expected to be rather insensitive to the
local electric field enhancement near convex apertures. For extremely thin films,
however, the more important consequence of electrode roughness is local thinning
of the dielectric film. Then, TAT, depending exponentially on the tunneling barrier
thickness, is expected to strongly increase and to become the dominant transport
mechanism. Thus, also the sensitivity of the leakage current towards electrode
roughness is expected to increase. This will be discussed in detail in 5.2.

5.1.5 Sensitivity Analysis


The most crucial simulation parameters, which were attuned in order to reproduce
the leakage current data of the TZT capacitors, are the CBO EB between TiN
and ZrO2 and ED , the defect depth. They were varied systematically in order to
investigate the sensitivity of our model.
In Fig. 5.5 (a) simulation results for a fixed EB = 1.74 eV and varying ED =
0.95-1.25 eV are shown. For 25◦ C the leakage current is maximum for ED = 1.05 eV,
while it is lower going to shallower or deeper defects. The shallower a defect is, the
higher the rate for PF emission of trapped electrons. The occupation probability,
however, is lower due to the higher energy difference to Ef,l . For deeper defects
the occupation probability is high, while the PF emission rate is low. Thus, the
existence of a maximum is expected. Temperature scaling of the leakage current is
found to be stronger for deeper defects, as expected for a thermally activated process
like PF emission. Increasing ED , the transition from PF conduction to TAT, visible
as change in the slope, occurs at lower voltages, for the depicted set of defect depths
best seen comparing ED = 1.25 eV and ED = 1.15 eV. Thus, the temperature scaling
of the leakage current and the change of the dominant conduction mechanism can
94 5. Leakage Current Simulations

-31.0 1.5 2.0 2.5 3.0


10
(a) E 25°C 120°C E = 1.74 eV
-4 D B
10 0.95 eV
-5
1.05 eV
10
1.15 eV
leakage current density j (A/cm )

-6
10 1.25 eV
2

-7
10
-8
10
-9 25°C
10 120°C
-10
10
-3
10
(b) E = 1.15 eV
-4 25°C
D
10 120°C
-5
10
-6
10
-7
E 25°C 120°C
10 B

-8 1.54 eV
10 1.64 eV
-9
1.74 eV
10
1.82 eV
-10
10
1.0 1.5 2.0 2.5 3.0

voltage U ( V)

Figure 5.5: Leakage current data of the TZ9T capacitor for 25◦ C and 120◦ C
(symbols). Simulated currents for (a) fixed EB = 1.74 eV and varying ED and (b)
fixed ED = 1.15 eV and varying EB are shown. As in Fig. 5.1, the low-voltage
region, dominated by transient currents, is not depicted.

be used to determine ED , given EB . In Fig. 5.5 (b) simulation results for a fixed
ED = 1.15 eV and varying EB = 1.54-1.84 eV are shown. For lower EB , especially
at lower voltages, where none of the defects are aligned with Ef,l , the current rises,
since the defects are pushed closer to Ef,l , and their occupation probability thus
rises. The effect is more pronounced at 120◦ C, where the leakage current is mainly
limited by the low occupation of the defects, while at 25◦ C it is limited by the slow
PF emission. Consequently, changing the CBO also changes the temperature scaling
of the leakage current.
Without further information on EB , ED or the defect density nD , the triplet (nD , EB , ED ),
extracted from the kMC simulations is not completely unambiguous. However, as
soon as one of these values is fixed, the others can be determined with high accuracy.
We have chosen to fix nD to 3 · 1018 cm-3 , resulting in EB = 1.74 eV, a reasonable
value for the CBO[111], and ED = 1.15 eV, a defect level that might be ascribed to
5.2. Electrode Roughness Effects 95

oxygen vacancies, cf. ref. [123].

5.1.6 Summary
Based on kMC simulations, a self-consistent leakage current model for TiN/ZrO2 /TiN
capacitors was developed. kMC proved to be a useful technique for studying the
mutual interdependence of parallel transport channels. Most importantly, electron
injection into defect states is accounted for. In contrast to the pure bulk-limitation
of the transport suggested by the standard PF model, the CBO between TiN and
ZrO2 turns out to strongly limit the conduction. Bulk defects at a distance of
2-4 nm from the injecting electrode are found to deliver the main current contribu-
tion. These results strongly suggest an optimization of the electrode work function
and a reduction of the bulk defect density as a viable strategy for decreasing the
leakage currents.

5.2 Electrode Roughness Effects


By introducing high-κ materials like HfO2 and ZrO2 as dielectrics in the DRAM
storage capacitor[2, 3, 115, 116, 117] the undesired leakage currents could be re-
duced. However, the ITRS requires a downscaling of the storage capacitor to an
EOT of 0.4 nm within the next two years. The necessary thickness reduction of
the dielectric film will cause leakage currents to grow again. Sensitivity of these
thin-film capacitors on interface effects is expected to increase. Thus, in the face of
the stringent leakage current specifications for the DRAM storage capacitor, elec-
trode roughness (ER) is one of the major concerns for the realization of future chip
generations.
ER, leading to local electric field enhancement (LEFE) close to convex protrusions
of electrode material into the dielectric due to the aperture effect[124], was found to
cause an increase of the leakage currents in thin-film capacitors [125, 126] as well as in
the gate stack[127]. Standard one-dimensional models, usually employed to interpret
experimental leakage data[90, 128, 129], cannot describe these roughness effects. To
arrive at a comprehensive picture of transport in the TiN/ZrO2 material system,
it has turned out to be imperative to take ER effects into account. Such a model,
allowing to quantify the effect of ER on the leakage current of TZT capacitors, is
still missing.
So far, ER effects in thin-film capacitors have only been quantified based on a mean-
field point of view. Via a perturbation solution of Poisson’s equation Zhao et al.
96 5. Leakage Current Simulations

studied the effects of ER on the electric field and the leakage current[130]. Rough
electrode surfaces were found to increase the average electric field hF i in the dielec-
tric. As many transport mechanisms are strongly field-dependent, such an increase
of the electric field is expected to cause an increase of the leakage current, as it has
been discussed for SE and PF emission. In the case of transport across defect states
the mean-field approach implies the assumption that all defects in the dielectric are
subject to an identical electric field hF i and that they equally contribute to the
leakage current. Our investigations, cf. 5.1 and ref. [110], suggest that transport
in TZT capacitors occurs across defect states in the dielectric via PF conduction
for low and medium electric fields[111]. At high electric fields, and especially in
extremely thin films, TAT may become dominant. Thus, every transport channel
that can be used by charge carriers to cross the dielectric is linked to a point-like
defect.
The individual contribution of each channel to the conduction has been found to
depend on both the position of the defect and the electric field it is subjected to[110],
which, in presence of ER, can be strongly inhomogeneous. Thus, the mean-field
point of view is not applicable to TZT capacitors.
Instead, an approach that considers the local nature of the transport channels has
to be chosen. So does our kMC simulation technique and thus it seems to be well-
suited for this purpose. Here, tunneling transport of charge carriers in the band
gap of the dielectric, including DAT mechanisms, is treated fully self-consistently.
Transport is simulated at the level of single charge carriers, the trajectory of each
being computed as it crosses the dielectric. The simulator is coupled to a finite-
elements-based Poisson solver, working on an adaptively refined grid, cf. 5.2.4. In
this way, the dielectric, bounded by rough electrodes, can be modeled accurately,
and the local changes in the electric field, e.g. in the vicinity of surface features, are
resolved. This allows to correctly take the individual current contributions of the
transport channels into account.
In section 5.1 and in refs. [110, 111] a transport model for TZT capacitors was
developed by comparing kMC simulations to experimental leakage current data of
TZT capacitors with thicknesses d of the dielectric film between 10 nm and 7 nm,
corresponding to EOTs between 1.0 nm and 0.7 nm (assuming a permittivity of
40 for high-κ tetragonal ZrO2 ). Thickness scaling of the leakage current as well
as temperature scaling between 25◦ C and 120◦ C was accurately reproduced by the
simulations. Exemplary leakage current data of a 9 nm TZT capacitor (EOT of
0.9 nm) is shown in Fig. 5.1.
5.2. Electrode Roughness Effects 97

Therefore, based on the closed microscopic transport model for TZT thin-film capac-
itors, outlined in 5.1, as a step of further refinement, we investigated the influence
of ER on the leakage current. As will be seen, for thin dielectric films (<10 nm),
these roughnesses at the interfaces between electrode material and dielectric play
an important role. Besides leading to quantitative changes in the simulated leakage
currents, our simulations suggest that ER roughness also induces qualitative changes
in the transport for ever shrinking dielectric film thicknesses, as will be commented
on in 5.2.5.
We assessed the ultimate scaling potential of TZT capacitors in terms of EOT, film
smoothness, thickness fluctuations, defect density and distribution, and CBO in a
fictive scenario. Based on experimental data for an EOT of 0.9 nm, we extrapolated
via kMC simulations down to EOTs of 0.4 nm. ER was described in the framework
of fractal geometry, which will be introduced in 5.2.1. A concise overview of these
ER studies has been published in ref. [131].

5.2.1 Fractal Geometry


Aiming for a comparative study of ER effects, the ability to classify and describe
rough surfaces in quantitative terms is essential. Frequently, rough surfaces are
well-described by the concepts of fractal geometry[132], as they often exhibit self-
affinity[133]. Fractal geometry does not describe the roughness, but it allows to
describe the way roughness changes upon alteration of the observation scale. The
symmetry obeyed by self-affine objects on rescaling is used to classify them.
Often rough surfaces, as formed during a growth process, belong to the class of
self-affine random fractals, just like, e.g., a coastline, as shown in Fig. 5.6. Despite
their randomness they are self-affine in a statistical sense. A mathematical defini-
tion of self-affinity will be given below. A more descriptive explanation of what is
understood under "self-affinity" is that if you regard a self-affine surface and zoom
in/out, i.e. change the scale of observation, the surface will qualitatively still look
the same. Many approaches exist to characterize such systems. Ref. [135] provides
an excellent overview of these. Here, however, we will only introduce the approach,
which fits best to our needs, namely, for random rough surfaces, the height difference
correlation functions, defined as

E1/q
Cq (∆r) = |h(x) − h(x + r)|q
D
. (5.1)
|r|=∆r

Here, h(x) is the height of the rough surface at position x above a smooth refer-
98 5. Leakage Current Simulations

Figure 5.6: Section of the coastline of South Britain (source: GoogleEarth). In


1967 Mandelbrot published his famous paper "How Long Is the Coast of Britain?
Statistical Self-Similarity and Fractional Dimension"[134], where he examined the
coastline paradox, i.e. the observation that the length of the coast of Britain depends
on the scale of measurement and theoretically is infinite.

Figure 5.7: One-dimensional random rough surface, parametrized by h(x), above


a flat reference plane. w measures the vertical surface fluctuations and will be used
below as a parameter to classify random rough surfaces.
5.2. Electrode Roughness Effects 99

ence surface, in general providing a coarse-grained, smooth approximation to the


rough surface, as shown in Fig. 5.7. hi stands for the average over all directions
in the reference plane. The problem of describing the surface morphology is then
transferred to describing the shapes of the correlation functions. The latter can be
advantageous. Often the correlation functions have the form

Cq (∆r) ∼ ∆rαq (5.2)

over a substantial range of length scales.


In most simple cases the exponent αq is independent of q, at least for q > 0 (If
αq depends on q, one speaks of multi-affine structures.). Then, the rough surface
is called a self-affine fractal with Hurst exponent α over the corresponding range
of length scales. The mean height difference ∆h ≡ C(∆r) scales with horizontal
distance ∆r as

∆h ∼ ∆rα . (5.3)

Within the scaling regime the surface is invariant to a change of length scales by a
factor of λ in lateral directions combined with a change of length scales by λα in
vertical direction (cf. equation 5.7). The scaling regime is bounded by the upper
and lower correlation lengths ξ + and ξ − in both the horizontal (||) and the vertical
(⊥) direction, i.e.

ξk− < ∆r < ξk+ (5.4)


− +
ξ⊥ < ∆h < ξ⊥ , (5.5)

related by

+ −
(ξ⊥ /ξ⊥ ) = (ξk+ /ξk− )−α . (5.6)

Mathematically, self-affinity then can be defined as follows: A surface is called self-


affine within a certain scaling regime, bounded by equations (5.4) and (5.5), if its
statistical properties are invariant to an anisotropical rescaling, i.e.

h(x) ∼ λ−α h(λx). (5.7)

Here, λ is the horizontal scaling factor, and α is the above-introduced Hurst expo-
nent, in the following referred to as surface smoothness (0 < α < 1). Why this term is
appropriate can be understood regarding Fig. 5.8. There, self-affine random rough
100 5. Leakage Current Simulations

0.1
vertical coordinate h (nm)
6
0.5

0.9
4

-2

-4
0 20 40 60 80 100

lateral coordinate x (nm)

Figure 5.8: Self-affine random rough surfaces generated with the algorithm in-
troduced on page 103 by using identical random seeds but different values for the
smoothness α. For better visibility the surfaces with α = 0.1 and α = 0.9 are offset
by -2 nm and 2 nm, respectively.

surfaces with different α, generated with the algorithm that will be introduced on
page 103 by using identical random seeds, are shown. A low smoothness α = 0.1
corresponds to a very jagged surface, for which the amplitude of vertical fluctuations
stays nearly constant down to smallest lateral length scales (short-range roughness).
α → 0 would mean ∆h = const., i.e. ∆h is completely independent of ∆r. The
higher α is, the smoother is the surface, since the amplitude of vertical fluctuations
decreases with decreasing lateral length scale. In other words, α describes, how fast
the size of vertical fluctuations changes upon a change of the horizontal length scale.

For a given surface α can be determined, e.g., from double-logarithmic plots of


C2 (∆r),

C2 (∆r) ∼ ∆rα , (5.8)

the height difference correlation function for q = 2, vs. ∆r. In the self-affine scaling
regime this should yield a linear curve with slope α.
5.2. Electrode Roughness Effects 101

5.2.2 Structural Characterization of the TiN Electrodes


The TiN electrodes, employed in the investigated TZT capacitor structures, were
investigated with respect to their self-affinity. Bare, planar TiN electrode surfaces,
characterized via atomic force microscopy (AFM), were found to exhibit self-affine
scaling up to ξ = 20 nm, as can be seen in Fig. 5.9.

20 nm

0.6
C (nm)
2

0.1

fit

C
2

1 10
lateral separation r (nm)

Figure 5.9: Height difference correlation function of a bare TiN electrode, extracted
from AFM data, and fit according to equation (5.8).

This allows a systematic description of ER in the framework of fractal geometry.


Typically found TiN surface parameters are a smoothness of α = 0.6 and a thickness
fluctuation (or vertical surface width) of w ∼ 1.2 nm. w was introduced in Fig. 5.7.
According to the AFM data this can be translated to a root-mean-square roughness
of ∆r ∼ 0.3 nm via the empirical relation w ∼ 4 × ∆r.

5.2.3 Generation of Random Rough Electrodes


For simulation purposes self-affine random rough surface profiles h(x) - characterized
by the parameters α, ξ, and w, as introduced in 5.2.1 - were generated by adapting
a modified midpoint displacement algorithm[136]. Fractional Brownian motion is at
the very core of this algorithm, a famous special case of it being Brownian motion.
Let us start with the well-known Brownian motion. We consider a one-dimensional
102 5. Leakage Current Simulations

random walker, that start at the coordinate x = 0. It can perform steps xi in +x-
direction or in -x-direction. Then, its position after n steps is given by the sum

Xn = x1 + x2 + ... + xn . (5.9)
D E
The steps are taken from a Gaussian distribution with hxi i = 0 and x2i = σ 2 ,
whereas hi denotes the average over the ensemble. We define the correlation function
as

F (p) ≡ hxi xi+p i . (5.10)


P∞
If F (p) decays fast enough, such that p=0 F (p) < ∞, then, for large p, the mean
square displacement scales with p as[137]

D E
x2p ∼ p. (5.11)

This is the case for the well-known ordinary Brownian motion. If the above sum
diverges, one arrives at

D E
x2p ∼ p2α , (5.12)

where 0 < α < 1 is the Hurst exponent. This type of random walk is called fractional
Brownian motion[138]. Obviously, then

D E1/2
x2p ∼ pα , (5.13)

reminding us of the height difference correlation functions, which were discussed


above, cf. equations (5.1) and (5.8). This property can be exploited to generate
fractal random rough surfaces with every desired smoothness α.
In general one can divide fractional Brownian motion into three categories: α < 21 ,
α = 12 , and α > 21 . As said, α = 1
2 is the case of ordinary Brownian motion, where
1
the increments are independent from each other, i.e. uncorrelated. For α > 2 the
increments are positively correlated, i.e., if xp0 > 0, then the probability for xp0 +1 > 0
is larger than 0.5. Drawing the curve, which connects the points (p, Xp ), one will
obtain a rather smooth curve. For α < 12 the opposite is true. Subsequent increments
are negatively correlated, leading to a very jagged curve. This can immediately be
transferred to the generation of rough surfaces, as they were depicted for varying
α in Fig. 5.8. The simple algorithm used for the task of surface generation will be
presented in the following.
5.2. Electrode Roughness Effects 103

Midpoint displacement method

The aim is to generate fractal random rough surfaces (with a variance σ), satisfying
the height difference correlation function

C2 (∆r) = σ∆rα . (5.14)

Figure 5.10: Points (red crosses) generated by the midpoint displacement algo-
rithm after i iterations. Vertical displacements decrease according to equation (5.22),
here for α = 0.3.

Let us assume, we want to generate a surface h(x) on the interval 0 ≤ x ≤ L by


generating a set of points (x, h(x)), with the desired resolution on [0; L], which will
subsequently be connected by a continuous line (Straight lines are used in Fig. 5.10,
while a continuous connection of the points is realized in the kMC simulator via
cubic splines.). The midpoint displacement method is an iterative approach, from
which one can stepwise obtain random rough surfaces, as illustrated in Fig. 5.10.
We start by setting h(0) = 0 and selecting h(L) as a sample of a Gaussian random
variable with mean 0 and variance L2α σ 2 . Then,

var(h(L) − h(0)) = L2α σ 2 , (5.15)

and thus we expect for 0 < x1 < x2 < L


104 5. Leakage Current Simulations

var(h(x2 ) − h(x1 )) = (x2 − x1 )2α σ 2 . (5.16)

We set h( L2 ) to be the average value of h(0) and h(L) plus a random Gaussian offset
D1 with mean 0 and variance ∆21 . Then, we arrive at

L 1
h( ) − h(0) = (h(L) − h(0)) + D1 . (5.17)
2 2
Consequently, h( L2 ) − h(0) has a mean value 0 and so does h(L) − h( L2 ). In order to
fulfill equation (5.16) we must require
2α
L 1 L

!
var(h( ) − h(0)) = var(h(L) − h(0)) + ∆21 = σ2, (5.18)
2 4 2
which leads to

σ 2 L2α  
∆21 = 1 − 22α−2
. (5.19)
22α
For the next iteration of the algorithm, we apply the above idea to h( L4 ), which gives
2α
L 1 L L

!
var(h( ) − h(0)) = var(h( ) − h(0)) + ∆22 = σ2, (5.20)
4 4 2 4
from which follows

σ 2 L2α  
∆22 = 1 − 22α−2 , (5.21)
(22 )2α
and equally to h( 3L
4 ). Increasing the resolution via further iterations, we obtain

σ 2 L2α  
∆2n = 1 − 22α−2 , (5.22)
(2n )2α
as the variance of displacement Dn , corresponding to lateral distances L/2n .
Again, we see that the surface smoothness α, or the Hurst exponent, respectively,
describes, how vertical fluctuations scale upon a change of the horizontal scale. The
surface profiles generated in this way were subsequently fed into the kMC simulator.
By connecting several surface segments of length ξ in series, larger surfaces with an
approximate correlation length ξ and with the desired values for α and w can be
provided.
Throughout the following analysis ξ was fixed to 20 nm, the value extracted for the
TiN electrodes via AFM measurement, and α and w were varied. The influence of
the electrode topology on the electrical performance, i.e. the transport properties
of the TZT capacitor devices was investigated.
5.2. Electrode Roughness Effects 105

5.2.4 Mesh Generation and Solution of Poisson’s Equation


The task at hand is the following. Poisson’s equation has to be solved within the
simulation volume, in this case a cube with one or two rough faces, depending on
whether one wants to study one or two rough electrodes at the same time. Dis-
cretization of Poisson’s equation in the finite elements framework induces errors.
Via posteriori error estimation one has to gain control of these, whereas the com-
mon approach is to increase the number of degrees-of-freedom in regions, where the
initial finite elements model was not adequate. This is by nature an iterative pro-
cess. In a first step a mesh is generated, on which the differential equation is solved.
Then, errors are estimated, and, based on this estimate, the mesh has to be refined.
The brute force approach would be to globally refine the mesh, i.e. to subdivide
all the finite elements. However, to save computational resources, it is more conve-
nient to refine only in those regions with larger errors, i.e. adaptively. After error
estimation and mesh refinement, again, the differential equation has to be solved
and the errors have to be estimated. Convergency of the finite elements solution
to the correct results is monitored by suited error criteria, which are imposed, and
the refinement loop is to be repeated until the desired accuracy of the solution is
achieved.
Kelly et al.[139] developed a means to locally estimate the error of the finite elements
solution for every grid cell. This error estimator approximates the error per cell by
integration of the jump of the gradient of the solution along the faces of each cell.
It was specially designed in order to estimate the error for the generalized Poisson
equation

ρ(r)
∇(κ(r)∇Φ(r)) = − , (5.23)
ǫ0
with either Dirichlet or generalized Neumann boundary conditions, i.e. exactly for
our needs. Here, κ(r) and Φ(r) denote the position-dependent permittivity and
electrostatic potential, respectively, and ρ(r) is the charge density. Implementation
of the error estimator is straighforward. The error ηK for a cell K is calculated from
" #2
2 h Z ∂Φ(r)
ηK = κ(r) dS. (5.24)
24 ∂K ∂n

The reason why we address this topic when discussing ER effects is that we expect
greater variations in the electrostatic potential close to roughness features. These
cause a LEFE, i.e. larger gradients of Φ, leading to higher errors of the finite elements
solution in these regions. The simulation grid has to be fine enough to resolve these
106 5. Leakage Current Simulations

features. Therefore, we adaptively refine the grid, wherever it is necessary. This


leaves us with grids, in which neighbouring cells may have a different degree of
refinement. As a constraint, we allow neighbouring cells to differ from each other in
their degree of refinement only by one. The framework for the generation of such
grids, taking advantage of the above-mentioned error estimation, is provided by the
open-source finite elements library "deal II", specially designed for the analysis of
differential equations[140].
A typical iterative generation process of locally refined grids, as used in our simula-
tions, can be seen in Fig. 5.11. First, for a given simulation volume, say a cube of
20 × 10 nm2 with one rough face, Poisson’s equation is solved on a globally refined
grid, as drawn in Fig. 5.11 (a), in order to identify regions of great variations in
the electrostatic potential, which reduce the quality of the finite elements solution.
Based on equation (5.24), the error for each grid cell is estimated and, e.g., the 30%
of all cells with the largest error or those with an error above a critical value, are
flagged. In a next step, the flagged cells, marking the critical regions, are refined,
see Fig. 5.11 (b) . Again, for the new grid the errors are estimate, and, if necessary,
subsequent refinement steps (Fig. 5.11 (c)-(d)) are carried out until one arrives at a
final mesh that provides a sufficiently accurate solution of Poisson’s equation in the
whole simulation volume. In Fig. 5.11 (e) a grid with a typical degree of refinement,
as used for our kMC simulations, is depicted, and in Fig. 5.11 (f) the electric field
distribution resulting from the solution of Poisson’s equation on this grid is shown.
For an applied voltage of 1 V across a distance of 10 nm (from top to bottom face)
one expects a mean electric field of 1 MV/cm. As can be seen, convex protrusions
lead to a local enhancement of the electric field by nearly a factor of two. In these
regions the electrostatic potential changes faster than in other regions. There, the
grid has to be refined to a very high degree.

5.2.5 Results and Discussion


In the preceding paragraphs we have introduced all modifications to our kMC sim-
ulator that are necessary, if one intends to study the effect of ER on the leakage
currents in TZT capacitor structures. We introduced fractal geometry as a means to
classify and describe self-affine random rough surfaces, such as as-grown TiN elec-
trode surfaces, and we presented the algorithm that allows to generate as-desired
random rough surfaces, which are fed into the kMC simulator. Issues concerning
grid generation, adaptive refinement, and the solution of Poisson’s equation in a
simulation volume with rough boundaries were discussed. The framework for the
5.2. Electrode Roughness Effects 107

Figure 5.11: Grids with different degree of refinement, generated by the kMC
simulator, for a simulation volume of 10 × 20 nm2 with one rough surface. (a) three
times refined globally, (b) three times refined globally and once adaptively(c) three
times refined globally and twice adaptively, (d) three times refined globally and
three times adaptively, (e) five times refined globally and three times adaptively. (f)
Electric field distribution for a bias of 1 V applied between top an bottom surface.
108 5. Leakage Current Simulations

kMC simulations is set.


For the sake of simplicity, in the following, we only investigate capacitor structures
with one rough electrode. The second electrode is assumed to be perfectly flat. In
reality of course both electrodes are rough. Often the large scale undulations of
the top electrode roughly follow those of the bottom electrode, since the dielectric
films in between the two electrodes, the substrate for the growth of the second
electrode, are grown via ALD, which guarantees very homogeneous growth. The
kMC simulator can handle a second rough electrode without further modifications.
However, while adding a second rough electrode may lead to quantitative changes
of the ER effects, it complicates the analysis, and it does not introduce additional
phenomena besides those discussed below.

Small thickness fluctuations

Figure 5.12: Electric field distribution in a capacitor with one rough (α = 0.5,
w = 2 nm) and one flat electrode. A voltage of 0.9 V was applied across the dielectric
with a mean thickness of d = 9 nm, leading to hF i = 1 MV/cm. White lines mark
a distance of x = 2 nm and x = 3 nm from the rough electrode. In black, lines of
constant electric field are drawn for a field enhancement of 10-50 %. The inset shows
leakage current data of a 9 nm TZT capacitor at 120◦ C and kMC simulation results
assuming flat and rough (w = 2 nm) electrodes for 0.3 ≤ α ≤ 0.9.

Figure 5.12 shows the simulated electric field distribution in a TZT capacitor with
one rough (α = 0.5, w = 2 nm, ξ = 20 nm) and one flat electrode. The ER leads to
5.2. Electrode Roughness Effects 109

a LEFE near convex protrusions of the electrode material into the dielectric due to
the aperture effect. As can be seen in the inset of Fig. 5.12, the leakage current,
although being dominated by PF conduction, which is strongly field-dependent, re-
mains unaffected for small thickness fluctuations, up to w = 2.0 nm for the capacitor
with a 9 nm thick ZrO2 dielectric. As discussed in 5.1, transport in the 9 nm TZT
capacitor mainly occurs across defects in the interior of the film, 2-3 nm away from
the injecting electrode (This region is marked in Fig. 5.12). These defects are sub-
jected only to a weak LEFE, usually smaller than 10 %. The expected increase of
the leakage current due to ER can be roughly estimated as follows. The rate for PF
emission of electrons from defect states RP F is roughly given by equation (3.67)[85]
 q 
ED − e3 F/ (πǫ0 ǫopt )
RP F = ν × exp − ,
kB T

where F is the electric field at the defect, ǫopt is the optical permittivity and ν ∼
1013 s−1 a typical phonon frequency. We define the field enhancement factor γ as
δF
γ≡ , (5.25)
hF i
where δF denotes a local change in the electric field with respect to the average field
hF i. Then one can approximate (for δF << F ):
q 
RP F (hF i + γ hF i) e3 hF i / (πǫ0 ǫopt )
≈ exp  · γ . (5.26)
RP F (hF i) 2kB T

For T = 393 K, hF i = 1 MV/cm, and γ = 0.1 this yields

RP F (hF i + γ hF i)/RP F (hF i) ≈ 1.6, (5.27)

i.e. only a negligible increase in the emission rate and, in turn, in the current is
expected.
Defects located near the electrode, which are subject to the strongest LEFE, do not
significantly contribute to the transport because of their low electron occupation
probability (Fig. 5.4). These findings retrospectively justify the assumption of flat
electrodes made in 5.1 and in ref. [111], since for a 9 nm TZT capacitor, simulated
there, the thickness fluctuations of the employed electrodes (w ∼ 1.2 nm) do not
influence the leakage current.
We also observe that the leakage current is, at least for an EOT of 0.9 nm, insensi-
tive on changes of the smoothness α (see Fig. 5.13), in contrast to the predictions of
the mean-field approach[130]. In Fig. 5.14 the electric field distributions in two ca-
pacitors are compared, whose electrodes only differ in the smoothness α, governing
110 5. Leakage Current Simulations

0 0
10 10
= 0.3 = 0.3
-2 = 0.5 = 0.5 -2
10 10
= 0.7 = 0.7

= 0.9 = 0.9
-4 -4
leakage current density j (A/cm )

10 flat electr. flat electr. 10


2

data data
-6 -6
10 10

-8 -8
10 10

-10
w = 3 nm ( a) w = 4 nm ( b) -10
10 10
0
1 2 3 1 2 3 0
10 10
= 0.3 = 0.3
-2 = 0.5 = 0.5 -2
10 10
= 0.7 = 0.7

= 0.9 = 0.9
-4 -4
10 flat electr. flat electr. 10
data data
-6 -6
10 10

-8 -8
10 10

-10
w = 5 nm ( c) w = 6 nm ( d)
-10
10 10
1 2 3 1 2 3
electric field F( MV/cm)

Figure 5.13: Leakage current data of a 9 nm TZT capacitor at 120◦ C and kMC
simulation result assuming flat electrodes, taken from ref. [111]. Leakage currents
were simulated for 0.3 ≤ α ≤ 0.9. Assumed thickness fluctuations w were (a) 3 nm,
(b) 4 nm, (c) 5 nm, (d) 6 nm.

the short-range roughness. Small surface features are more defined for the surface
with the lower α. On a larger length scale the surface topologies, i.e. the surface
undulations, are very similar. The field enhancement due to the short-range rough-
ness is highly localized near the surface. Deeper in the bulk the field distribution is
insensitive to such roughness. Here, the large-scale undulations determine the field
distribution which is consequently nearly identical for α = 0.3 and α = 0.7. As the
defects which deliver the highest current contributions lie in the bulk region, the
leakage current does not depend on α.
5.2. Electrode Roughness Effects 111

Figure 5.14: Electric field distributions in a capacitor with one flat and one rough
electrode (w = 3 nm). Identical surface profiles of the rough electrode were assumed
in (a) and (b), differing only in the smoothness, taken as (a) α = 0.3 and (b) α =
0.7, respectively. A voltage of 0.9 V was applied across the dielectric with a mean
thickness of 9 nm, leading to hF i = 1 MV/cm. In black, lines of constant electric
field are drawn.

Large thickness fluctuations

For larger thickness fluctuations convex protrusions of electrode material extend


further into the dielectric. Consequently, besides a LEFE confined to the direct
surrounding of sharp surface features, a second effect becomes important: the local
reduction of the dielectric film thickness, e.g. from d to dmin in Fig. 5.14 (a). At such
constrictions a long-range increase γc of the electric field, which extends through the
whole film, is observed. Assuming dmin ≈ d − w/2, we can estimate γc in terms of
relative thickness fluctuations w/d as

Fc − hF i U/dmin 1
γc (w/d) = = −1 ≈ 2 , (5.28)
hF i U/d w/d −1

where Fc is the average electric field in the region of the constriction. In this case,
those defects which deliver the dominant contributions to the leakage current are
subject to an average electric field enhancement γc , e.g. γc (3 nm/9 nm) = 20% for
the capacitor in Fig. 5.14 (a). Thus, the PF conduction slightly increases, as seen
in Fig 5.13 (a).
112 5. Leakage Current Simulations

However, up to w = 5 nm (Fig. 5.13 (b)-(d)) the 9 nm TZT capacitor fulfills the


leakage criterion. Experimentally achievable thickness fluctuations are far below
this value. Thus, for an EOT of 0.9 nm the effects of ER on the leakage current are
uncritical for the operation of the DRAM storage capacitor.

Downscaling of the capacitor

2 2
10 10
data
w = 0 nm
0 w = 0 nm 0
w = 1 nm
10 10
w = 3 nm
w = 2 nm
w = 4 nm
-2 w = 3 nm -2
10 w = 5 nm 10
w = 4 nm
leakage current density j (A/cm )

w = 6 nm
-4 -4
2

10 w = 7 nm 10

-6 -6
10 10

-8 -8
10 10
EOT = 0.9 nm ( a) EOT = 0.6 nm ( b)
-10 -10
10 10
2 1 2 3 1 2 3 2
10 10
w = 0 nm w = 0 nm
0 0
10 w = 1 nm w = 1 nm 10
w = 2 nm w = 2 nm
-2 w = 3 nm w = 3 nm -2
10 10

-4 -4
10 10

-6 -6
10 10

-8 -8
10 10
EOT = 0.5 nm ( c) EOT = 0.4 nm ( d)
-10 -10
10 10
1 2 3 1 2 3
electric field F( MV/cm)

Figure 5.15: Leakage current simulations for EOTs of (a) 0.9 nm, (b) 0.6 nm, (c)
0.5 nm, (d) 0.4 nm. As the leakage current does not depend on α, shown currents
are averaged over 0.3 ≤ α ≤ 0.9.

To study how ER effects on the leakage current behave on further downscaling of the
capacitor, we carried out leakage current simulations for capacitor structures with
film thicknesses of 6 nm, 5 nm, and 4 nm, as shown in Fig. 5.15 (b) - (d). For flat
electrodes (w = 0 nm) PF conduction remains the dominant transport mechanism
down to 4 nm. However, in comparison to the 9 nm film (Fig. 5.15 (a)), a drastically
5.2. Electrode Roughness Effects 113

-2
10

current j at 1.3 MV/cm (A/cm )


2

-4
w( nm)
10
7

-6 5
10
4

2
-8
10 1

-10
10
0.5 1.0

EOT ( nm)

Figure 5.16: Simulated leakage currents at hF i=1.3 MV/cm for different EOTs and
thickness fluctuations w. Upon downscaling the sensitivity of the leakage current
toward ER drastically increases.

increased sensitivity of the leakage current on ER is observed, as illustrated in


Fig. 5.16.
In fact, when comparing equal thickness fluctuations w for different film thick-
nesses d, the relative thickness fluctuations, i.e. w/d, increase going to thinner
dielectrics. Thus, the electric field enhancement γc (w/d) at the dielectric constric-
tions is stronger. However, the resulting increase of PF conduction is not sufficient to
explain the large increase of the leakage current observed for EOTs ≤ 0.6 nm. Most
important for scaling, as it turns out, is the transformation of the dominant trans-
port mechanism from PF conduction to TAT, evidenced by the kMC simulations.
TAT scales much stronger with the film thickness than PF conduction, since it de-
pends exponentially on the thickness of the tunneling barriers. Thus, for extremely
thin films and large enough thickness fluctuations TAT across local constrictions of
the dielectric becomes the dominant transport mechanism and completely controls
the leakage current once w is further increased.
Accordingly, for the upcoming chip generations the requirements on the uniformity of
the fully 3D-integrated electrodes drastically increase, e.g. to w ∼ 1 nm for an EOT
of 0.5 nm (see Fig. 5.16). The feasibility of an EOT of 0.4 nm seems questionable.
Strategies to achieve the smallest possible EOT values are needed. Based on the
114 5. Leakage Current Simulations

presented transport model, and considering ER effects, two technological options


exist.
The first is the reduction of the defect density nD . As discussed, the dominant
transport mechanisms PF conduction and TAT both involve bulk defects in the
ZrO2 . Usually each single defect opens up one transport channel. Thus, the leakage
current is approximately proportional to nD , whereas only the contribution of bulk
defects in a certain distance from the electrodes, e.g. 2-3 nm for a 9 nm TZT
capacitor, should be considered. Both PF conduction and TAT would be affected
by a reduction of nD in the same way. In our simulations a homogeneous defect
density nD = 3 × 1018 cm−3 has been assumed. How much this defect density can
be reduced in the future is not clear. Further refinement of the transport model
by integration of structural relaxation of defects, discussed in the following section,
suggests the possibility of higher defect densities what would make this strategy
appear more promising.
The second option is to increase the CBO, e.g. by introducing an Al-doping at the
TiN/ZrO2 interface[36, 118, 141]. This option is a unique result of our model, as
standard leakage current models for TZT capacitors describe the current as purely
bulk-limited PF conduction and do not include the electron injection and thus the
influence of the electrode work function. Figure 5.17 depicts a possible scaling
scenario for TZT capacitors to realize an EOT of 0.4 nm. An increase of the CBO
by 0.1-0.2 eV with respect to 1.74 eV, extracted from kMC simulations, is sufficient
to fulfill the leakage current criterion for 0.4 nm EOT.

5.2.6 Summary
Performing 3D kMC simulations, we have carried out a quantitative analysis of the
influence of electrode roughness on the leakage current in TiN/ZrO2 /TiN capacitors
for application in DRAM cells. While the leakage current is found to be insensitive
on the electrode smoothness (α), thickness fluctuations (w) turn out to have a
huge impact. We have found that, upon downscaling the EOT, the sensitivity of
the leakage current on thickness fluctuations drastically increases due to a change
of the dominant transport mechanism from PF conduction to TAT. For a feasible
thickness fluctuation of w ∼ 1 nm the leakage current criterion could not be fulfilled
for a desirable EOT of 0.4 nm. According to the new insights into the transport
mechanisms in TiN/ZrO2 /TiN capacitors an increase of the CBO by 0.1-0.2 eV is
suggested in order to enable an EOT of 0.4 nm.
5.3. Structural Relaxation of Defects 115

leakage current density j (A/cm )


10

2
E E = 1.15 eV
B D

1.64 eV
-2
10 1.74 eV

1.84 eV

1.94 eV
-4
10

-6
10

-8
10
EOT = 0.4 nm

-10
10
1 2 3 4
electric field ( MV/cm)

Figure 5.17: Leakage current simulations of a 4 nm TZT capacitor (EOT=0.4 nm)


at 120◦ C, varying the CBO EB between TiN and ZrO2 .

5.3 Structural Relaxation of Defects

So far, all studies of leakage currents in high-κ dielectrics did not take into account
structural relaxation of defects upon a change of their charge state (e.g. refs. [90,
129]). Also the transport model, developed in 5.1, was set up under the assumption
that structural relaxation is negligible. However, recently, M. Häufel carried out
accurate first principles calculations of defect energy levels for different charge states
in t-ZrO2 [142], which, in contrast, demonstrate that structural relaxation is very
pronounced in t-ZrO2 , leading to shifts of the defect energy levels larger than 1 eV.
Such large energy shifts suggest that in case of charge transport across defect states,
as it is envisaged for TZT capacitors, cf. 5.1 and 5.2, structural relaxation may play
a major role.

Consequently, a defect model was derived from Häufel’s first principles calculations
and subsequently coupled to our kMC charge transport simulations. ZAZ thin
films, which were shown to have slightly better insulating properties than pure
ZrO2 films[3], were taken as an exemplary system to assess the impact of structural
relaxation on the electron transport.
116 5. Leakage Current Simulations

5.3.1 Introduction
For decades, semiconductor bulk defects have been subject of intensive studies[143]
and it is common knowledge that the energy of defect levels depends on the de-
fect charge state[144]. Electrostatic interactions between charges localized at defect
sites and the surrounding lattice atoms lead to structural relaxation. The atoms in
the vicinity of the defect undergo a rearrangement in order to minimize the total
energy of the system. Remarkable shifts of atoms, and thus defect levels, can be
observed, a prominent example being the bistability of the oxygen vacancy in SiO2
(E′ -center)[145].
Although structural relaxation of defects caused by a change of their charge state
has been known for a long time, its influence on charge transport across defect
states has been scarcely addressed[146]. Especially in modern nanoscale devices,
featuring film thicknesses below ten nanometers, unanticipated effects may arise.
Especially for the high-κ oxides this is to be expected. It is well-known that the
high-κ oxides, due to their large coordination, suffer from high defect densities[39].
As the main fields of application of these materials, usage as dielectrics in the state-
of-the-art transistor gate stack[147], in future carbon-nanotube transistors[148], and
in the storage capacitor of DRAMs[2], impose high requirements on their insulating
properties, numerous studies of defect levels in materials like HfO2 , ZrO2 , TiO2 ,
and SrTiO3 [123, 149, 150, 151] were conducted, which incidentally demonstrated
the enormous effect of structural relaxation on the defect energy levels in these
materials.
As has been discussed in the previous sections, transport mechanisms involving
charge flow across defect levels in the oxide band gap dominate the conduction
in high-κ films currently employed as dielectrics[110, 111]. Obviously, as soon as
charges flow across defects, causing frequent changes of the defect charge states,
defect relaxation will strongly influence the electronic transport.
Considering the current stand of the semiconductor industry, which, only a few years
after successful integration of high-κ dielectrics, is pushing these materials to their
scaling limits[152], a better understanding of the charge transport is crucial. Envis-
aging the current downscaling speed, a value of 0.4 nm for the EOT will be required
within the next two years (according to the ITRS). Yet no feasible concept is known
that fulfills the strict leakage current criteria for the DRAM storage capacitor and,
at the same time, enables such low EOTs. Future material systems are investigated,
whereas the focus is laid on SrTiO3 [153, 154, 155] and rutile TiO2 [36] as dielectrics
in combination with Ru-based electrodes, since these materials provide permittivi-
5.3. Structural Relaxation of Defects 117

ties of more than 100. However, these material systems are far from being ripe for
integration into the large-scale production process.
Thus, other approaches have been suggested, under these laminate dielectric stacks,
such as trilaminate ZAZ dielectrics[3, 116, 89], which are used in the latest chip
generations. Here, two polycrystalline layers of t-ZrO2 , featuring a permittivity
close to 40, surround a thin (∼ 0.5 nm) amorphous Al2 O3 interlayer. It was found
that these ZAZ films have insulating properties slightly superior compared to pure
ZrO2 films[3], which were discussed in 5.1 and 5.2. The actual reason for this
improvement is still a subject of discussion. It was suggested that the interlayer
prevents ZrO2 grains from extending over the whole dielectric and causing excessive
surface roughness[156], which is known to have a detrimental effect on the capacitor
performance[131]. It was also argued qualitatively that the large band gap of Al2 O3
sets up a barrier for charge flow[116]. However, a quantitatively predictive model for
the leakage currents in TZAZT capacitors is still missing. The fact that structural
relaxation of defects easily causes energetic shifts of the defect levels larger than one
electron volt[157] strongly suggests that relaxation processes have to be accounted
for in this context.
To take structural relaxation of defects into account, we augmented the established
kMC framework for transport simulations by coupling our kMC algorithm to a de-
fect model derived from first principles GW calculations. The impact of structural
relaxation of defects and the accompanying change of the defect energy levels on
charge carrier transport is investigated and a complete transport model for TZAZT
capacitors is developed. The first principles GW calculations, described in the fol-
lowing were carried out by Martin Häufel at the chair for Theoretical Semiconductor
Physics, Walter Schottky Institute, Technical University of Munich[142].

5.3.2 GW Calculations
As said, many elaborate studies of defect levels in the high-κ materials are available.
To save computation time, usually defect levels are calculated in the local density
approximation (LDA), i.e. the results suffer from the systematically underestimated
band gap. While precise rules for rescaling the band gap are known, due to the
rescaling process, the energy of the defect levels within the band gap is afflicted
with some uncertainty. For an unambiguous identification of structural defects which
might constitute the dominant leakage current channels a more accurate analysis is
needed. Alternative calculation schemes exist, which are on the one hand much more
costly, but on the other hand deliver very precise results for defect level energies.
118 5. Leakage Current Simulations

One of these methods is the GW approximation [158, 159], in which dynamical


screening effects are taken into account, leading to better results for the band gap
and excited states of many semiconducting and insulating materials [159, 160].
In the GW approach crystal electrons are viewed to be surrounded by a polarization
cloud. Thus, a screened interaction with the other electrons takes the place of bare
Coulomb interaction. The excitations of the many-particle system can therefore be
described as those of weakly interacting quasiparticles.
The GW approximation can formally be written as an expansion of the self-energy
Σ, defined as the energy difference between the quasiparticle and the bare electron,
in terms of the screened interaction W ,

Σ ≈ GW, (5.29)

where G denotes the single-particle Green’s function. In Feynman diagram repre-


sentation this reads

(5.30)

The equation of motion is given by the quasiparticle equation


Z
hΨi (r) + d3 r′ Σ(r, r ′ ; Ei )Ψi (r ′ ) = Ei Ψi (r), (5.31)

where h is the one-particle Hamiltonian in the Hartree approximation, and Ψi and


Ei are the quasiparticle wavefunction and energy, respectively. In this definition
the self-energy contains all the exchange and correlation contributions beyond the
Hartree approximation. Note that, for simplicity, spin degrees of freedom are ne-
glected in this presentation, whereas the actual calculations are carried out in a
spin-polarized way. Comparing the quasiparticle equation to the Kohn-Sham equa-
tions  
h + vxc (r) ϕi (r) = εi ϕi (r), (5.32)

with ϕi and εi denoting the Kohn-Sham orbitals and energies, respectively, one can
see that the self-energy term takes the place of the exchange-correlation potential
vxc .
As a starting point the Kohn-Sham energies and wavefunction were determined self-
consistently within the LDA. Then the quasiparticle effects enter the calculations
as energy corrections, obtained using first order perturbation theory to evaluate the
operator (Σ−vxc ) at the Kohn-Sham energies and for the Kohn-Sham wavefunctions.
5.3. Structural Relaxation of Defects 119

All defect energy level calculations were carried out with the ABINIT [161, 162, 163,
164] software package. The density functional theory simulations were performed for
the crystal at zero temperature. Vanderbilt ultrasoft pseudopotentials (USPP) [165]
were used, as, according to our calculations, the band gap energy differs only by
0.1 eV to the value obtained with norm-conserving pseudopotentials. For the gener-
ation of the pseudopotentials the following reference configurations were used. For
oxygen all six electrons in the n = 2 shell (2s2 2p4 ) were included. For zirconium,
all electrons in the n = 4 shell plus the 5s electrons (4s2 4p6 4d2 5s2 = 12 electrons)
were treated as valence electrons. Exchange and correlation were described using
the Perdew-Zunger-Ceperley-Alder potential [166, 167]. Quasiparticle corrections
for the highest occupied valence band state and the lowest lying conduction band
state were calculated using the GW approximation. The one-shot G0 W0 approxima-
tion was applied using the standard Plasmon-Pole model of Godby and Needs[168]
at two frequencies: the static limit (0 eV) and another frequency, imaginary, of the
order of the plasmon frequency (the peak in the electron energy loss spectrum). We
used the volume plasmon pole energy of 13.8 eV given in ref. [169].
First, the energy gap of t-ZrO2 was determined using the same 2 × 2 × 2 supercell
consisting of 96 atoms, that was used for the defect calculations. Note that the cell
(as well as all defect supercells used in the calculations below) was relaxed using a dif-
ferent ultrasoft pseudopotential (the volume per unit formula differs by ∼1%). The
relative error caused by this is assumed to cancel in the final results, as we are only
interested in the positions of the defect states within the gap. The valence pseudo-
wavefunctions are expanded in a projector-augmented-wave (PAW) [170] basis set
up to a kinetic energy of 15 ha. In the screening calculation and in the calculation
of the self-energy matrix elements the summation was performed over 730 and 700
electronic bands, respectively. That is, in order to obtain converged GW results,
about 350 unoccupied buffer bands were included in the summation. The calculation
was carried out at the Γ-point only. All parameters were converged within 0.1 eV.
As a result a LDA energy gap of 3.52 eV and a GW gap of 5.52 eV were obtained,
which, in the following, are used as a reference for the defect levels.

5.3.3 Defect Model


Oxygen vacancies in t-ZrO2 , a common defect in this material[171] and the most
probable candidate for causing the leakage currents in this dielectric, were studied.
Calculations were carried out using a 2 × 2 × 2 supercell consisting of 95 atoms, as
shown in Fig. 5.18 (top).
120 5. Leakage Current Simulations

Figure 5.18: (top) 95-atom supercell of t-ZrO2 used to perform the GW calcula-
tions. O atoms are shown in light red, Zr atoms in dark blue. An oxygen vacancy was
formed by removing one oxygen atom (green). Subsequently the atomic structure
was relaxed. (bottom) Zoom on the direct vicinity of the oxygen vacancy (missing
O atom colored green). The relaxed structure for the neutral vacancy VO0 is pic-
tured. Semitransparent, dark blue balls show the positions of the nearest-neighbor
Zr atoms for the positively charged vacancy. Upon removal of an electron, the Zr
atoms are pushed away from the vacancy by the localized positive charge. For better
visibility the displacement is upscaled by a factor of 5.
5.3. Structural Relaxation of Defects 121

charge state This thesis Theor.

LDA GW t-ZrO2 [172] m-ZrO2 [173] c-ZrO2 [174]

-1 2.58 (0.94) 2.59 (2.94) 2.40 (4.20)

-1 3.49 (0.03) 5.00 (0.53) 4.40 (2.20)

neutral 0.96 (2.56) 3.06 (2.44) 3.35 (3.55) 2.20 3.45 (2.1)

+1 (α) 0.68 (2.84) 3.08 (2.43) 4.20 (2.70)

+1 (β) 3.41 (0.11) 4.41 (1.09) 6.10 (0.80)

+2 3.48 (0.04) 5.25 (0.27) 7.00 (-0.10)

Table 5.2: Defect energy levels of the neutral and of charged oxygen vacancies in
t-ZrO2 (in eV) in comparison to published theoretical results. In braces we give the
energy difference to the CB minimum.

In Tab. 5.2 the results of the defect level calculations are presented along with
published theoretical values.
According to defect formation energies, calculated in ref. [149] for the chemically
similar HfO2 , the prevailing charge states for oxygen vacancies (VO ) are neutral
(VO0 ), positive (VO+ ), and twofold positive (VO++ ). The position of the corresponding
defect energy levels of the relaxed oxygen vacancy within the band gap of t-ZrO2 is
shown in Fig. 5.19.
For VO0 , two degenerate, filled defect levels are found 2.44 eV below the ZrO2 CB,
corresponding to two electrons that are strongly localized at the vacancy site. Upon
removal of an electron (VO+ ), the now unoccupied spin down state (β) moves up to
1.09 eV, while the occupied spin up state (α) remains energetically unaffected. For
the neutral oxygen vacancy, screened Coulomb repulsion between the two localized
electrons, as described by equation (5.33) below, leads to a potential energy con-
tribution of the order of 0.3-0.5 eV. Thus, upon removal of one electron one would
expect the defect energy level to shift downwards by this amount. However, upon
positive charging of the defect a large structural relaxation takes place. Figure 5.18
(bottom) shows a close up view of the relaxed atomic structure in the vicinity of a
neutral oxygen vacancy VO0 . If one electron is removed, the remaining positive charge
localized at the vacancy site forces the positive Zr ions to relax 0.11 Å away from
the vacancy (shown as semitransparent blue balls). Thus, the attractive potential
energy between the remaining electron and the Zr ions decreases, causing the (α)
122 5. Leakage Current Simulations

Figure 5.19: Energy level diagram showing the positions of the oxygen vacancy
states within the t-ZrO2 band gap. All defect energy levels were obtained using
the GW approximation; all values are given in electron volts (eV). For VO+ the non-
degenerate spin up and down states are denoted (α) and (β), respectively. Open
(closed) circles denote unoccupied (occupied) states.

state to more or less remain energetically unchanged and the unoccupied (β) state
to shift 1.35 eV upwards. A similar value of 0.10 Å for the relaxation distance was
found in refs. [123] and [172]. However, in ref. [123] the exchange correlation was
described by the generalized gradient approximation leading to a defect level shift
of only 0.6 eV, while embedded cluster calculations in ref. [172], overestimating the
ZrO2 band gap, found a shift of 2.75 eV. By removing another electron (VO++ ), the
two unoccupied states move up close to the CB.

5.3.4 Kinetic Monte Carlo Framework


The defect model, derived from the GW calculations (Fig. 5.19), was incorporated
into our kMC algorithm to study the effect of the structural relaxation on the
electronic transport.
To get a correct picture of the electron transport and, especially, the statistical in-
terplay between different transport mechanisms, structural relaxation was added to
the list of state-to-state transitions, which have to be taken into account concur-
rently in the kMC algorithm. The full set of transitions is sketched in Fig. 5.20;
for comparison to the earlier model framework the reader should refer to chapter 3
5.3. Structural Relaxation of Defects 123

and Fig. 3.1 therein. These transitions encompass both, electrode-limited mecha-
nisms, such as (i) direct tunneling and (ii) Schottky emission, as well as bulk-limited
mechanisms, such as (iii) elastic/inelastic tunneling of electrons into and (iv) out of
defects, (v) field-enhanced thermal emission of electrons from defects (PF emission),
and (vi) tunneling between defects. In addition, we integrated self-consistently (vii)
structural relaxation of defects upon a change of their charge state, causing a shift
of the defect energy level.

Figure 5.20: Schematic band diagram of a MIM structure with applied voltage U .
Transport mechanisms, implemented in the kMC simulator: (i) direct/FN tunneling,
(ii) SE, (iii) elastic and inelastic tunneling (p = number of phonons with energy h̄ω)
into and (iv) out of defects, (v) PF emission, (vi) defect-defect tunneling, and (vii)
structural relaxation of a defect upon a change of its charge state (waved arrows).

The physical models for the different transport mechanisms are an essential input of
our kMC simulations. They have been introduced in chapter 3; a concise overview
124 5. Leakage Current Simulations

layer thicknesses (nm) layer permittivities

bott. top ZAZ bott. top ZAZ

samples ZrO2 Al2 O3 ZrO2 stack ZrO2 Al2 O3 ZrO2 stack

T7ZAZT 3.4 0.5 3.4 7.3 (7.3) 38 9 38 31.1 (31.4)

T9ZAZT 4.5 0.4 4.5 9.4 (9.4) 38 9 38 33.4 (35.2)

Table 5.3: Model parameters for the individual layers of the ZAZ dielectric used
in the kMC simulations. For comparison, measured values, only available for the
whole dielectric stack, are given in braces.

can be found in ref. [111].


In order to account for the structural relaxation of the defects due to a change of
their charge state the defect model is coupled to the kMC simulations by setting the
defect energies for different charge states to the GW values. It turns out that the
residence time of electrons in the defects is orders of magnitude larger than the time
needed for structural relaxation (in the picosecond regime[175]) around the defect
after a change of the charge state. Thus, we neglected the relaxation time in our
simulations, i.e. for transitions of type (vii) we assumed a rate Rrelax → ∞.
The electrostatic potential in the vicinity of charged defects was modeled as a
screened Coulomb potential. For defect i with electrical charge Ze, located at posi-
tion ~ri , it can be written as

Ze
V (~r) = . (5.33)
4πǫopt ǫ0 |~r − r~i |
Here, Z is an integer number, giving the charge state of the defect, and ǫopt is the
optical permittivity of the surrounding dielectric material, taken as 5.6 in t-ZrO2 [31].
In the above-described first principles kMC modeling framework charge transport
in planar TZAZT capacitors, fabricated as described in chapter 2.3, was simulated.
Total film thicknesses of the ZAZ stacks, determined via spectroscopic ellipsometry,
and their effective permittivities, extracted from capacitance measurements, given
in Tab. 5.3, are in excellent agreement with our model assumptions.

5.3.5 First-principles kMC Leakage Current Simulations


Figure 5.21 shows the leakage current data of the two capacitor structures for tem-
peratures between 25◦ C and 150◦ C as a function of the mean electric field hF i = U/d,
5.3. Structural Relaxation of Defects 125

with the applied bias U and the total dielectric stack thickness d. In order to study
the effect of structural relaxation on electron transport in these thin film capaci-
tors by means of kMC some assumptions had to be made, whose justification will
be commented on later. For the sake of simplicity it was assumed that one single
type of defect, homogeneously distributed within the dielectric, causes the dominant
leakage current contributions.
Best estimate physical parameters, entering the kMC transition rates, were taken
to characterize the dielectric stack and kept fixed during the simulations. They are
listed in Tab. 5.1. Assumed thicknesses of the individual ZrO2 and Al2 O3 layers,
given in Tab. 5.3, were chosen so as to reproduce the measured total film thicknesses
and permittivities of the ZAZ laminates. The only fitting parameters are the CBO
EB at the TiN/ZrO2 interface and the defect density.
In this model framework we found a single set of parameters that accurately re-
produces both the temperature and the thickness scaling of the leakage current, as
can be seen in Fig. 5.21 (a) and (b). The closest fit to the experimental data was
achieved for a barrier height of 1.9 eV, a value which compares well to the earlier
simulation result of 1.74 eV for TZT capacitors, studied in 5.1 and ref. [111], and
to theoretical estimates given on page 89.
For the best possible fit of the kMC simulations to the experimental data the defect
level energies for the different charge states were slightly changed with respect to
+
the GW values (given in braces) to Ed,(β) = 1.1 eV (1.09 eV) for the unoccupied (β)
state of the positively charged vacancy and Ed0 = 2.3 eV (2.44 eV) for the degenerate
states of the neutral defect with respect to the CB. The energies of the unoccupied
states of the twofold positively charged defect and the occupied (α) state of the
positively charged defect were left unchanged. These minor changes lie well within
the error margin of the GW results. This suggests that, indeed, oxygen vacancies
are responsible for the dominant leakage current contribution in TZAZT capacitors.

5.3.6 Trap-assisted Tunneling Across Oxygen Vacancies


From the kMC simulations the following picture of electron transport across the
dielectric, as shown in Fig. 5.22, emerges. An electron is injected from the TiN
electrode into the unoccupied electron state of a positive vacancy 1.1 eV below the
+
ZrO2 CB (Fig. 5.22 (a)) which subsequently relaxes by Erelax = Ed0 − Ed,(β) = 1.2 eV
(Fig. 5.22 (b)). The trapped electron then moves by phonon-assisted tunneling, cf.
3.3.2, into a neighboring positively charged vacancy (Fig. 5.22 (c)) which again re-
laxes. In this way, percolating along a chain of oxygen vacancies, the electron crosses
126 5. Leakage Current Simulations

-2
10
leakage current density j (A/cm )
2

-3 data sim
10 25°C

-4 50°C
10 100°C
-5 150°C
10
-6
10
-7
10
-8
10
-9
10 relaxation currents

-10
10
(a)
-11
10
0 1 2 3 4
mean electric field <F> (MV/cm)
-2
10
leakage current density j (A/cm )
2

-3 data sim
10 25°C

-4 50°C
10 90°C
-5 120°C
10
-6
10
-7
10
-8
10
-9
10 relaxation currents

-10
10
(b)
-11
10
0 1 2 3 4
mean electric field <F> (MV/cm)

Figure 5.21: Leakage current data (symbols) of TZAZT capacitors with dielectrics
of (a) 7 nm and (b) 9 nm thickness compared to kMC simulation results (lines).
In the low-field region the measured current is dominated by transient relaxation
currents, while the kMC results describe the steady state. Thus, no comparison is
possible[110]. Dielectric breakdown was observed for high fields.
5.3. Structural Relaxation of Defects 127

Figure 5.22: The different steps of an electron crossing the dielectric film are
sketched. (a) First the electron is injected into a positiv oxygen vacancy which (b)
subsequently undergoes structural relaxation causing the defect energy level to shift
downwards. (c) In a next step the electron moves via an inelastic, multiphonon-
assisted transition, cf. 3.3.2, to a neighbouring positively charged oxygen vacancy.
(d) By repeating the last two steps, the electron can percolate along a chain of defect
states until it is finally emitted to the counter-electrode. Here, p is the number of
phonons with energy h̄ω.

the dielectric (Fig. 5.22 (d)). The number of percolation sites varies between one
and three, depending on film thickness and applied bias (The thinner the dielectric
and the higher the applied bias, the fewer sites are needed.).
Earlier models which neglected structural relaxation effects came to the conclusion
that transport is dominated by PF conduction[90, 129, 110] via a defect level located,
e.g., 1.15 eV[110] below the CB. The analysis of the ab initio results shows that this
corresponds almost exactly to the relaxation energy Erelax of the oxygen vacancy.
Such coincidence explains why in both models a similar temperature scaling of the
leakage current is obtained. Clearly, only the model including structural relaxation
128 5. Leakage Current Simulations

effects is consistent with first principles defect level calculations, as shown here and
in refs. [123] and [172].
Best quantitative matching of the simulations with the experimental data was achieved
for a defect concentration of 1×1020 cm3 . Such a high concentration might be due to
the presence of the high work function TiN electrodes, which are known to increase
the concentration of oxygen vacancies in such high-κ thin films[41]. Via soft x-ray
photoelectron spectroscopy defect densities in the range of 2 × 1019 to 1 × 1020 cm3
were estimated[176]. The investigation of (ZrO2 )0.8 (Al2 O3 )0.2 films via conductive
atomic force microscopy[177] suggests that transport predominantly occurs along
grain boundaries in the polycrystalline ZrO2 . Since oxygen vacancies are known to
cluster at grain boundaries[176, 178], such experimental evidence strongly suggests
that they are responsible for opening the loss paths.

5.3.7 TiN/ZrO2 /Al2 O3 /ZrO2 /TiN Capacitors


In Fig. 5.23 (a) leakage current data of capacitors with pure ZrO2 dielectrics, dis-
cussed in 5.1, and of the present TZAZT capacitors is plotted as a function of hF i.
As can be seen, introduction of the amorphous Al2 O3 interlayer leads to a reduction
of the leakage currents. So far, the Al2 O3 was thought to act as a barrier for elec-
tron flow due to its large band gap of around 8.9 eV. Additionally, it was suggested
that the interlayer interrupts the grain boundaries of the polycrystalline material.
While these effects may contribute to the reduction of the leakage current in ZAZ
films compared to pure ZrO2 films, see Fig. 5.23 (a), our simulations suggest that
their influence is negligible. Instead, the electron transport turns out to be mainly
controlled by F ZAZ , the electric field in the ZrO2 layers of the ZAZ stack. Upon in-
troduction of the low-κ Al2 O3 interlayer (κ = 9), the electric field in the ZrO2 layers
is reduced with respect to F ZrO2 , the value it would have in a dielectric film of pure
ZrO2 . Assuming that the electric displacement D = κ · F is constant throughout the
dielectric film, F ZAZ can be calculated from

U
F ZAZ = κ(ZrO2 )
. (5.34)
d(ZrO2 ) + κ(Al2 O3 ) d(Al2 O3 )

Here, U is the applied voltage, κ(Al2 O3 ) and κ(ZrO2 ) are the permittivities in
Al2 O3 and ZrO2 , respectively, and d(Al2 O3 ) and d(ZrO2 ) are the total thicknesses
of the materials.
In Fig. 5.23 (b) we replot the data from Fig. 5.23 (a) as a function of F ZAZ for the
ZAZ films and F ZrO2 for the ZrO2 films, so as to eliminate the field reduction effect
5.3. Structural Relaxation of Defects 129

of the Al2 O3 interlayer. Apparently, the major effect of the interlayer is the reduction
of the electric field in the ZrO2 layers. While this might also be advantageous in
terms of reliability[89], it comes with the cost of an increased EOT. The fact that,
for an identical F ZAZ , the current does not scale with the film thickness, at least in
the considered thickness range, is consistent with our transport model. As electrons
pass the dielectric in a multistep process, the rate for this to happen is governed by
the slowest single step, i.e. phonon-assisted tunneling of an electron between two
defects. Thus, relevant for the scaling of the current is not the total film thickness
but the defect-defect distance, i.e. the defect concentration.

5.3.8 Summary
In summary, multistep, inelastic, phonon-assisted tunneling across oxygen vacancies
has been identified as the dominant conduction mechanism in TZAZT capacitors.
A closed model, taking structural relaxation of the involved defects upon a change
of their charge state into account, was presented. Consideration of defect relaxation
leads to a qualitative modification of our picture of electron transport. Instead of
PF conduction, TAT across oxygen vacancies is identified as the dominant transport
mechanism.
130 5. Leakage Current Simulations

-1
10
leakage current density j (A/cm )
2

-2 9 nm ZAZ
10 1

9 nm ZrO
2
-3
10 7 nm ZAZ
1

-4 7 nm ZrO
10 2

-5
10
-6
10
-7
10
-8
10
-9
10
(a)
-10
10
0 1 2 3 4
<F> (MV/cm)
-1
10
leakage current density j (A/cm )
2

-2 9 nm ZAZ
10 1

9 nm ZrO
2
-3
10 7 nm ZAZ
1

-4 7 nm ZrO
10 2

-5
10
-6
10
-7
10
-8
10
-9
10
(b)
-10
10
0 1 2 3 4
F ZAZ , F ZrO
2
(MV/cm)

Figure 5.23: Experimental leakage current data of pure ZrO2 dielectrics and of
ZAZ stacks for a temperature of 120◦ C is compared. In (a) the current density j is
plotted vs. hF i, the mean electric field in the dielectric and in (b) vs. the field in
the ZrO2 layers, i.e. F ZAZ for the ZAZ films and F ZrO2 for the pure ZrO2 films,
thus accounting for the field reduction in the ZAZ stack caused by the low-κ Al2 O3
interlayer.
Conclusions and Outlook

The common practice in analyzing leakage currents in thin-film capacitor structures


is to fit experimental results with simplified compact models. Their big advantage
is their low complexity and their speed, as they usually provide analytical expres-
sions, reducing the task of fitting to the adjustment of a small set of parameters.
However, often these models oversimplify the situation, i.e. conclusions, which can
be drawn based on the fitting of experimental data, are limited or, worse, might
even be misleading. In general, an in-depth description of electronic transport in
such structures is a very ambitious task, facing alone the large number of unknown
quantities in the typical systems of interest.
It was the goal of the present work to go beyond the so far existing approaches
and to establish a higher-level simulation framework for leakage current studies.
Therefore, a modeling environment was set up, where microscopic models for ev-
ery relevant physical transport process were united in a comprehensive simulation
framework with a kinetic Monte Carlo algorithm at its heart. The unique prop-
erties of the kinetic Monte Carlo formalism were exploited, such as modeling at
the microscopic scale, e.g. to resolve single charge carrier motions, straightforward
coupling to finite elements based differential equation solvers allowing to take into
account, e.g., carrier-carrier interactions, the study of phenomena spatially localized
in all three dimensions (electrode roughness effects, structural relaxation of defects,
defect-assisted transport), and the investigation of the statistical interplay of dif-
ferent microscopic processes, e.g. the concurrent simulation of multiple transport
mechanisms which allows to investigate their mutual interdependence.
Having such a modeling environment at hand, we carried out elaborate studies
on the TiN/ZrO2 material system, which is of outmost importance for the semi-
conductor industry, where it is employed in the DRAM storage capacitor. Closed
transport models were developed, which shed light on the microscopic transport
in high-performance TiN/ZrO2 /TiN capacitors. Again, making use of the kinetic
Monte Carlo framework and its modular architecture, which allows to augment

131
132 5. Leakage Current Simulations

transport models with only little effort, we iteratively improved our transport mod-
els by taking more and more physical effects into account. At the end, we could
provide a self-consistent transport model for the TiN/ZrO2 material system. The
detailed modeling on the microscopic scale, in contrast to the compact models, en-
abled us to propose target-oriented strategies how to optimize the performance of
the capacitor structures. Problems which may arise in the future due to further
downscaling of the capacitors could be identified in advance, and viable approaches
how to tackle these could be provided.
In general, the flexibility of the developed modeling framework makes it easily trans-
ferable allowing to study electronic transport in a variety of other, even very differ-
ent, systems, as will be commented on in the outlook.
5.3. Structural Relaxation of Defects 133

While in this work TiN/ZrO2 , the currently employed material system for the
DRAM storage capacitor, was in the focus of our investigations, it will, in the
medium term be replaced by other materials, such as StTiO3 and TiO2 , which
feature even higher permittivities. These, unfortunately are weak insulators; their
band gaps are around 3.5 eV. Currently ongoing investigations, thus, not unsurpris-
ingly, measure very high leakage currents for capacitor structures employing these
dielectrics. The conduction band offsets provided by these materials are roughly
0.5 eV too low to surpress intrinsic leakage currents due to, mostly, Schottky emis-
sion. Strategies to increase these offsets via acceptor doping are on pursued. How-
ever, these systems are far from being ripe for introduction into the DRAM produc-
tion process. The simulation framework proposed in the present work will, in the
future, be used to the study of these systems in order to provide theoretical sup-
port for the experimental efforts by enraveling the dominant microscopic transport
mechanisms in these systems.
But, besides DRAM applications, the developed simulation tool, due to the variety
of the implemented models and the versatility of the kinetic Monte Carlo algorithm
and the program architecture itself, is well-suited to investigate transport in other
metal-insulator-metal structures. For example, tunneling diodes for the rectification
of high-frequency ac currents, which consist of an aluminum and a gold electrode
separated by a ultrathin (∼ 3.6 nm) Al2 O3 film, were investigated[179, 180]. Cur-
rents were found to be dominated by direct and Fowler-Nordheim tunneling and
electrode roughness, for such ultrathin dielectric films, was suggested to play an
important role[181, 182]. Further optimization of the fabrication process of these
devices is on its way and will be supported by transport simulations.
Another field of rising interest, for which kinetic Monte Carlo transport simulations
seem to be a very promising tool for theoretical studies, is the fabrication and op-
timization of organic solar cells, especially those based on the bulk-heterojunction
concept[183]. The simulation framework established in the present work has been
adapted in order to simulate the complex microscopic processes involved in the
photocurrent generation in these devices, namely exciton generation, difussion, sep-
aration, decay and charge carrier injection, transport, recombination, extraction etc.
The current functionality of the simulator corresponds to the state-of-the-art in this
field, subsumed in refs. [184, 185, 186, 187, 188]. Thus, the foundations are laid to
study experimental data of such devices in the future.
134 5. Leakage Current Simulations
Bibliography

[1] R. Chau, J. Brask, S. Datta, G. Dewey, M. Doczy, B. Doyle, J. Kavalieros,


B. Jin, M. Metz, A. Majumdar, and M. Radosavljevic, “Application of high-
κ gate dielectrics and metal gate electrodes to enable silicon and non-silicon
logic nanotechnology,” Microelectronic Engineering, vol. 80, pp. 1–6, 2005.

[2] S. Monaghan, K. Cherkaoui, E. O’Connor, V. Djara, P. Hurley, L. Oberbeck,


E. Tois, L. Wilde, and S. Teichert, “TiN/ZrO2 /Ti/Al Metal-Insulator-Metal
Capacitors With Subnanometer CET Using ALD-Deposited ZrO2 for DRAM
Applications,” IEEE Electron Device Letters, vol. 30, no. 3, pp. 219 –221,
2009.

[3] H. Cho, Y. Kim, D. Park, E. Lee, C. Park, J. Jang, K. Lee, H. Kim, Y. Ki,
and I. Han, “New TIT capacitor with ZrO2 /Al2 O3 /ZrO2 dielectrics for 60 nm
and below DRAMs,” Solid-State Electronics, vol. 51, no. 11-12, pp. 1529–1533,
2007.

[4] V. Narayanan, S. Guha, M. Copel, N. Bojarczuk, P. Flaitz, and M. Gribelyuk,


“Interfacial oxide formation and oxygen diffusion in rare earth oxide–silicon
epitaxial heterostructures,” Applied Physics Letters, vol. 81, p. 4183, 2002.

[5] M. Fischetti, D. Neumayer, and E. Cartier, “Effective electron mobility in Si


inversion layers in metal-oxide-semiconductor systems with a high-κ insulator:
The role of remote phonon scattering,” Journal of Applied Physics, vol. 90, p.
4587, 2001.

[6] K. Shiraishi, K. Yamada, K. Torii, Y. Akasaka, K. Nakajima, M. Kohno,


T. Chikyo, H. Kitajima, and T. Arikado, “Physics in fermi level pinning at the
polysi/hf-based high-k oxide interface,” in Symposium on VLSI Technology,
2004. Digest of Technical Papers, 2004, pp. 108 – 109.

[7] K. Frohlich, J. Aarik, M. Tapajna, A. Rosova, A. Aidla, E. Dobrocka, and


K. Huskova, “Epitaxial growth of high-κ TiO2 rutile films on RuO2 electrodes,”

135
136 BIBLIOGRAPHY

Journal of Vacuum Science & Technology B: Microelectronics and Nanometer


Structures, vol. 27, no. 1, pp. 266–270, 2009.

[8] G. Aichmayr, A. Avellán, G. S. Duesberg, F. Kreupl, S. Kudelka, and


M. Liebau, “Carbon/high-k Trench Capacitor for the 40 nm DRAM Gen-
eration,” in VLSI Technology, 2007 IEEE Symposium on. IEEE, 2007, pp.
186–187.

[9] M. Ritala, K. Kukli, A. Rahtu, P. I. Räisänen, M. Leskelä, T. Sajavaara, and


J. Keinonen, “Atomic layer deposition of oxide thin films with metal alkoxides
as oxygen sources,” Science, vol. 288, no. 5464, p. 319, 2000.

[10] Leskelä, M. and Ritala, M., “Atomic layer deposition: from precursors to thin
film structures,” Thin Solid Films, vol. 409, no. 1, pp. 138–146, 2002.

[11] Ritala, M. and Leskelä M., Handbook of Thin Film Materials, H. S. Nalwa,
Ed. Academic Press, 2001, vol. 1.

[12] J.-S. Min, Y.-W. Son, W.-G. Kang, S.-S. Chun, and S.-W. Kang,
“Atomic Layer Deposition of TiN Films by Alternate Supply of
Tetrakis(ethylmethylamino)-Titanium and Ammonia,” Japanese Journal of
Applied Physics, vol. 37, no. Part 1, No. 9A, pp. 4999–5004, 1998.

[13] K. Tse and J. Robertson, “Defect passivation in HfO2 gate oxide by fluorine,”
Applied Physics Letters, vol. 89, p. 142914, 2006.

[14] G. D. Wilk and D. A. Muller, “Correlation of annealing effects on local elec-


tronic structure and macroscopic electrical properties for HfO2 deposited by
atomic layer deposition,” Applied Physics Letters, vol. 83, p. 3984, 2003.

[15] J. Robertson, “High dielectric constant gate oxides for metal oxide Si transis-
tors,” Reports on Progress in Physics, vol. 69, p. 327, 2006.

[16] M.-T. Wang, T.-H. Wang, and J. Y. min Lee, “Electrical Conduction Mech-
anism in Metal-ZrO2 -Silicon Capacitor Structures,” Journal of The Electro-
chemical Society, vol. 152, no. 3, pp. G182–G185, 2005.

[17] M.-T. Wang, T.-H. Wang, B. Y.-Y. Cheng, and J. Y. min Lee, “Tempera-
ture Dependence of the Tunnel-Emission Conduction Current in Metal-ZrO2 -
Silicon Capacitor Structures,” Journal of The Electrochemical Society, vol.
153, no. 1, pp. F8–F11, 2006.
BIBLIOGRAPHY 137

[18] Z. Xu, M. Houssa, S. De Gendt, and M. Heyns, “Polarity effect on the tem-
perature dependence of leakage current through HfO2 /SiO2 gate dielectric
stacks,” Applied Physics Letters, vol. 80, no. 11, pp. 1975–1977, 2009.

[19] W. Chim, T. Ng, B. Koh, W. Choi, J. Zheng, C. Tung, and A. Du, “Inter-
facial and bulk properties of zirconium dioxide as a gate dielectric in metal–
insulator–semiconductor structures and current transport mechanisms,” Jour-
nal of Applied Physics, vol. 93, p. 4788, 2003.

[20] G. W. Dietz, M. Schumacher, R. Waser, S. K. Streiffer, C. Basceri, and A. I.


Kingon, “Leakage currents in Ba0.7 Sr0.3 TiO3 thin films for ultrahigh-density
dynamic random access memories,” Journal of Applied Physics, vol. 82, no. 5,
pp. 2359–2364, 1997.

[21] S. Zafar, R. E. Jones, B. Jiang, B. White, V. Kaushik, and S. Gillespie, “The


electronic conduction mechanism in barium strontium titanate thin films,”
Applied Physics Letters, vol. 73, no. 24, pp. 3533–3535, 1998.

[22] J. Frenkel, “On pre-breakdown phenomena in insulators and electronic semi-


conductors,” Physical Review., vol. 54, no. 8, pp. 647–648, 1938.

[23] L. Nordheim, “Zur Theorie der thermischen Emission und der Reflexion von
Elektronen an Metallen,” Zeitschrift für Physik A Hadrons and Nuclei, vol. 46,
no. 11, pp. 833–855, 1928.

[24] A. Sommerfeld, “Zur Elektronentheorie der Metalle auf Grund der Fermischen
Statistik,” Zeitschrift für Physik A Hadrons and Nuclei, vol. 47, no. 1, pp. 1–
32, 1928.

[25] H. Schroeder, S. Schmitz, and P. Meuffels, “Leakage currents in high-


permittivity thin films,” Applied Physics Letters, vol. 82, p. 781, 2003.

[26] J. D. Baniecki, R. B. Laibowitz, T. M. Shaw, C. Parks, J. Lian, H. Xu, and


Q. Y. Ma, “Hydrogen induced tunnel emission in Pt/(Bax Sr1−x Ti1+y O3+z /Pt
thin film capacitors,” Journal of Applied Physics, vol. 89, no. 5, pp. 2873–2885,
2001.

[27] J. D. Baniecki, T. Shioga, K. Kurihara, and N. Kamehara, “A study of current


transport in Bax Sr1−x Ti1+y O3+z thin-film capacitors containing a voltage-
dependent interface state charge distribution,” Journal of Applied Physics,
vol. 97, no. 11, p. 114101, 2005.
138 BIBLIOGRAPHY

[28] D. S. Jeong and C. S. Hwang, “Tunneling-assisted Poole-Frenkel conduction


mechanism in HfO2 thin films,” Journal of Applied Physics, vol. 98, p. 113701,
2005.

[29] Y. Okuyama, S. Kamohara, Y. Manabe, K. Okuyama, Y. Kubota,


T. Kobayashi, and K. Kimura, “Monte Carlo simulation of stress-induced leak-
age current by hopping conduction via multi-traps in oxide,” in International
Electron Devices Meeting, 1998. IEDM’98 Technical Digest. IEEE, 1998, pp.
905–908.

[30] K. H. Kuesters, M. F. Beug, U. Schroeder, N. Nagel, U. Bewersdorff,


G. Dallmann, S. Jakschik, R. Knoefler, S. Kudelka, C. Ludwig, D. Manger,
W. Mueller, and A. Tilke, “New materials in memory development sub 50 nm:
Trends in flash and dram,” Advanced Engineering Materials, vol. 11, no. 4, pp.
241–248, 2009.

[31] G.-M. Rignanese, F. Detraux, X. Gonze, and A. Pasquarello, “First-principles


study of dynamical and dielectric properties of tetragonal zirconia,” Physical
Review B, vol. 64, no. 13, p. 134301, Aug 2001.

[32] J. Robertson, “Band offsets of wide-band-gap oxides and implications for fu-
ture electronic devices,” Journal of Vacuum Science & Technology B: Micro-
electronics and Nanometer Structures, vol. 18, p. 1785, 2000.

[33] S. K. Kim, S. W. Lee, J. H. Han, B. Lee, S. Han, and C. S. Hwang, “Capacitors


with an Equivalent Oxide Thickness of < 0.5 nm for Nanoscale Electronic
Semiconductor Memory,” Advanced Functional Materials, vol. 20, no. 18, pp.
2989–3003, 2010.

[34] Y. Jin, G. Li, Y. Zhang, Y. Zhang, and L. Zhang, “Photoluminescence of


anatase TiO2 thin films achieved by the addition of ZnFe2 O4 ,” Journal of
Physics: Condensed Matter, vol. 13, p. L913, 2001.

[35] D. M. Smyth, The Defect Chemistry of Metal Oxides. New York: Oxford
University Press, 2000.

[36] S. K. Kim, G. J. Choi, S. Y. Lee, M. Seo, S. W. Lee, J. H. Han, H. S. Ahn,


S. Han, and C. S. Hwang, “Al-doped TiO2 films with ultralow leakage currents
for next generation DRAM capacitors,” Advanced Materials, vol. 20, no. 8, pp.
1429–1435, 2008.
BIBLIOGRAPHY 139

[37] S. K. Kim, W.-D. Kim, K.-M. Kim, C. S. Hwang, and J. Jeong, “High dielectric
constant TiO2 thin films on a Ru electrode grown at 250◦ C by atomic-layer
deposition,” Applied Physics Letters, vol. 85, no. 18, pp. 4112–4114, 2004.

[38] C. Black and J. Welser, “Electric-field penetration into metals: Consequences


for high-dielectric-constant capacitors,” IEEE Transactions on Electron De-
vices, vol. 46, no. 4, pp. 776–780, 1999.

[39] J. Robertson, “High dielectric constant oxides,” The European Physical Jour-
nal Applied Physics, vol. 28, no. 3, pp. 265–291, 2004.

[40] M. Y. Li, B. S. Tsai, P. C. Jiang, H. C. Wu, Y. H. Wu, and Y. J. Lin,


“Structure and property changes of ZrO2 /Al2 O3 /ZrO2 laminate induced by
low-temperature NH3 annealing applicable to metal-insulator-metal capaci-
tor,” Thin Solid Films, vol. 518, no. 18, pp. 5272–5277, 2010.

[41] D. Liu and J. Robertson, “Passivation of oxygen vacancy states and suppres-
sion of Fermi pinning in HfO2 by La addition,” Applied Physics Letters, vol. 94,
no. 4, p. 042904, 2009.

[42] T. Schimizu and M. Koyama, “Control of electronic properties of HfO2 with


fluorine doping from first-principles,” Applied Surface Science, vol. 254, no. 19,
pp. 6109–6111, 2008.

[43] W. Weinreich, “Herstellung und Charakterisierung ultradünner ZrO2 basierter


Schichten als Isolatoren in Metall - Isolator - Metall Kondensatoren,” Ph.D.
dissertation, Der Technischen Fakultät der Friedrich-Alexander-Universität,
Erlangen-Nürnberg, 2011.

[44] M. Ritala and M. Leskelae, Handbook of Thin Film Materials: Volume 1:


Depostion and Processing of Thin Films. Academic Press, San Diego, CA,
2002.

[45] W. Weinreich, R. Reiche, M. Lemberger, G. Jegert, J. Müller, L. Wilde, S. Te-


ichert, J. Heitmann, E. Erben, L. Oberbeck et al., “Impact of interface varia-
tions on JV and CV polarity asymmetry of MIM capacitors with amorphous
and crystalline Zr(1−x) Alx O2 films,” Microelectronic Engineering, vol. 86, no.
7-9, pp. 1826–1829, 2009.
140 BIBLIOGRAPHY

[46] D. M. Hausmann, E. Kim, J. Becker, and R. G. Gordon, “Atomic layer depo-


sition of hafnium and zirconium oxides using metal amide precursors,” Chem-
istry of Materials, vol. 14, no. 10, pp. 4350–4358, 2002.

[47] J. Niinisto, K. Kukli, M. Kariniemi, M. Ritala, M. Leskela, N. Blasco, A. Pin-


chart, C. Lachaud, N. Laaroussi, and Z. e. a. Wang, “Novel mixed alkylamido-
cyclopentadienyl precursors for ALD of ZrO2 thin films,” Journal of Materials
Chemistry, vol. 18, no. 43, pp. 5243–5247, 2008.

[48] B. Lee, K. J. Choi, A. Hande, M. J. Kim, R. M. Wallace, J. Kim, Y. Senzaki,


D. Shenai, H. Li, M. Rousseau et al., “A novel thermally-stable zirconium
amidinate ALD precursor for ZrO2 thin films,” Microelectronic Engineering,
vol. 86, no. 3, pp. 272–276, 2009.

[49] R. Tsu and L. Esaki, “Tunneling in a finite superlattice,” Applied Physics


Letters, vol. 22, pp. 562–564, 1973.

[50] D. Ferry and S. M. Goodnick, Transport in Nanostructures, H. Ahmed,


M. Pepper, and A. Broers, Eds. Cambridge University Press, 1997.

[51] D. Bohm, Quantum Theory. Englewood Cliffs, New Jersey: Prentice-Hall,


Inc., 1951.

[52] C. L. Hinkle, C. Fulton, R. J. Nemanich, and G. Lucovsky, “A novel approach


for determining the effective tunneling mass of electrons in HfO2 and other
high-K alternative gate dielectrics for advanced CMOS devices,” Microelec-
tronic Engineering, vol. 72, no. 1-4, pp. 257–262, 2004.

[53] F. Sacconi, J. Jancu, M. Povolotskyi, and A. Di Carlo, “Full-Band Tunneling


in High-κ Oxide MOS Structures,” IEEE Transactions on Electron Devices,
vol. 54, no. 12, pp. 3168–3176, 2007.

[54] W. Franz, Handbuch der Physik, G. S. Flügge, Ed. Berlin, Ed. Springer-
Verlag, 1956, vol. 17.

[55] J. Maserjian, “Tunneling in thin MOS structures,” Journal of Vacuum Science


and Technology, vol. 11, no. 6, pp. 996–1003, 1974.

[56] M. Städele and K. Tuttle, B. R. Hess, “Tunneling through ultrathin SiO2 gate
oxides from microscopic models,” Journal of Applied Physics, vol. 89, no. 1,
pp. 348–363, 2001.
BIBLIOGRAPHY 141

[57] O. W. Richardson, The Emission of Electricity from Hot Bodies. Longmans


Green and Company, 1921.

[58] E. Murphy and R. H. Good Jr, “Thermionic emission, field emission, and the
transition region,” Physical Review, vol. 102, no. 6, p. 1464, 1956.

[59] S. G. Christov, “General theory of electron emission from metals,” Physica


Status Solidi (b), vol. 17, no. 1, pp. 11–26, 1966.

[60] M. Lenzlinger and E. H. Snow, “Fowler-Nordheim Tunneling into Thermally


Grown SiO2 ,” Journal of Applied Physics, vol. 40, no. 1, pp. 278–283, 1969.

[61] J. E. Medvedeva, A. J. Freeman, C. B. Geller, and D. M. Rishel, “Screened-


exchange determination of the electronic properties of monoclinic, tetragonal,
and cubic zirconia,” Physical Review B, vol. 76, no. 23, p. 235115, 2007.

[62] I. Lundström and C. Svensson, “Tunneling to traps in insulators,” Journal of


Applied Physics, vol. 43, no. 12, pp. 5045–5047, 1972.

[63] C. Svensson and I. Lundström, “Trap-assisted charge injection in mnos struc-


tures,” Journal of Applied Physics, vol. 44, no. 10, pp. 4657–4663, 1973.

[64] J. Bardeen, “Tunnelling from a many-particle point of view,” Physical Review


Letters, vol. 6, no. 2, pp. 57–59, 1961.

[65] L. Lundkvist, I. Lundstrom, and C. Svensson, “Discharge of MNOS struc-


tures,” Solid-State Electronics, vol. 16, no. 7, pp. 811–823, 1973.

[66] X. R. Cheng, Y. C. Cheng, and B. Y. Liu, “Nitridation-enhanced conductivity


behavior and current transport mechanism in thin thermally nitrided SiO2 ,”
Journal of Applied Physics, vol. 63, no. 3, pp. 797–802, 1988.

[67] S. Fleischer, P. T. Lai, and Y. C. Cheng, “Simplified closed-form trap-assisted


tunneling model applied to nitrided oxide dielectric capacitors,” Journal of
Applied Physics, vol. 72, p. 5711, 1992.

[68] A. Kiveris, A. Kudzmauskas, and P. Pipinys, “Release of electrons from


traps by an electric field with phonon participation,” physica status solidi
(a), vol. 37, no. 1, pp. 321–327, 1976.

[69] F. I. Dalidchik, Eks. Theo. Fiz., vol. 74, p. 472, 1978.


142 BIBLIOGRAPHY

[70] M. Herrmann and A. Schenk, “Field and high-temperature dependence of the


long term charge loss in erasable programmable read only memories: Mea-
surements and modeling,” Journal of Applied Physics, vol. 77, p. 4522, 1995.

[71] G. Lucovsky, “On the photoionization of deep impurity centers in semicon-


ductors,” Solid State Communications, vol. 3, no. 9, pp. 299 – 302, 1965.

[72] A. Schenk, Ph.D. dissertation, Humboldt University, Berlin, 1986.

[73] D. Światła and W. Bartczak, “Calculation of the Huang-Rhys factor for elec-
tron capture by a neutral impurity,” Physical Review B, vol. 43, no. 8, p. 6776,
1991.

[74] A. M. Stoneham, “Phonon coupling and photoionisation cross-sections in semi-


conductors,” Journal of Physics C: Solid State Physics, vol. 12, p. 891, 1979.

[75] S. E. Paje and J. Llopis, “Photoluminescence-spectra study of yttria-stabilized


zirconia,” Applied Physics A: Materials Science and Processing, vol. 57, pp.
225–228, 1993, 10.1007/BF00332593.

[76] B. Ridley, “On the multiphonon capture rate in semiconductors,” Solid-State


Electronics, vol. 21, no. 11-12, pp. 1319–1323, 1978.

[77] N. F. Mott, Festkörperprobleme - Advances in Solid State Physics,


O. Madelung, Ed. Akademie-Verlag, Berlin, 1969, vol. 9.

[78] H. Krause, “Tunnel hopping current and trap filling in insulating layers,” Phys.
Stat. Sol. (a), vol. 62, p. 565, 1979.

[79] A. Miller and E. Abrahams, “Impurity conduction at low concentrations,”


Physical Review, vol. 120, no. 3, pp. 745–755, 1960.

[80] K. Huang and A. Rhys, “Theory of light absorption and non-radiative tran-
sitions in f-centres,” Proceedings of the Royal Society of London. Series A,
Mathematical and Physical Sciences, vol. 204, no. 1078, pp. pp. 406–423, 1950.

[81] H. Gummel and M. Lax, “Thermal capture of electrons in silicon,” Annals of


Physics, vol. 2, no. 1, pp. 28–56, 1957.

[82] R. Kubo and Y. Toyozawa, “Applicaiton of the Method of Generating Func-


tion to Radiative and Non-Radiative Transitions of a Trapped Electron in a
Crystal,” Progress of Theoretical Physics, vol. 13, no. 2, pp. 160–182, 1955.
BIBLIOGRAPHY 143

[83] D. S. Jeong, H. B. Park, and C. S. Hwang, “Reasons for obtaining an opti-


cal dielectric constant from the Poole-Frenkel conduction behavior of atomic-
layer-deposited HfO2 films,” Applied Physics Letters, vol. 86, p. 072903, 2005.

[84] J. W. McPherson and H. C. Mogul, “Underlying physics of the thermochemical


E model in describing low-field time-dependent dielectric breakdown in SiO2
thin films,” Journal of Applied Physics, vol. 84, p. 1513, 1998.

[85] J. L. Hartke, “The three-dimensional Poole-Frenkel effect,” Journal of Applied


Physics, vol. 39, p. 4871, 1968.

[86] S. Chakraborty, M. K. Bera, G. K. Dalapati, D. Paramanik, S. Varma, P. K.


Bose, S. Bhattacharya, and C. K. Maiti, “Leakage current characteristics and
the energy band diagram of Al/ZrO2 /Si0.3 Ge0.7 hetero-MIS structures,” Semi-
conductor science and technology, vol. 21, p. 467, 2006.

[87] P. V. Aleskandrova, V. K. Gueorguiev, T. E. Ivanov, and J. B. Koprinarova,


“Poole-Frenkel conduction in Al/ZrO2 /SiO2 /Si structures,” The European
Physical Journal B-Condensed Matter and Complex Systems, vol. 52, no. 4,
pp. 453–457, 2006.

[88] D. Zhou, U. Schröder, G. Jegert, M. Kerber, S. Uppal, R. Agaiby, M. Reinicke,


J. Heitmann, and L. Oberbeck, “Time dependent dielectric breakdown of
amorphous ZrAlx Oy high-k dielectric used in dynamic random access memory
metal-insulator-metal capacitor,” Journal of Applied Physics, vol. 106, no. 4,
p. 044104, 2009.

[89] D. Zhou, U. Schroeder, J. Xu, J. Heitmann, G. Jegert, W. Weinreich, M. Ker-


ber, S. Knebel, E. Erben, and T. Mikolajick, “Reliability of Al2 O3 -doped ZrO2
high-k dielectrics in three-dimensional stacked metal-insulator-metal capaci-
tors,” Journal of Applied Physics, vol. 108, no. 12, p. 124104, 2010.

[90] Y. Seo, S. Lee, I. An, C. Song, and H. Jeong, “Conduction mechanism of


leakage current due to the traps in ZrO2 thin film,” Semiconductor Science
and Technology, vol. 24, p. 115016, 2009.

[91] J. R. Yeargan and H. L. Taylor, “The Poole-Frenkel effect with compensation


present,” Journal of Applied Physics, vol. 39, p. 5600, 1968.
144 BIBLIOGRAPHY

[92] M. Zebarjadi, C. Bulutay, K. Esfarjani, and A. Shakouri, “Monte carlo simula-


tion of electron transport in degenerate and inhomogeneous semiconductors,”
Applied Physics Letters, vol. 90, no. 9, p. 092111, 2007.

[93] C. Jirauschek and P. Lugli, “Monte-Carlo-based spectral gain analysis for ter-
ahertz quantum cascade lasers,” Journal of Applied Physics, vol. 105, no. 12,
pp. 123 102–123 102, 2009.

[94] C. Jacoboni and P. Lugli, The Monte Carlo Method for Semiconductor Device
Simulation. Springer, New York, 1989.

[95] K. A. Fichthorn and W. H. Weinberg, “Theoretical foundations of dynamical


Monte Carlo simulations,” Journal of Chemical Physics, vol. 95, p. 1090, 1991.

[96] D. T. Gillespie, “A general method for numerically simulating the stochas-


tic time evolution of coupled chemical reactions,” Journal of Computational
Physics, vol. 22, pp. 403–434, 1976.

[97] N. Metropolis and S. Ulam, “The Monte Carlo method,” Journal of the Amer-
ican Statistical Association, vol. 44, no. 247, pp. 335–341, 1949.

[98] S. D. Stellman and P. J. Gans, “Efficient computer simulation of polymer con-


formation. I. Geometric properties of the hard-sphere model,” Macromolecules,
vol. 5, no. 4, pp. 516–526, 1972.

[99] N. Metropolis, A. W. Rosenbluth, M. N. Rosenbluth, A. H. Teller, and


E. Teller, “Equation of state calculations by fast computing machines,” The
Journal of Chemical Physics, vol. 21, no. 6, p. 1087, 1953.

[100] J. R. Beeler Jr and R. A. Johnson, “Vacancy clusters in α-iron,” Physical


Review, vol. 156, no. 3, p. 677, 1967.

[101] A. F. Voter, Radiation effects in solids. Springer, NATO Publishing Unit,


Dordrecht, The Netherlands, 2005, ch. Introduction to the Kinetic Monte
Carlo Method.

[102] B. J. Alder and T. E. Wainwright, “Phase transition for a hard sphere system,”
The Journal of Chemical Physics, vol. 27, p. 1208, 1957.

[103] A. Rahman, “Correlations in the motion of atoms in liquid argon,” Physical


Review, vol. 136, no. 2A, pp. 405–411, 1964.
BIBLIOGRAPHY 145

[104] R. Car and M. Parrinello, “Unified approach for molecular dynamics and
density-functional theory,” Physical Review Letters, vol. 55, no. 22, pp. 2471–
2474, 1985.

[105] K. Reuter, “First-principles kinetic Monte Carlo simulations for heterogeneous


catalysis: Concepts, status and frontiers,” Modeling Heterogeneous Catalytic
Reactions: From the Molecular Process to the Technical System. Wiley-VCH,
Weinheim, 2009.

[106] R. Norris, Markov Chains. Cambridge University Press, Cambridge, UK,


1997.

[107] K. A. Fichthorn and W. H. Weinberg, “Influence of time-dependent rates of


mass transfer on the kinetics of domain growth,” Physical Review Letters,
vol. 68, no. 5, pp. 604–607, 1992.

[108] K. A. Fichthorn and M. Scheffler, “Island Nucleation in Thin-Film Epitaxy:


A First-Principles Investigation,” Physical Review Letters, vol. 84, no. 23, pp.
5371–5374, 2000.

[109] A. B. Bortz, M. H. Kalos, and J. L. Lebowitz, “A new algorithm for Monte


Carlo simulation of Ising spin systems,” Journal of Computational Physics,
vol. 17, no. 1, pp. 10–18, 1975.

[110] G. Jegert, A. Kersch, W. Weinreich, and P. Lugli, “Monte Carlo Simulation


of Leakage Currents in TiN/ZrO2 /TiN Capacitors,” IEEE Transactions on
Electron Devices, vol. 58, pp. 327–334, 2011.

[111] G. Jegert, A. Kersch, W. Weinreich, U. Schroeder, and P. Lugli, “Modeling


of leakage currents in high-kappa dielectrics: Three-dimensional approach via
kinetic monte carlo,” Applied Physics Letters, vol. 96, no. 6, p. 062113, 2010.

[112] F. Rossi, P. Poli, and C. Jacoboni, “Weighted Monte Carlo approach to elec-
tron transport in semiconductors,” Semiconductor Science and Technology,
vol. 7, p. 1017, 1992.

[113] T. M. Pan, C. I. Hsieh, T. Y. Huang, J. R. Yang, and P. S. Kuo, “Good


high-temperature stability of TiN/Al2 O3 /WN/TiN capacitors,” IEEE Elec-
tron Device Letters, vol. 28, no. 11, pp. 954–956, 2007.
146 BIBLIOGRAPHY

[114] N. J. Son, Y. Oh, W. Kim, S. M. Jang, W. Yang, G. Jin, D. Park, and K. Kim,
“A unique dual-poly gate technology for 1.2-v mobile dram with simple in situ
n+-doped polysilicon,” IEEE Transactions on Electron Devices, vol. 51, no. 10,
pp. 1644–1652, 2004.

[115] A. Berthelot, C. Caillat, V. Huard, S. Barnola, B. Boeck, H. Del-Puppo,


N. Emonet, and F. Lalanne, “Highly reliable TiN/ZrO2 /TiN 3D stacked ca-
pacitors for 45 nm embedded DRAM technologies,” in Solid-State Device Re-
search Conference, 2006.

[116] Y.-H. Wu, C.-K. Kao, B.-Y. Chen, Y.-S. Lin, M.-Y. Li, and H.-C. Wu, “High
density metal-insulator-metal capacitor based on ZrO2 /Al2 O3 /ZrO2 laminate
dielectric,” Applied Physics Letters, vol. 93, no. 3, p. 033511, 2008.

[117] S. H. Lin, K. C. Chiang, A. Chin, and F. S. Yeh, “High-Density and Low-


Leakage-Current MIM Capacitor Using Stacked Insulators,” IEEE Electron
Device Letters, vol. 30, no. 7, pp. 715 –717, july 2009.

[118] K. Xiong, J. Robertson, G. Pourtois, J. Pétry, and M. Müller, “Impact of


incorporated Al on the TiN/HfO2 interface effective work function,” Journal
of Applied Physics, vol. 104, no. 7, p. 074501, 2008.

[119] J. Robertson, “Band offsets and work function control in field effect tran-
sistors,” Journal of Vacuum Science & Technology B: Microelectronics and
Nanometer Structures, vol. 27, p. 277, 2009.

[120] V. K. Gueorguiev, P. V. Aleksandrova, T. E. Ivanov, and J. B. Koprinarova,


“Hysteresis in metal insulator semiconductor structures with high temperature
annealed ZrO2 /SiOx layers,” Thin Solid Films, vol. 517, no. 5, pp. 1815–1820,
2009.

[121] G. W. Dietz and R. Waser, “How to analyse relaxation and leakage currents of
dielectric thin films: Simulation of voltage-step and voltage-ramp techniques,”
Integrated Ferroelectrics, vol. 8, no. 3, pp. 317–332, 1995.

[122] D. V. Lang, “Deep-level transient spectroscopy: A new method to characterize


traps in semiconductors,” Journal of Applied Physics, vol. 45, no. 7, pp. 3023–
3032, 1974.
BIBLIOGRAPHY 147

[123] J. Robertson, K. Xiong, and B. Falabretti, “Point defects in ZrO2 high-κ gate
oxide,” IEEE Transactions on Device and Materials Reliability, vol. 5, no. 1,
pp. 84–89, 2005.

[124] M. C. V. Lopes, S. G. dos Santos Fo., C. M. Hasenack, and V. Baranauskas,


“Si-SiO2 Electronic Interface Roughness as a Consequence of Si-SiO2 Topo-
graphic Interface Roughness,” Journal of The Electrochemical Society, vol.
143, no. 3, pp. 1021–1025, 1996.

[125] N. Gaillard, L. Pinzelli, M. Gros-Jean, and A. Bsiesy, “In situ field simula-
tion in metal/insulator/metal capacitors,” Applied Physics Letters, vol. 89, p.
133506, 2006.

[126] M. C. King, C. F. Chang, H. J. Lin, and A. Chin, “Comparison of mim


performance with various electrodes and dieletric in cu dual damascene of cmos
ms/rf technology,” Journal of The Electrochemical Society, vol. 153, no. 12,
pp. G1032–G1034, 2006.

[127] J. Son, W. Maeng, W.-H. Kim, Y. H. Shin, and H. Kim, “Interface roughness
effect between gate oxide and metal gate on dielectric property,” Thin Solid
Films, vol. 517, no. 14, pp. 3892 – 3895, 2009, the proceedings of the 1st
International Conference on Microelectronics and Plasma Technology (ICMAP
2008).

[128] F.-C. Chiu, Z.-H. Lin, C.-W. Chang, C.-C. Wang, K.-F. Chuang, C.-Y. Huang,
J. Y. Lee, and H.-L. Hwang, “Electron conduction mechanism and band dia-
gram of sputter-deposited Al/ZrO2 /Si structure,” Journal of Applied Physics,
vol. 97, no. 3, p. 034506, 2005.

[129] S. Ramanathan, C. M. Park, and P. C. McIntyre, “Electrical properties of


thin film zirconia grown by ultraviolet ozone oxidation,” Journal of Applied
Physics, vol. 91, no. 7, pp. 4521–4527, 2002.

[130] Y.-P. Zhao, G.-C. Wang, T.-M. Lu, G. Palasantzas, and J. T. M. De Hosson,
“Surface-roughness effect on capacitance and leakage current of an insulating
film,” Physical Review B, vol. 60, no. 12, pp. 9157–9164, Sep 1999.

[131] G. Jegert, A. Kersch, W. Weinreich, and P. Lugli, “Ultimate scaling of


TiN/ZrO2 /TiN capacitors: Leakage currents and limitations due to electrode
roughness,” Journal of Applied Physics, vol. 109, p. 014504, 2011.
148 BIBLIOGRAPHY

[132] B. B. Mandelbrot, The fractal geometry of nature. Freeman, 1983.

[133] P. Meakin, “The growth of rough surfaces and interfaces,” Physics Reports,
vol. 235, no. 4-5, pp. 189–289, 1993.

[134] B. Mandelbrot, “How long is the coast of Britain? Statistical self-similarity


and fractional dimension,” Science, vol. 156, no. 3775, p. 636, 1967.

[135] A. L. Barabási and H. E. Stanley, Fractal concepts in surface growth. Cam-


bridge Univ. Pr., 1995.

[136] H.-O. Peitgen, D. Saupe, and M. F. Barnsley, The Science of Fractal Images.
Springer, New York, 1988.

[137] B. B. Mandelbrot and J. R. Wallis, “Noah, Joseph, and operational hydrol-


ogy,” Water Resources Research, vol. 4, no. 5, pp. 909–918, 1968.

[138] M. Ding and W. Yang, “Distribution of the first return time in fractional
Brownian motion and its application to the study of on-off intermittency,”
Physical Review E, vol. 52, no. 1, p. 207, 1995.

[139] D. W. Kelly, J. P. d. S. R. Gago, O. C. Zienkiewicz, and I. Babuska, “A poste-


riori error analysis and adaptive processes in the finite element method: Part
i-error analysis,” International Journal for Numerical Methods in Engineering,
vol. 19, no. 11, pp. 1593–1619, 1983.

[140] W. Bangerth, R. Hartmann, and G. Kanschat, “deal. II-A general-purpose


object-oriented finite element library,” ACM Transactions on Mathematical
Software, vol. 33, no. 4, pp. 24–es, 2007.

[141] O. Sharia, A. A. Demkov, G. Bersuker, and B. H. Lee, “Effects of aluminum


incorporation on band alignment at the SiO2 /HfO2 interface,” Physical Review
B, vol. 77, no. 8, p. 085326, 2008.

[142] M. Häufel, “Defect energy levels in high-k transition metal oxides,” Ph.D.
dissertation, Technical University of Munich, 2011.

[143] E. G. Seebauer and M. C. Kratzer, “Trends in semiconductor defect engineer-


ing at the nanoscale,” Materials Science and Engineering: R: Reports, vol. 55,
p. 57, 2006.
BIBLIOGRAPHY 149

[144] M. Levinson, M. Stavola, J. L. Benton, and L. C. Kimerling, “Metastable m


center in inp: Defect-charge-state-controlled structural relaxation,” Physical
Review B, vol. 28, no. 10, p. 5848, 1983.

[145] P. E. Blöchl, “First-principles calculations of defects in oxygen-deficient silica


exposed to hydrogen,” Phys. Rev. Lett., vol. 62, p. 6158, 2000.

[146] P. Broqvist and A. Pasquarello, “Oxygen vacancy in monoclinic hfo2 : A con-


sistent interpretation of trap assisted conduction, direct electron injection, and
optical absorption experiments,” Applied Physics Letters, vol. 89, p. 262904,
2006.

[147] J. Kavalieros, B. Doyle, S. Datta, G. Dewey, M. Doczy, B. Jin, D. Lionberger,


M. Metz, W. Rachmady, M. Radosavljevic et al., “Tri-gate transistor archi-
tecture with high-k gate dielectrics, metal gates and strain engineering,” in
Symposium on VLSI Technology, 2006. Digest of Technical Papers. IEEE,
2006, pp. 50–51.

[148] A. Javey, H. Kim, M. Brink, Q. Wang, A. Ural, J. Guo, P. McIntyre,


P. McEuen, M. Lundstrom, and H. Dai, “High-κ dielectrics for advanced
carbon-nanotube transistors and logic gates,” Nature Materials, vol. 1, no. 4,
pp. 241–246, 2002.

[149] K. Xiong and J. Robertson, “Defect energy levels in HfO2 high-dielectric-


constant gate oxide,” Applied Physics Letters, vol. 87, p. 183505, 2005.

[150] D. Ricci, G. Bano, G. Pacchioni, and F. Illas, “Electronic structure of a neutral


oxygen vacancy in SrTiO3 ,” Physical Review B, vol. 68, no. 22, p. 224105, 2003.

[151] T. Bjørheim, S. Stølen, and T. Norby, “Ab initio studies of hydrogen and
acceptor defects in rutile TiO2 ,” Physical Chemistry Chemical Physics, vol. 12,
no. 25, pp. 6817–6825, 2010.

[152] R. Chau, B. Doyle, S. Datta, J. Kavalieros, and K. Zhang, “Integrated na-


noelectronics for the future,” Nature Materials, vol. 6, no. 11, pp. 810–812,
2007.

[153] S. Schmelzer, D. Bräuhaus, S. Hoffmann-Eifert, P. Meuffels, U. Böttger,


L. Oberbeck, P. Reinig, U. Schröder, and R. Waser, “SrTiO3 thin film capaci-
tors on silicon substrates with insignificant interfacial passive layers,” Applied
Physics Letters, vol. 97, no. 13, p. 132907, 2010.
150 BIBLIOGRAPHY

[154] K. M. Rabe, “Nanoelectronics: New life for the ’dead layer’,” Nature Nan-
otechnology, vol. 1, no. 3, pp. 171–172, 2006.

[155] C. J. Först, C. R. Ashman, K. Schwarz, and P. E. Blöchl, “The interface


between silicon and a high-k oxide,” Nature, vol. 427, pp. 53–36, 2004.

[156] D. S. Kil, H. S. Song, K. J. Lee, K. Hong, J. H. Kim, K. S. Park, S. J.


Yeom, J. S. Roh, N. J. Kwak, H. C. Sohn et al., “Development of New
TiN/ZrO2 /Al2 O3 /ZrO2 /TiN Capacitors Extendable to 45 nm Generation
DRAMs Replacing HfO2 Based Dielectrics,” in Symposium on VLSI Tech-
nology, 2006. Digest of Technical Papers. IEEE, 2006, pp. 38–39.

[157] K. Xiong, Y. Du, K. Tse, and J. Robertson, “Defect states in the high-
dielectric-constant gate oxide HfSiO,” Journal of Applied Physics, vol. 101,
p. 024101, 2007.

[158] L. Hedin and S. Lundqvist, “Effects of electron-electron and electron-phonon


interactions on the one-electron states of solids,” Solid State Physics, vol. 23,
pp. 1–181, 1970.

[159] W. G. Aulbur, L. J
"onsson, and J. W. Wilkins, “Quasiparticle calculations in solids,” Solid State
Physics, vol. 54, pp. 1–218, 1999.

[160] M. Shishkin and G. Kresse, “Self-consistent gw calculations for semiconductors


and insulators,” Physical Review B, vol. 75, no. 23, p. 235102, 2007.

[161] M. Torrent, F. Jollet, F. Bottin, G. Zérah, and X. Gonze, “Implementation


of the projector augmented-wave method in the abinit code: Application to
the study of iron under pressure,” Computational Materials Science, vol. 42,
no. 2, pp. 337–351, 2008.

[162] X. Gonze, G. M. Rignanese, and R. Caracas, “First-principle studies of the


lattice dynamics of crystals, and related properties,” Zeitschrift für Kristallo-
graphie, vol. 220, no. 5/6/2005, pp. 458–472, 2005.

[163] X. Gonze, B. Amadon, P. M. Anglade, J. M. Beuken, F. Bottin, P. Boulanger,


F. Bruneval, D. Caliste, R. Caracas, M. Cote et al., “Abinit: First-principles
approach to material and nanosystem properties,” Computer Physics Com-
munications, vol. 180, no. 12, pp. 2582–2615, 2009.
BIBLIOGRAPHY 151

[164] X. Gonze, J. M. Beuken, R. Caracas, F. Detraux, M. Fuchs, G. M. Rignanese,


L. Sindic, M. Verstraete, G. Zerah, F. Jollet et al., “First-principles com-
putation of material properties: the abinit software project,” Computational
Materials Science, vol. 25, no. 3, pp. 478–492, 2002.

[165] D. Vanderbilt, “Soft self-consistent pseudopotentials in a generalized eigen-


value formalism,” Physical Review B, vol. 41, no. 11, p. 7892, 1990.

[166] J. P. Perdew and A. Zunger, “Self-interaction correction to density-functional


approximations for many-electron systems,” Physical Review B, vol. 23, no. 10,
p. 5048, 1981.

[167] D. Ceperley and B. Alder, “Ground state of the electron gas by a stochastic
method,” Physical Review Letters, vol. 45, no. 7, pp. 566–569, 1980.

[168] R. W. Godby and R. J. Needs, “Metal-insulator transition in kohn-sham theory


and quasiparticle theory,” Physical Review Letters, vol. 62, no. 10, pp. 1169–
1172, 1989.

[169] K. O. Axelsson, K. E. Keck, and B. Kasemo, “AES and EEL spectra from
Zr, Zr + O2 and ZrO2 ; peak energy shifts and intensity variations at various
stages of oxidation in the pressure range 10−6 -103 Torr,” Surface Science, vol.
164, no. 1, pp. 109–126, 1985.

[170] P. E. Blöchl, “Projector augmented-wave method,” Physical Review B, vol. 50,


no. 24, p. 17953, 1994.

[171] J. S. Thorp, A. Aypar, and J. S. Ross, “Electron spin resonance in single


crystal yttria stabilized zirconia,” Journal of Materials Science, vol. 7, no. 7,
pp. 729–734, 1972.

[172] D. M. Ramo, P. V. Sushko, J. L. Gavartin, and A. L. Shluger, “Oxygen va-


cancies in cubic zro2 nanocrystals studied by an ab initio embedded cluster
method,” Physical Review B, vol. 78, no. 23, p. 235432, 2008.

[173] A. S. Foster, V. B. Sulimov, F. L. Gejo, A. L. Shluger, and R. M. Niemi-


nen, “Structure and electrical levels of point defects in monoclinic zirconia,”
Physical Review B, vol. 64, no. 22, p. 224108, 2001.

[174] B. Králik, E. K. Chang, and S. G. Louie, “Structural properties and quasipar-


ticle band structure of zirconia,” Physical Review B, vol. 57, no. 12, p. 7027,
1998.
152 BIBLIOGRAPHY

[175] X. Urli, C. L. Dias, L. J. Lewis, and S. Roorda, “Point defects in pure amor-
phous silicon and their role in structural relaxation: A tight-binding molecular-
dynamics study,” Physical Review B, vol. 77, no. 15, p. 155204, 2008.

[176] G. Lucovsky, D. M. Fleetwood, S. Lee, H. Seo, R. D. Schrimpf, J. A. Felix,


J. Lning, L. B. Fleming, M. Ulrich, and D. E. Aspnes, “Differences between
charge trapping states in irradiated nano-crystalline HfO2 and non-crystalline
Hf silicates,” IEEE Transactions on Nuclear Science, vol. 53, no. 6, pp. 3644–
3648, 2006.

[177] D. Martin, M. Grube, W. M. Weber, J. Rustig, O. Bierwagen, L. Geelhaar,


and H. Riechert, “Local charge transport in nanoscale amorphous and crys-
talline regions of high-k (Zr02 )0.8 (Al2 O3 )0.2 thin films,” Applied Physics Let-
ters, vol. 95, no. 14, pp. 142 906–142 906, 2009.

[178] G. Lucovsky, C. C. Fulton, Y. Zhang, Y. Zou, J. Luning, L. F. Edge, J. L.


Whitten, R. J. Nemanich, H. Ade, D. G. Schlom et al., “Conduction band-edge
states associated with the removal of d-state degeneracies by the jahn-teller
effect,” IEEE Transactions on Device and Materials Reliability, vol. 5, no. 1,
pp. 65–83, 2005.

[179] M. Bareiss, B. Tiwari, A. Hochmeister, G. Jegert, U. Zschieschang, H. Klauk,


B. Fabel, G. Scarpa, G.and Koblmüller, G. Bernstein, W. Porod, and P. Lugli,
“Nano antenna array for terahertz detection,” IEEE Transactions on Mi-
crowave Theory and Techniques, accepted for publication, 2011.

[180] M. Bareiss, A. Hochmeister, G. Jegert, U. Zschieschang, H. Klauk, R. Huber,


D. Grundler, W. Porod, B. Fabel, G. Scarpa, and P. Paolo, “Printed array of
thin-dielectric mom tunneling diodes,” Jour. Appl, under review, 2011.

[181] M. Bareiss, A. Hochmeister, G. Jegert, U. Zschieschang, H. Klauk, B. Fabel,


G. Scarpa, W. Porod, and P. Lugli, “Quantum carrier dynamics in ultra-
thin mim tunneling diodes,” in 17th International Conference on Electron
Dynamics in Semiconductors, Optoelectronics and Nanostructures, EDISON
17, 2011.

[182] M. Bareiss, A. Hochmeister, G. Jegert, Z. U. Koblmüller, G., H. Klauk, B. Fa-


bel, G. Scarpa, W. Porod, and P. Lugli, “Energy harvesting using nano an-
tenna arrays,” in 11th International Conference on Nanotechnology, NANO,
2011.
BIBLIOGRAPHY 153

[183] P. Peumans, S. Uchida, and S. R. Forrest, “Efficient bulk heterojunction pho-


tovoltaic cells using small-molecular-weight organic thin films,” Nature, vol.
425, no. 6954, pp. 158–162, 2003.

[184] M. Casalegno, G. Raos, and R. Po, “Methodological assessment of kinetic


Monte Carlo simulations of organic photovoltaic devices: The treatment of
electrostatic interactions,” Journal of Chemical Physics, vol. 132, p. 094705,
2010.

[185] F. Yang, K. Sun, and S. R. Forrest, “Efficient solar cells using all-organic
nanocrystalline networks,” Advanced Materials, vol. 19, no. 23, pp. 4166–4171,
2007.

[186] F. Yang and S. R. Forrest, “Photocurrent generation in nanostructured organic


solar cells,” Nano, vol. 2, p. 1022, 2008.

[187] R. A. Marsh, C. Groves, and N. C. Greenham, “A microscopic model for the


behavior of nanostructured photovoltaic devices,” Journal of Applied Physics,
vol. 101, p. 083509, 2007.

[188] P. K. Watkins, A. B. Walker, and G. L. B. Verschoor, “Dynamical monte


carlo modelling of organic solar cells: The dependence of internal quantum
efficiency on morphology,” Nano Letters, vol. 5, no. 9, pp. 1814–1818, 2005.
154 List of Own Publications and Contributions

List of Own Publications and Contributions


G. Jegert, M. J. Haeufel, D. Popescu, W. Weinreich, A. Kersch, P. Lugli,
The role of defect relaxation for trap-assisted tunneling in high-k thin films: A first
principles kinetic Monte Carlo study,
Physical Review B (under review)

G. Jegert, A. Kersch, W. Weinreich, P. Lugli,


Monte Carlo Simulation of Leakage Currents in TiN/ZrO2 /TiN Capacitors,
IEEE Transactions on Electron Devices 58, 327 (2011)

G. Jegert, A. Kersch, W. Weinreich, P. Lugli,


Ultimate scaling of TiN/ZrO2 /TiN capacitors: Leakage currents and limitations due
to electrode roughness,
Journal of Applied Physics 10, 014504 (2011)

M. Bareiss, B. Tiwari, A. Hochmeister, G. Jegert, U. Zschieschang, H. Klauk, B.


Fabel, G. Scarpa, G. Koblmüller, G. Bernstein, W. Porod, P. Lugli,
Nano Antenna Array for Terahertz Detection,
IEEE Transactions on Microwave Theory and Techniques, accepted for publication
(2011)

M. Bareiss, A. Hochmeister, G. Jegert, G. Koblmüller, U. Zschieschang, H. Klauk,


B. Fabel, G. Scarpa, W. Porod, P. Lugli,
Energy Harvesting using Nano Antenna Arrays,
11th International Conference on Nanotechnology, NANO (2011)

M. Bareiss, A. Hochmeister, G. Jegert, U. Zschieschang, H. Klauk, B. Fabel, G.


Scarpa, W. Porod, P. Lugli,
Quantum carrier dynamics in ultra-thin MIM tunneling diodes 17th International
Conference on Electron Dynamics in Semiconductors,
Optoelectronics and Nanostructures, EDISON 17 (2011)
List of Own Publications and Contributions 155

M. Bareiss, A. Hochmeister, G. Jegert, U. Zschieschang, H. Klauk, R. Huber, D.


Grundler, W. Porod, B. Fabel, G. Scarpa, P. Lugli,
Printed Array of Thin-Dielectric MOM Tunneling Diodes,
Jour. Appl. Phys., 110, 044316 (2011).

D. Zhou, U. Schroeder, J. Xu, J. Heitmann, G. Jegert, W. Weinreich, M. Kerber, S.


Knebel, E. Erben, T. Mikolajick,
Reliability of Al2 O3 -doped ZrO2 high-k dielectrics in three-dimensional stacked metal-
insulator-metal capacitors,
Journal of Applied Physics 108, 124104 (2010)

G. Jegert, A. Kersch, W. Weinreich, U. Schroeder, P. Lugli,


Modeling of leakage currents in high-k dielectrics: Three-dimensional approach via
kinetic Monte Carlo,
Applied Physics Letters 96, 062113 (2010)

D. Zhou, U. Schroeder, G. Jegert, M. Kerber, S. Uppal, R. Agaiby, M. Reinicke, J.


Heitmann, L. Oberbeck,
Time dependent dielectric breakdown of amorphous ZrAlx Oy high-k dielectric used
in dynamic random access memory metal-insulator-metal capacitor,
Journal of Applied Physics 106, 044104 (2009)

W. Weinreich, R. Reiche, M. Lemberger, G. Jegert, J. Müller, L. Wilde, S. Teichert,


J. Heitmann, E. Erben, L. Oberbeck, U. Schroeder, A.J. Bauer, H. Ryssel,
Impact of interface variations on J-V and C-V polarity asymmetry of MIM capaci-
tors with amorphous and crystalline Zr(1−x) Alx O2 films, Microelectronic Engineer-
ing 86, 1826 (2009)

U. Schroeder, W. Weinreich, E. Erben, J. Mueller, L. Wilde, J. Heitmann, R. Agaiby,


D. Zhou, G. Jegert, A. Kersch,
Detailed Correlation of Electrical and Breakdown Characteristics to the Structural
Properties of ALD Grown HfO2 - and ZrO2 -based Capacitor Dielectrics,
The Electrochemical Society Transactions 25, 357 (2009)
156 List of Abbreviations
List of Abbreviations

3D Three-Dimensional
ALD Atomic Layer Deposition
BKL Bortz Kalos Lebowitz
BL Bitline
CB Conduction Band
CBO Conduction Band Offset
DAT Defect-Assisted Transport
DRAM Dynamic Random Access Memory
EOT Equivalent Oxide Thickness
ER Electrode Roughness
FN Fowler-Nordheim
IBS Imaginary Band Structure
IC Integrated Circuit
IES Infrequent Event System
ITRS International Technology Roadmap for Semiconductors
IV Current-Voltage
JEDEC Joint Electron Device Engineering Council
kMC kinetic Monte Carlo
LDA Local Density Approximation
LEFE Local Electric Field Enhancement
MA Miller-Abrahams
MD Molecular Dynamics
MIGS Metal-Induced Gap States
MIM Metal-Insulator-Metal
PAW Projector-Augmented Wave
pb parabolic
PDF Probability Density Function
PES Potential Energy Surface

157
158 List of Abbreviations

PF Poole-Frenkel
SE Schottky Emission
SEM Scanning Electron Microscopy
t-ZrO2 tetragonal ZrO2
TAPF Tunneling-Assisted Poole-Frenkel
TAT Trap-Assisted Tunneling
TB Tight Binding
TxZAZT TZAZT capacitor with x nm thick dielectric
TxZT TZT capacitor with x nm thick dielectric
TZAZT TiN/ZrO2 /Al2 O3 /ZrO2 /TiN
TZT TiN/ZrO2 /TiN
USPP Ultra-Soft Pseudopotentials
VB Valence Band
WKB Wentzel Kramers Brillouin
WL Wordline
Acknowledgements 159

Acknowledgements
At this point, I would like to take the opportunity to mention all those people I
owe a debt of gratitude for their support, their participation and their contributions
which helped me to complete this work:
First, and most of all, I would like to thank my supervisor Prof. Dr. Alfred Kersch
for his unlimited support and for sharing his vast knowledge in the field of the
semiconductor device simulations and many other fields in countless discussions. He
has been the best possible guide and advisor on this long journey.
I thank Prof. Dr. Paolo Lugli, for his support, for his huge efforts which allowed me
to complete this work after the bankruptcy of Qimonda, for many fruitful discussions
and for providing me an excellent work environment.
The present work would not have been possible without several scientific collab-
orations which I enjoyed very much. I would like to thank all the people which
were involved in these. In particular, I am indebted to Wenke Weinreich, Dr. Uwe
Schröder, Dr. Dayu Zhou, Mario Bareißand Martin Johannes Häufel.
Moreover, I thank all my colleagues at the Institute for Nanoelectronics and the
members of the Qimonda simulation group for scientic support as well as for creating
an excellent atmosphere in which it was a pleasure to work.
I owe special thanks to Dr. Wolfgang Hönlein for being a fantastic group leader
during my time at Qimonda and for his outstanding support during the turbulent
times following the bankruptcy of Qimonda.
Last, but most importantly, I thank Angela Link for the unconditioned and loving
support throughout the thesis, which helped me to endure also the stressful phases
during the last years.
In der Schriftenreihe des Walter Schottky Instituts der Technischen Universität München sind
bisher folgende Bände erschienen:

Vol. 1 Vol. 7
Cornelia Engel Markus Sexl
Si/SiGe basierende Phototransistoren Verspannte und gitterrelaxierte
131 Seiten In(GaAl)As Heterostrukturen
ISBN 3-932749-01-4 144 Seiten
ISBN 3-932749-07-3

Vol. 2 Vol. 8
Peter Schittenhelm Christian Obermüller
Selbst-Organisation und Selbst-Ordnung Photolumineszenszspektroskopie mit
in Si/SiGe-Heterostrukturen optischen Nahfeldmethoden an GaAs-
151 Seiten Nanostrukturen
ISBN 3-932749-02-2 140 Seiten
ISBN 3-932749-08-1

Vol. 3 Vol. 9
Andreas Nutsch Edilson Silveira
Selektive Epitaxie von (GaIn)(AsP) Inelastische Lichtstreuung an niedrig-
Schichtstrukturen dimensionalen Halbleiterstrukturen
129 Seiten 104 Seiten
ISBN 3-932749-03-0 ISBN 3-932749-09-X

Vol. 4 Vol. 10
Peter Baumgartner Eberhard Christian Rohrer
Optische und elektronische Eigenschaften Photoleitungs-Spektroskopie von
lasergeschriebener GaAs-Nanostrukturen Diamant
180 Seiten 153 Seiten
ISBN 3-932749-04-9 ISBN 3-932749-10-03

Vol. 5 Vol. 11
Walter Franz Rieger Thomas Wimbauer
Untersuchung der elektronischen und Magnetische Resonanz-Untersuchungen an
strukturellen Eigenschaften von modernen Halbleitermaterialien
GaNAlN und deren Legierungen 125 Seiten
158 Seiten ISBN 3-932749-11-1
ISBN 3-932749-05-7

Vol. 6 Vol. 12
Markus Hauser Herbert Verhoeven
Oberflächenemittierende Laserdioden Thermische Eigenschaften von
mit Mehrfachepitaxie CVD-Diamantschichten
148 Seiten 154 Seiten
ISBN 3-932749-06-5 ISBN 3-932749-12-X
Vol. 13 Vol. 20
Hans-Christoph Ostendorf Christoph Martin Engelhardt
Trennung von Volumen- und Zyklotronresonanz zweidimensionaler
Oberflächenrekombination in Silizium Ladungsträgersysteme in Halbleitern,
128 Seiten Effekte der Elektron-Elektron-Wechsel-
ISBN 3-932749-13-8 wirkung und Lokalisierung
317 Seiten
Vol. 14 ISBN 3-932749-20-0
Martin Städele
Dichtefunktionaltheorie mit exaktem Vol. 21
Austausch für Halbleiter Eduard Neufeld
202 Seiten Erbium-dotierte Si/SiGe-Lichtemitter und
ISBN 3-932749-14-6 -Wellenleiter
136 Seiten
Vol. 15 ISBN 3-932749-21-9
Helmut Angerer
Herstellung von Gruppe III-Nitriden mit Vol. 22
Molekularstrahlepitaxie Gert Schedelbeck
144 Seiten Optische Eigenschaften von Halbleiter-
ISBN 3-932749-15-4 nanostrukturen hergestellt durch Über-
wachsen von Spaltflächen
Vol. 16 154 Seiten
Wolfgang Heller ISBN 3-932749-22-7
Spektroskopie einzelner Quantenpunkte in
magnetischen und elektrischen Feldern Vol. 23
128 Seiten Jürgen Zimmer
ISBN 3-932749-16-2 Optoelektronisches Verhalten von Dünn-
schichtbauelementen aus amorphem und
Vol. 17 mikrokristallinem Silizium
Molela Moukara 171 Seiten
Pseudopotentiale mit exaktem Austausch ISBN 3-932749-23-5
117 Seiten
ISBN 3-932749-17-0 Vol. 24
Berthold Schmidt
Vol. 18 Leistungsoptimierung abstimmbarer
Ralph Oberhuber InGaAsP/InP Halbleiterlaser
Elektronische Struktur und Transport in 85 Seiten
verspannten Halbleiterschichtsystemen ISBN 3-932749-24-3
110 Seiten
ISBN 3-932749-18-9 Vol. 25
Jianhong Zhu
Vol. 19 Ordering of self-assembled Ge and SiGe
Reiner Pech nanostructures on vicinal Si surfaces
High-Energy Boron-Implantation into 120 Seiten
Different Silicon Substrates ISBN 3-932749-25-1
158 Seiten
ISBN 3-932749-19-7
Vol. 26 Vol. 33
Gerhard Groos Martin Rother
Herstellung und Charakterisierung von Elektronische Eigenschaften von
Silizium-Nanostrukturen Halbleiternanostrukturen hergestellt durch
168 Seiten Überwachsen von Spaltflächen
ISBN 3-932749-26-X 196 Seiten
ISBN 3-932749-33-2

Vol. 27 Vol. 34
Uwe Hansen Frank Findeis
Theorie der Reaktionskinetik an Optical spectroscopy on single self-
Festkörperoberflächen assembled quantum dots
119 Seiten 156 Seiten
ISBN 3-932749-27-8 ISBN 3-932749-34-0

Vol. 28 Vol. 35
Roman Dimitrov Markus Ortsiefer
Herstellung und Charakterisierung Langwellige Vertikalresonator-Laser-
von AlGaN/GaN-Transistoren dioden im Materialsystem InGaAlAs/InP
196 Seiten 152 Seiten
ISBN 3-932749-28-6 ISBN 3-932749-35-9

Vol. 29 Vol. 36
Martin Eickhoff Roland Zeisel
Piezowiderstandsmechanismen in Optoelectronic properties of defects in
Halbleitern mit großer Bandlücke diamond and AlGaN alloys
151 Seiten 140 Seiten
ISBN 3-932749-29-4 ISBN 3-932749-36-7

Vol. 30 Vol. 37
Nikolai Wieser Liwen Chu
Ramanspektroskopie an Gruppe III- Inter- und Intraband Spektroskopie an
Nitriden selbstorganisierten In(Ga)As/GaAs
161 Seiten Quantenpunkten
ISBN 3-932749-30-8 124 Seiten
ISBN 3-932749-37-5

Vol. 31 Vol. 38
Rainer Janssen Christian Alexander Miesner
Strukturelle und elektronische Intra-Valenzbandspektroskopie an SiGe-
Eigenschaften amorpher Silizium-Suboxide Nanostrukturen in Si
275 Seiten 100 Seiten
ISBN 3-932749-31-6 ISBN 3-932749-38-3

Vol. 32 Vol. 39
Martin W. Bayerl Szabolcs Kátai
Magnetic resonance investigations Investigation of the nucleation process of
of group III-nitrides chemical vapour deposited diamond films
155 Seiten 178 Seiten
ISBN 3-932749-32-4 ISBN 3-932749-39-1
Vol. 40 Vol. 46
Markus Arzberger Jan Schalwig
Wachstum, Eigenschaften und An- Feldeffekt-Gassensoren und ihre
wendungen selbstorganisierter InAs- Anwendung in Abgas-
Quantenpunkte nachbehandlungssystemen
236 Seiten 125 Seiten
ISBN 3-932749-40-5 ISBN 3-932749-46-4

Vol. 41 Vol. 47
Markus Oliver Markmann Christopher Eisele
Optische Eigenschaften von Erbium in Novel absorber structures for Si-based
Si/Si1-xCx, Si/Si1-xGex und Si/SiOx thin film solar cells
Heterostrukturen 126 Seiten
182 Seiten ISBN 3-932749-47-2
ISBN 3-932749-41-3

Vol. 42 Vol. 48
Rainer Alexander Deutschmann Stefan Hackenbuchner
Two dimensional electron systems in Elektronische Struktur von Halbleiter-
atomically precise periodic potential Nanobauelementen im thermodynamischen
210 Seiten Nichtgleichgewicht
ISBN 3-932749-42-1 213 Seiten
ISBN 3-932749-48-0

Vol. 43 Vol. 49
Uwe Karrer Andreas Sticht
Schottky-Dioden auf Galliumnitrid: Herstellung und Charakterisierung
Eigenschaften und Anwendungen in der von dünnen Silizium/Siliziumoxid-
Sensorik Schichtsystemen
182 Seiten 166 Seiten
ISBN 3-932749-43-X ISBN 3-932749-49-9

Vol. 44 Vol. 50
Günther Anton Johann Vogg Giuseppe Scarpa
Epitaxial thin films of Si and Ge based Design and fabrication of Quantum
Zintl phases and sheet polymers Cascade Lasers
169 Seiten 193 Seiten
ISBN 3-932749-44-8 ISBN 3-932749-50-2

Vol. 45 Vol. 51
Christian Strahberger Jörg Frankenberger
Vertikaler Transport und extreme Optische Untersuchungen an zwei-
Magnetfelder in Halbleitern dimensionalen Ladungsträgersystemen
167 Seiten 158 Seiten
ISBN 3-932749-45-6 ISBN 3-932749-51-0
Vol. 52 Vol. 58
Doris Heinrich Po-Wen Chiu
Wavelength selective optically induced Towards carbon nanotube-based molecular
charge storage in self-assembled electronics
semiconductor quantum dots 116 Seiten
144 Seiten ISBN 3-932749-58-8
ISBN 3-932749-52-9

Vol. 53 Vol. 59
Nicolaus Ulbrich Tobias Graf
Entwurf und Charakterisierung von Spin-spin interactions of localized
Quanten-Kaskadenlasern und electronic states in semiconductors
Quantenpunktkaskaden 194 Seiten
133 Seiten ISBN 3-932749-59-6
ISBN 3-932749-53-7

Vol. 54 Vol. 60
Lutz Carsten Görgens Stefan Klein
Analyse stickstoffhaltiger III-V Halbleiter- Microcrystalline silicon prepared by hot
Heterosysteme mit hochenergetischen wire CVD: preparation and characteri-
schweren Ionen sation of material and solar cells
116 Seiten 157 Seiten
ISBN 3-932749-54-5 ISBN 3-932749-60-X

Vol. 55 Vol. 61
Andreas Janotta Markus Krach
Doping, light-induced modification and Frequenzverdreifacher mit Anti-Seriellem
biocompatibility of amorphous silicon Schottky-Varaktor für den Terahertz-
suboxides bereich
180 Seiten 156 Seiten
ISBN 3-932749-55-3 ISBN 3-932749-61-8

Vol. 56 Vol. 62
Sebastian Tobias Benedikt Gönnenwein Ralph Thomas Neuberger
Two-dimensional electron gases and AlGaN/GaN-Heterostrukturen als
ferromagnetic semiconductors: chemische Sensoren in korrosiven
materials for spintronics Medien
198 Seiten 153 Seiten
ISBN 3-932749-56-1 ISBN 3-932749-62-6

Vol. 57 Vol. 63
Evelin Beham Sonia Perna
Photostromspektroskopie an einzelnen Wasserstoff-Passivierung von tri-
Quantenpunkten kristallinem Silizium durch hydro-
186 Seiten genisiertes Siliziumnitrid
ISBN 3-932749-57-X 136 Seiten
ISBN 3-932749-63-4
Vol. 64 Vol. 71
Oliver Schumann Andreas Florian Kreß
Einfluss von Stickstoff auf das Manipulation of the Light-Matter-Inter-
Wachstum und die Eigenschaften action in Photonic Crystal Nanocavities
von InAs-Quantenpunkten 185 Seiten
148 Seiten ISBN 3-932749-71-5
ISBN 3-932749-64-2

Vol. 65 Vol. 72
Gerhard Rösel Markus Grau
Entwicklung und Charakterisierung von Molekularstrahlepitaktische Herstellung
Typ-II-Heterostrukturen für die Abstimm- von antimonidischen Laserdioden für
region in abstimmbaren Laserdioden die Gassensorik
101 Seiten 138 Seiten
ISBN 3-932749-65-0 ISBN 3-932749-72-3

Vol. 66 Vol. 73
Angela Link Karin Buchholz
Zweidimensionale Elektronen- und Löcher- Microprocessing of silicon on insulator
Gase in GaN/AlGaN Heterostrukturen substrates and biofunctionalisation of
156 Seiten silicon dioxide surfaces for sensing
ISBN 3-932749-66-9 applications in fluids
170 Seiten
Vol. 67 ISBN 3-932749-73-1
Matthias Sabathil
Opto-electronic and quantum transport Vol. 74
properties of semiconductor nanostructures Dominique Bougeard
156 Seiten Spektroskopische Charakterisierung von
ISBN 3-932749-67-7 Germanium-Quantenpunkten in Silizium
154 Seiten
Vol. 68 ISBN 3-932749-74-X
Frank Fischer
Growth and electronic properties of two- Vol. 75
dimensional systems on (110) oriented GaAs Jochen Bauer
139 Seiten Untersuchungen zum kontrollierten
ISBN 3-932749-68-5 Wachstum von InAs-Nanostrukturen
auf Spaltflächen
Vol. 69 140 Seiten
Robert Shau ISBN 3-932749-75-8
Langwellige oberflächenemittierende Laser-
dioden mit hoher Ausgangsleistung und Vol. 76
Modulationsbandbreite Ingo Bormann
198 Seiten Intersubband Spektroskopie an Silizium-
ISBN 3-932749-69-3 Germanium Quantenkaskadenstrukturen
124 Seiten
Vol. 70 ISBN 3-932749-76-6
Andrea Baumer
Structural and electronic properties of
hydrosilylated silicon surfaces
163 Seiten
ISBN 3-932749-70-7
Vol. 77 Vol. 84
Hubert Johannes Krenner Claudio Ronald Miskys
Coherent quantum coupling of excitons New substrates for epitaxy of group III
in single quantum dots and quantum nitride semiconductors: challenges and
dot molecules potential
160 Seiten 207 Seiten
ISBN 3-932749-77-4 ISBN 978-3-932749-84-1

Vol. 78 Vol. 85
Ulrich Rant Sebastian Friedrich Roth
Electrical manipulation of DNA-layers n- and p-type transport in (110) GaAs
on gold surfaces substrates, single- and double-cleave
249 Seiten structures
ISBN 3-932749-78-2 138 Seiten
ISBN 978-3-932749-85-8
Vol. 79
René Todt Vol. 86
Widely tunable laser diodes with Mario Gjukic
distributed feedback Metal-induced crystallization of
152 Seiten silicon-germanium alloys
ISBN 3-932749-79-0 309 Seiten
ISBN 978-3-932749-86-5
Vol. 80
Miroslav Kroutvar Vol. 87
Charge and spin storage in quantum dots Tobias Zibold
150 Seiten Semiconductor based quantum
ISBN 3-932749-80-4 information devices: Theory and
simulations
Vol. 81 151 Seiten
Markus Maute ISBN 978-3-932749-87-2
Mikromechanisch abstimmbare Laser-Dioden
mit Vertikalresonator Vol. 88
170 Seiten Thomas Jacke
ISBN 3-932749-81-2 Weit abstimmbare Laserdiode mit
vertikal integriertem Mach-Zehnder-
Vol. 82 Interferometer
Frank Ertl 165 Seiten
Anisotrope Quanten-Hall-Systeme, Vertikale ISBN 978-3-932749-88-9
Ultrakurzkanal- und Tunneltransistoren
170 Seiten Vol. 89
ISBN 3-932749-82-0 Nenad Ocelić
Quantitative near-field phonon-
Vol. 83 polariton spectroscopy
Sebastian M. Luber 174 Seiten
III-V semiconductor structures for biosensor ISBN 978-3-932749-89-6
and molecular electronics applications
212 Seiten
ISBN 978-3-932749-83-4
Vol. 90 Vol. 96
Kenji Arinaga Stefan Ahlers
Control and manipulation of DNA on Magnetic and electrical properties
gold and its application for biosensing of epitaxial GeMn
111 Seiten 184 Seiten
ISBN 978-3-932749-90-2 ISBN 978-3-932749-96-0

Vol. 91 Vol. 97
Hans-Gregor Hübl Emanuele Uccelli
Coherent manipulation and electrical Guided self-assembly of InAs quantum
detection of phosphorus donor spins dots arrays on (110) surfaces
in silicon 172 Seiten
162 Seiten ISBN 978-3-932749-97-1
ISBN 978-3-932749-91-9

Vol. 92 Vol. 98
Andrea Friedrich Shavaji Dasgupta
Quanten-Kaskaden-Laser ohne Growth optimization and characteri-
Injektorbereiche zation of high mobility two-dimensional
140 Seiten electron systems in AlAs quantum wells
ISBN 978-3-932749-92-6 152 Seiten
ISBN 978-3-932749-98-8

Vol. 93 Vol. 99
Oliver Dier Werner Hofmann
Das Materialsystem (AlGaIn) (AsSb): Eigen- InP-based long-wavelength VCSELs
schaften und Eignung für GaSb-basierte and VCSEL arrays for high-speed
Vertikalresonator-Laserdioden optical communication
174 Seiten 142 Seiten
ISBN 978-3-932749-93-3 ISBN 978-3-932749-99-5

Vol. 94 Vol. 100


Georg Steinhoff Robert Lechner
Group III-nitrides for bio- and electro- Silicon nanocrystal films for
chemical sensors electronic applications
197 Seiten 227 Seiten
ISBN 978-3-932749-94-0 ISBN 978-3-941650-00-8

Vol. 95 Vol. 101


Stefan Harrer Nebile Işık
Next-generation nanoimprint lithography: Investigation of Landau level spin
Innovative approaches towards improving reversal in (110) oriented p-type
flexibility and resolution of nanofabrication GaAs quantum wells
in the sub-15-nm region 114 Seiten
161 Seiten ISBN 978-3-941650-01-5
ISBN 978-3-932749-95-7
Vol. 102 Vol. 109
Andreas Florian Härtl Sebastian Strobel
Novel concepts for biosensors using Nanoscale contacts to organic molecules
diamond-based field effect transistors based on layered semiconductor
255 Seiten substrates
ISBN 978-3-941650-02-2 140 Seiten
ISBN 978-3-941650-09-1
Vol. 103
Felix Florian Georg Hofbauer
Realization of electrically tunable single Vol. 110
quantum dot nanocavities Ying Xiang
160 Seiten Semiconductor nanowires and
ISBN 978-3-941650-03-9 templates for electronic applications
152 Seiten
Vol. 104 ISBN 978-3-941650-10-7
Dominic F. Dorfner
Novel photonic biosensing based on Vol. 111
silicon nanostructures Michael Kaniber
169 Seiten Non-classical light generation in
ISBN 978-3-941650-04-6 photonic crystal nanostructures
177 Seiten
Vol. 105 ISBN 978-3-941650-11-4
Till Andlauer
Optoelectronic and spin-related properties Vol. 112
of semiconductor nanostructures in Martin Hermann
magnetic fields Epitaktische AlN-Schichten auf
157 Seiten Saphir und Diamant
ISBN 978-3-941650-05-3 216 Seiten
ISBN 978-3-941650-12-1
Vol. 106
Christoph Bihler Vol. 113
Magnetic semiconductors Dominik Heiss
190 Seiten Spin storage in quantum dot ensembles
ISBN 978-3-941650-06-0 and single quantum dots
196 Seiten
Vol. 107 ISBN 978-3-941650-13-8
Michael Huber
Tunnel-Spektroskopie im Vol. 114
Quanten-Hall-Regime Tillmann Christoph Kubis
164 Seiten Quantum transport in semiconductor
ISBN 978-3-941650-07-7 nanostructures
253 Seiten
Vol. 108 ISBN 978-3-941650-14-5
Philipp Achatz
Metal-insulator transition and super-
Conductivity in heavily boron-doped
diamond and related materials
151 Seiten
ISBN 978-3-941650-08-4
Vol. 115 Vol. 122
Lucia Steinke Ilaria Zardo
Magnetotransport of coupled quantum Growth and raman spectroscopy
Hall edges in a bent quantum well studies of gold-free catalyzed semi-
194 Seiten conductor nanowires
ISBN 978-3-941650-15-2 184 Seiten
ISBN 978-3-941650-22-0
Vol. 116
Christian Lauer Vol. 123
Antimonid-basierte Vertikalresonator- Andre Rainer Stegner
Laserdioden für Wellenlängen oberhalb 2 µm Shallow dopants in nanostructured and
180 Seiten in isotopically engineered silicon
ISBN 978-3-941650-16-9 185 Seiten
ISBN 978-3-941650-23-7
Vol. 117
Simone Maria Kaniber Vol. 124
Optoelektronische Phänomene in hybriden Andreas J. Huber
Schaltkreisen aus Kohlenstoffnanoröhren und Nanoscale surface-polariton spectros-
dem Photosystem I copy by mid- and far-infrared near-
136 Seiten field microscopy
ISBN 978-3-941650-17-6 144 Seiten
ISBN 978-3-941650-24-4
Vol. 118
Martin Heiß Vol. 125
Growth and properties of low-dimensional Marco Andreas Höb
III-V semiconductor nanowire hetero- Funktionalisierung von Gruppe
structures IV-Halbleitern
172 Seiten 186 Seiten
ISBN 978-3-941650-18-3 ISBN 978-3-941650-25-1

Vol. 119 Vol. 126


Sandro Francesco Tedde Daniel Claudio Pedone
Design, fabrication and characterization of Nanopore analytics – electro-optical
organic photodiodes for industrial and studies on single molecules
medical applications 114 Seiten
277 Seiten ISBN 978-3-941650-26-8
ISBN 978-3-941650-19-0

Vol. 120 Vol. 127


Danche Spirkoska Jovanov Casimir Richard Simeon Katz
Fundamental properties of self-catalyzed Multi-alloy structures for injectorless
GaAs nanowires and related heterostructures Quantum Cascade Lasers
200 Seiten 131 Seiten
ISBN 978-3-941650-20-6 ISBN 978-3-941650-27-5

Vol. 121 Vol. 128


Jürgen Sailer Barbara Annemarie Kathrin Baur
Materials and devices for quantum Functionalization of group III-nitrides
Information processing in Si/SiGe for biosensor applications
158 Seiten 215 Seiten
ISBN 978-3-941650-21-3 ISBN 978-3-941650-28-2
Vol. 129 Vol. 136
Arne Laucht Christian Claus Jäger
Semiconductor quantum optics with Polycrystalline Silicon Thin Films for
tailored photonic nanostructures Electronic Applications
232 Seiten 202 Seiten
ISBN 978-3-941650-29-9 ISBN 978-3-941650-36-7

Vol. 130 Vol. 137


Jia Chen Gunther Christian Jegert
Compact laser-spectroscopic gas sensors Modeling of Leakage Currents in
using Vertical-Cavity Surface-Emitting High-к Dielectrics
Lasers 170 Seiten
150 Seiten ISBN 978-3-941650-37-4
ISBN 978-3-941650-30-5

Vol. 131
Hans Leonhard Prechtel
Ultrafast Photocurrents and Terahertz
Radiation in Gallium Arsenide and
Carbon Based Nanostructures
160 Seiten
ISBN 978-3-941650-31-2

Vol. 132
Roland Enzmann
Technologieentwicklung für eine
Einzelphotonenquelle
142 Seiten
ISBN 978-3-941650-32-9

Vol. 133
Alexander Bachmann
Antimonide-Based Vertical-Cavity
Surface-Emitting Lasers
144 Seiten
ISBN 978-3-941650-33-6

Vol. 134
Markus Andreas Mangold
Two-Dimensional Gold Nanoparticle
Arrays – A Platform for Molecular
Optoelectronics
140 Seiten
ISBN 978-3-941650-34-3

Vol. 135
Stefan Birner
Modeling of semiconductor nanostructures
and semiconductor–electrolyte interfaces
227 Seiten
ISBN 978-3-941650-35-0

You might also like