You are on page 1of 165
Sam Ep epee circuitos integrados y microprocesadores ER mrt PUT same Am cit Tag reparar y ensamblar pike Pree y micropr rocesadores =< ELECTRONICA DIGITAL Realizado y editado por EEKIT. Compaiifa Editorial Electrénica Gerente general y comercial William Rojas H. Director editorial Felipe Gonzalez G. Autor Felipe Gonzalez G, Diagramacién electronica Nubia Patricia Tamayo ©CEKIT S.A.1993 Pereira - Colombia, Prohibida su reproduccién parcial o total por cualquier medio sin permiso escrito del editor. ISBN (Obra comleta) 958-9108-27-X ISBN (Volumen 4) 958-9108-31-8 ISBN (Fasciculo 34) 958-9108-65-2 ANTARTICA S.A PRINTED IN CHILE IMPRESO EN CHILE 12.11.93 Circuitos integrados y microprocesadores 1 Curso prictlco de ELECTRONIC forma de 40 fatcicuoe de parc sem DIGITAL, circutos Inteprades y mleroprocesadores publics en 5, encuademnbiesen cinco volimencs (Cada fastcuo consa de 20 piginas y 4 de cubienas. 16 piginas extn ddicada al coro de ELECTRONICA\ DIGITAL, csruitosintgradony icroprocesadoreque comprende S6lecrionestesricasy mamerosa acvidadcs pcticas con los pasos nsrucciones para ensambla un eomprobador lgico de fis medals. Estas pinay son ‘encuademabes en Jos volmenes 1, 2,3 y 4 Las eatro pina centraes de cada fascculo son encuaderabls por separado en el volume 3y estén deicads ala descripcién dtallada de 2 proyectos completos. Por ena rans alexcuademsat lo Vokimenes debe cide de desprender previamente las evar péginas centrale de todos lot fastculos y guardaras hasta exando se ‘completen, con el facioolo 40, momento en el eutl pode encvademer con ella el valunen CConelfsciulo que completa cade unodelos cinco volimene que confrman esta cbr, se pond ala vests tapas para su encosdemacia, Lo vlimenes se eoafonnan de Ia sguiene maners Volumen N'1.Fatefculor 1a 10 piginas 64 164 Volumen N®2,Fasetcuor L1 a 19 pina 165 2324 Volumen N*3, Faeteuoe 20 a 28 - paginas 325 a 458, Volumen N*4,Fasctculos 29 al $0 -piginas 469 660 ‘Volumen N*S Libro de proyecos- paginas cenuales 5 160 ‘CEKITS.A. garaniza a poblicacis dea wulidad de obra y de a tapas necesarias para evencuademacica y el servicio de nimeros srasados hasta un ao después determina la cieulaci del hime fscculo Tein Ja calidad de or componenes ye correct fanconamiento de los proyectos DISTRIBUCION INTERNACIONAL Y NUMEROS ATRASADOS Distribuldor en Cotombla: KIT S.A. ia Boral Electrica Calle N° 6-22 Pod A.A, 194 ‘Tee 352191-382194-356135 FAX 342615 Perr Colombia Distribuidoresen Argentina EDITORIAL VANIDADES S.A. Prd 263, Ser Piso 1067 ital Federal, Buenos Aires, Argentina ‘Telefonor 342'8946/ 5178/8083 FAX: (681) 3348053 ‘Telex 17699 EDIVA AR Acsherid a Asocacin de Editres de Revistas Ealtorlal Vanidades S.A., Conslo ecutivo: Presidente: Jullo Poblte Benet Gerente General yedlor: Carls A. Magurno (Capital Federal Varcaro, Sinchex y Cla. ‘Moreno N° 794, 9 Piso, OF 207, CP. 1081 Benes Alves Interior Diatibuidora Benn SAC Santa Magdalena NY S41, 1277 Buenos Atee Distribuior en Chile: Ealtrial Andina S.A. ‘Avenida E1Golt 203, Santago 34 Chile Teléfonos: Mesa de entradas (562)231-7053, 252.8818 / 292-6825 Fax (56m) 2328806 Télex 440221 EDAND CZ Distribuidor en Uruguay Distbaidora Carergs emia 688 Montevideo, Repdblica Orienal de Ur ‘Telefonos: (89) 96-1941 [95-4540 Fax: 96-1941 ‘Telex 2391 GRAFIA S.A. Distribuldor en Paraguay: Selecciones SAC Falgencio RL, Moreno 270 ‘Asinelén, Parag ‘Telefonor (S95 Fax: 449639, 9 ) 481588 / 201863 Distribuidor en Bolivia: Diamo Lida, Comercio $05 La Par, Bolivia Telefonox$912) 353119 Leceién 15 Detectores de flancos + Introduccién * Qué es un detector de flancos. Teoria general + Circuitos de aplicacién + Interruptor libre de rebote + Generador de pulsos de reset de encendido + Retardador de pulsos + Convertidor de onda seno a onda cuadrada + Retardador de flancos + Actividad préctica N® 11 Introduccion Una de las necesidades més frecuentes en el dise~ fio de sistemas digitales es disponer de un elemento que genere un pulso en respuesta al borde de subida 0 de bajada de una sefial de entrada. Los circuitos més cominmente utilizados para este propésito son los detectores de flancos o semi-monoestables y los multivibradores monoestables. La eleccién entre un detector de flancos y un mo- rnoestable como generador de pulsos depende del grado de precisidn requerido, Cuando el ancho del Pulso generado no es critico, los detecores de lancos son la solucién més conveniente. Si este no es el caso, debe recurrirse al empleo de monoes- tables, que’son circuitos més especializados. En esta leccién analizaremos In teorfa bdsica de los detectores de flancos, conoceremos las confi- guraciones mas comunes y describiremos varios cir- Cuitos sencillos de aplicacién, Los multivibradores ‘monoestables se estudiardn en la proxima leccién, Qué es un detector de flancos . Teoria bisica Un detector de flancos (figura 261) es un circuito que genera un pulso de salida de muy corta du- acién, en respuesta a uno de los flancos (el de su- ida ol de bajada) de una seffal de disparo aplicada ala entrada. El detector de flancos de la figura 261 consta de un inversor CMOS (A), uta resistencia (R1) y un condensador (C1). Para facilitar la explicacién que sigue, se supone una fuente de alimentacion (+V) de 9V y se considera que C1 esté completamente descargado. Un nivel alto correspondertt a un vol- taje de 9V y un nivel bajo a un voltaje de OV. El diagrama de temporizacién de Ia figura 261 describe graficamente el comportamiento del voltaje en los puntos de interés del circuito. La seftal de disparo se identifica como V1, el voltaje sobre RL A. Diagrama en bloque: Detector wens [LT me tthe a.creutoprictco Sohal de disparo [7 Setacocpa jw ‘Seale ena wa) como V2 y Ia sefial de salida como V3. El uso de Gingremnat de temperiasclin 56. many comfienel andlisis y disefio de circuitos digitales. Inicialmente, la seital de disparo esté en bajo y la salida del inversor A es alta. Esto tiltimo sucede por- que, sin sefial de disparo, R1 conecta la entrada del inversor a tierra, es decir a un nivel bajo, Cuando la sefial de disparo realiza la transicién de bajo a alto (flanco de subida), el inversor recibe, a través del condensador Cl, un nivel alto de 9V en su entrada y hace baja su linea de salida. Una vez que la sefial de disparo esté en alto, CL comienza a cargarse y el voltaje sobre R1 (V2) em- pieza a descender. Cuando este tltimo es aproxi- madamente igual a 4.5 V (la mitad del voltaje de alimentacién), la entrada del inversor Jo interpreta como un bajo y hace alta su salida, El proceso se repite cada vez que se aplica la seital de disparo, CERIT: Curso pricico de electronica digital 165 Como resultado de lo anterior, la salida del in- versor ha permanecido en bajo durante un perfodo de tiempo T, al cabo del cual retoma a su estado normal (alto). En otras palabras, el circuito ha nerado un pulso, activo en bajo, en respuesta a ia presencia del flanco de subida de la sefial de dis- paro aplicada en su entrada. El ancho o duracién en segundos (s) de este pulso (T) depende de los valores de R1 y Cl y se puede evaluar, en forma aproximada, mediante la siguien- te formula: Ancho del pulso = T = 0.77xR1xC1 En esta expresi6n, el valor de R1 debe estar dado cen ohmios (2) y el de Cl en faradios (F). Si R1 se a en kild-ohmios (KQ) y Cl en microfara- dios (UF), se obtiene el ancho del pulso en milise- gundos (ims). El siguiente ejemplo aclara la apli- cacién de la formula anterior. Ejemplo. Calcular el ancho del pulso de salida generado por detector de flancos de Ia figura 261 si se utiliza una resistencia de 1 MQ (106 9) y un condensador de 1 j1F (10-6 F). Soluci6n. Sustitmyendo R1 y C1 por sus valores correspondientes (IM y 1 LF), obtenemos: T= 0.77R1xC1 =0.77x106x 10-6 T=0.77s =770 ms Elresultado anterior significa que la salida per- manece en bajo durante aproximadamente 770 milisegundos (casi un segundo), contados des- deel instante en que aparece el flanco de subida de la sefial de disparo, El resto del tiempo, la sa- lida se mantiene en alto. El circuito de la figura 261 detecta el flanco positivo o de subida de la sefial de disparo y entrega un pulso de salida activo en bajo. Para obiener dis- aro por flancos negativos o de bajada, In resis- tencia R1 debe conectarse al positivo de la fuente (4V), como se indica en la figura 262. En este ca- 80, el pulso de salida es activo en alto. Tedricamente, un detector de flancos se puede rea- lizar utilizando cualquier tipo de compuerta, inver- sora 0 no inversora. Sin embargo, desde el punto de vista practico, los detectores de flancos mis efi- Cientes se obtienen empleando compuertas Schmmitt- 166 B. Cireulto préctico Detectores de flancos Schmitt-trigger Detectores de flancos de subida ov ov oi n co v rT Ir Ri Rt T=O.7R101 = Salida activa alta Salida activa baja ajada, ov Rt ah uv Salida active bale +v ey “RIE Va TR trigger. En la figura 263 se muestran las configu- raciones mds comunes de estos tltimos. Fig. 268] Como vimos en Ia leccién 6, los dispositivos Schmitt-trigger estén dotados de una caracterfstica especial llamada histéresis, 1a cual los hace inmunes al ruido. Utilizando compuertas Schmin-irigger en detectores de flancos se garantiza un pulso de salida limpio (sin ruido) y perfectamente rectangular. Para que los detectores de flancos descritos an- teriormente operen en forma correcta, la sefial de disparo debe permanecer aplicada durante un tiem- po superior al ancho del pulso de salida deseado. En muchas aplicaciones, esta es una limitacién se- ria, Los monoestables que estudiaremos en la proxima leccién no estin sujetos a esta restricciGn. Circuitos de aplicacién En esta secci6n describiremos algunas de las apli- caciones més importantes y ttiles de los detectores de flancos, Entre los circuitos incluidos figuran un eliminador de rebote para interruptores del tipo push-button (pulsadores), un generador de pulsos de reset, un convertidor de onda seno a onda cua drada y un retardador de pulsos. En todos los casos se izan compuertas NAND Schmitt-trigger 4093B conectadas como inversores, Laddescripcién de este chip se realiz6 en la lecci6n 6 (pigina 63). En la figura 264 se repite su distribu- ion de pines por comodidad. Circuito integrado 4093B En lugar de compuertas NAND 4093B se pueden también utilizar inversores Schmitt-irigger CMOS (40106B, 74C14, etc.). Siempre que utilice disposi- tivos CMOS, no olvide conectar las entradas no w lizadas a tierra o a +V para prevenir dafios por des- cargaselectrostaticas (ESD) generadas involuntari mente durante su manipulacion, ‘Como en los demas proyectos CMOS de este cur- $0, todos los circuitos se pueden alimentar a partir de una bateria o fuente de 9V (kit CEKIT K10) 0 una fuente de SV (kit CEKIT K11). El méximo voltaje de operacién permitido es 15V. Interruptor libre de rebote Un detector de flancos se puede utilizar eficien- temente para construir un interruptor libre de re- bote, como se muestra en la figura 265. En este ca- 80, el circuito responde a flancos de bajada y la se- fial de disparo la produce un pulsador normalmente abierto (S1). El pulso de salida es activo en alto y tiene un retardo (T) de 20 ms, aproximadamente. Interruptor libre de rebote svEBvaav) Si=0N (pulsedo) $1 = OFF (liberado) T=0.7 RIGI =20ms VTH ~5.8V con 4V =9V. VTH=3.3V con +V =5V La entrada del inversor est puesta a un nivel alto (+V) a través de las resistencias R1 y R2. En estas condiciones, la salida del circuito es de nivel bajo y el condensador esta descargado. Cuando se cierra $11, el condensador se carga instanténeamente al vol- taje de la fuente (+V) y 1a entrada del inversor reci- be un nivel bajo. Como resultado de lo anterior, 1a salida det cir- cuito se hace alta, Al liberar $1, el condensador C1 ‘comienza a descargarse lentamente a través de RI y el voltajede entrada del inversor (Vin) empieza aaui- mentar, hasta que se hace igual al valor de! umbral superior (VHT) de la compuerta, En ese instante, el inversor se dispara, es decir cambia de estado, y la salida se hace baja. Esto sucede, aproximadamente, a los 20 ms de haberse li- berado el interruptor. Durante este perfodo de re~ tardo (1), el inversor desconoce los pulsos de re- bote generados durante 1a apertura de $1. El resul- tado es un pulso nitido, libre de ruido, a la salida, Notas: Para el 4093B, VTH es tipicamente igual a 5.8 Vcon +V =9 V ya3.3 Vicon +V=5 V. Generador de pulsos de inicializacion o de reset La mayorfa de sistemas digitales necesitan de un pulsode determinada longitud para inicializar, gene- ralmente en 0's, las salidas de sus flip-flops, conta- dores, registros y otros circuitos, en el momento de encender la fuente de alimentacién. Cuando esto no se hace, puede ocurrir que el sistema no funcione CEKIT- Curso prictco de electronica digital 167 como se espera, a pesar de estar bien disefiado y conectado. Para evitar que esto suceda, la mejor solucién es utilizar un generador de pulsos de reset de en- cendido. En la figura 266 se muestra un circuito ade- cuado para este propdsito. Cada inversor suministra un pulso de reset, activo en alto o en bajo, de 700 ms de duracién cuando se aplica potencia por pri- mera vez al circuito, ‘Generador de pulsos de reset de encendido +V(GV-15V)_—g-—Potencia ON i 700 Al conectar la fuente, el condensador C1 est com- pletamente descargado y Ia entrada del inversor A re- ‘cibe un nivel bajo. Como resultado, su salida es de nivel alto y Ia del inversor B es de nivel bajo, Cuan- do, 700 ms después, el voltaje sobre C1 aleanza el umbral del inversor A, la salida de este tiltimo se ha- cc baja y la del inversor B se hace alta, La resistencia R2 es necesaria para prevenir que el condensador se descargue bruscamente a través del Circuito de entrada de la compuerta CMOS y la des- truyaen el momento de desconectar la fuente de po- tencia. Su presencia no es necesaria cuando se ti- lizan dispositivos TTL, Retardador seeuencial de pulsos Existen situaciones donde se necesita que el efec- to de una sefal de disparo no se manifieste instant neamente sino un tiempo después de su aparicién, Lasoluciéna este problema es utilizar un retardador de pulsos. Una forma sencilla de lograrlo es em- plear varios detectores de flancos conectados en cas- cada, como se muestra en la figura 267. El primer detector se dispara con el flanco de ba- jjada de la sefial de entrada y produce un pulso de du- racién T1. Cuando este pulso termina, su flanco de bajada dispara el segundo detector, el cual produce tun pulso de duracidn T2. El flanco de bajada de es- te Ultimo dispara un tercer detector y asi sucesiva- mente, 168 Retardador secuencial de pulsos + VGV45V) de ieee satee2 —_F_ sav: —P__ - Los valores de_R y C de los detectores se cal- culan de acuerdo al aricho de pulso deseado en cada aso. Si la entrada se conecta al positivo de la fuen- te, se obtiene un generador escalonado de pulsos de reset, el cual inicializa secuencialmente, uno por uno, Varios circuitos en el momento de aplicar po- tencia al sistema Convertidor de onda seno a onda cuadrada de 60 Hz El circuito de la figura 268 produce un tren de pul- sos de 60 Hz (60 pulsos eada segundo) cuando es disparado por una sefial de corriente alterna de la misma frecuencia y de amplitud adecuada. Se puede utilizar para sincronizar un sistema digital con la red pica de 60 Hz © como patrén de tiempo de re lojes domésticos, temporizadores, etc. ‘Converlidor de onda seno a onda cuadrada +9 Con una tensién de alimentacién de 9V y sin se- ial de entrada, las resistencias R1 y R2 fijan el vol- taje VIN en 4'8V, aproximadamente. Este valor es intermedio entre entre VTH (5.8 V) y VTL G.8 V), La sefial de entrada hace variar el voltaje VIN por encima y por debajo de su valor nominal UG8V). Recuerde (leccién 6) que VTH es el umbral su- perior de disparo de la compuerta Schmitt-trigger y Vr el umbral inferior. La diferencia entre estos va- lores (VTH-VTL) se denomina voltaje de histéresis (VED. En nuestro caso, VH=2V. La amplitud Vpp de la sefial de entrada debe ser mayor que el voltaje de histéresis, pero menor que el de alimentacién, La salida (pin 3 de! 4093B) es baja cuando, por efecto del flanco de subida de la sefial de entrada, ‘VINse hace ligeramente superior a 5.8V y alta cuan- de, por efecto del flanco de bajada, exe vole se hace ligeramente inferior 3.8 V. El resultado de es- te proceso es un tren de pulsos cuadrados a la sali- da, de la misma frecuencia de la sefial de entrada. Retardador de flancos El circuito de la figura 269 retarda la aparicién de los flancos de Ia sefial de salida con respecto a los flancos de la sefial de entrada. Espectficamente, el flanco negativo de 1a salida esta retardado un tiempo T* con respecto al flanco positivo de la en- trada y el flanco positivo de la salida un tiempo ccon respecto al flanco negativo de la entrada. El valor de estos tiempos de retardo depende de los valores de R1y de C1 pero también estd sujeto a las variaciones en el voltaje de alimentacién (+V) y en los umbrales de disparo (VTH y VTL) de la com- puerta Schmitt-rrigger. Utilizando una fuente de 9V y suponiendo ‘VTH=5.8 V y VIL=3.8 V (valores tipicos para el 40938), los tempos T* y Tse pueden calcularen forma aproximada,como sigue: Tt=1.03xR1xC1 T= 0.86xR1x C1 Retardador de flancos h T's Retard del flanco de subidn ‘T:Retarde cel flanco debajada Fig. 269 Construccién del médulo 2. Parte 4 Continuando con el ensamble de! médulo 2, en es- taactividad instalaremos en la tarjeta de circuito im- preso del mismo el interruptor Iégico $3. La fun- ién de este componente es suministrar, de acuerdo 4 su posicién, un nivel alto o bajo de voltaje en el terminal de salida $3 de la tarjeta. Instalaremos también los terminales de conexién Gel médulo, con el fin de comenzar a utilizarlo en nuestros préximos proyectos y experimentos. Componentes necesarios 1 interruptor miniatura tipo spat. $3 1 circuito impreso EDM2, 1 cautin de baja potencia (15W a 35W). 1 cortafrfos 6 pines de conexién. Soldadura de estafio 60/40. Los pines de conexién puede obtenerlos de terminales sobrantes de LED o de resistencias, de la misma forma como se hizo para el médulo 1, Procedimiento Paso 1, Tome el interruptor $3 ¢ instdlelo a conti- nuacién del interruptor $2, en los agujeros corres- pondientes, como se muestra en la figura A14. Ase- giirese de, que el componente quede instalado en tuna posicién firme y suéldelo con cuidado por el lado del cobre. Paso 2. Tome los 6 terminales de insercién y suél- delos por el lado del cobre de 1a tarjeta EDM-2, de 1a misma forma como lo hizo para el médulo 1 (ver, pagina 70, figura A8), Al finalizar, c6rtelos todos a tuna misma longitud, por ejemplo 1'em. Leccién 16 Monoestables y temporizadores + Introduccién * Qué es un multivibrador monoestable + Tipos de multivibradores monoestables + Monoestables no redisparables + Monoestables redisparables + Monoestables con compuertas NAND y NOR + Monoestables con 555 * Circuitos integrados monoestables TTL + El circuito integrado 7418221 + Experimento N? 19. Operacién de un monoestable no redisparable TTL + Elcircutto integrado 74LS123 + Circuitos integrados monoestables CMOS. El circuito integrado 74C221 + Elcircuito integrado 4528B + Temporizadores de eventos largos. Los circuitos integrados ZN1034E y CD 4541B + El circuito integrado XR-2240 + Circuitos de aplicacién Introduccion Un multivibrador monoestable 0 one-shot (Iéase uan-chot), lo mismo que un detector de flancos, ge- nera un pulso de salida de corta duracién en res- puesta al flanco de subida o de bajada de una sefial de disparo aplicada a la entrada. Sin embargo, los monoestables son circuitos més especializados, pre~ cisos y versitiles. Un monoestable no esta sujeto a las restricciones jue caracterizan el funcionamiento de un detectorde lancos. El ancho del pulso generado se puede con- trolar en forma més exacta y la sefial de disparo no necesita permanecer activarnientras se generael pul- so de salida, Los multivibradores monoestables se utilizan en una gran variedad de aplicaciones: eliminacién de ruido en sefales digitales, estrechamiento o alar- ‘gamiento de pulsos, temporizacién y monitoreo de eventos, etc. Se pueden realizar con compuertas SSI 0 utilizando circuitos integrados especializados, La eleccién entre un circuito integrado mono- stable y uno con compuertas se basa, general- mente, mids en razones econémicas y de convenien- cia que de diseiio. Los monoestables SSI son menos precisos pero mis econsmicos que los mo- noestables especializados. En esta leccién estudiaremos las configuraciones de multivibradores monoestables m4s comuinmente 170 utilizadas en sistemas digitales. Estableceremos la diferencia entre monoestables redisparables y no re- disparables y procederemos a la descripcién de una serie de circuitos y chips desarrollados especiti- camente para esta funcién, Qué es un multivibrador monoestable Un multivibrador monoestable 0 one-shor (figura 270) es un circuito que genera en su salida un pulso de cierta duracién, en respuesta a uno de los flan- cos (el de subida 0 el de bajada) de una sefial de disparo aplicada a su entrada, Los monoestables se denominan también temporizadores, por ser esta su principal aplicacién, Multivibrador monoestable (One-Shot) Flanco de subida ST Sefial de o La duracién del pulso (1) la determina un circuito RC extemo, constituido, generalmente, por una o dos resistencias y un condensador. En condiciones normales, sin aplicar una sefial de disparo, la salida de un monoestable permanece en uno de sus dos es- tados posibles (alto 0 bajo). A este estado se le la ma estado estable, Cuando el monoestable se dispara, la salida pasa al estado opuesto y permanece en ese estado du- ante un periodo fijo de tiempo, al cabo del cual re- torna nuevamente a su estado estable, A este estado se le llama estado inestable o estado activo. La palabra monoestable enfatiza el hecho de que el multivibrador posee un sdlo estado estable (I 6 0), a diferencia de un biestable , que tiene dos ¢s- tados estables (puede permanecer indefinidamente en 16en0), y de un astable el cual no posee un es- tado estable (oscila indefinidamente entre 1 y 0). Los multivibradores astables 0 relojes (clocks) se estudian en Ia proxima leccién (la ntimero 17) de es- te curso. Los multivibradores biestables, incluyen- do los cerrojos o latches y los flip-flops, sé es- tudian en las lecciones 19 y 20. Tipos de multivibradores monoestables Existen bisicamente dos tipos de multivibradores ‘monoestables: redisparables yo redisparables. En ambos casos, la aplicacién de una sefial de disparo valida causa’el cambio de estado de la salida y su permanencia en el estado inestable durante un de- terminado perfodo de tiempo. Al terminar este tl- timo, la salida retorna a su estado estable. La diferencia entre ellos radica en la forma como cada uno se comporta ante sefiales subsecuentes de disparo, es decir, ante sefiales aplicadas con pos- terloridad a la sefal que inicié el ciclo imerno de temporizacién , y durante la vigencia de este tltimo, Mientras un monoestable no redisparable ignora estas sefiales, uno redisparable las acepta, iniciando con cada una un nuevo ciclo de temporizacién, En las siguientes secciones se analiza en detalle cada uno de estos dispositivos. Ambos tipos de mono- estables son ampliamente utilizados en la practica. Monoestables no redisparables En un monoestable no redisparable o estndar (fi- gura 271), el arribo de la sefial de disparo inicia un Ciclo interno de temporizacién, el cual causa que la salida del monoestable cambie de estado cuando co- mienza el ciclo de temporizacion y retorne a su es tado estable cuando este ciclo termina. Una vez que el ciclo de temporizacién se ha ini- iado, e! multivibrador no redisparable es inmune Monoestable no redisparable rile 1 Trigger] Monoestabie| our ‘ No Sehal de redisparabie Serial de disparo ‘salida Sefial de salida (out) Sofa de disparo (tigger) | Fig.271 | a los efectos de sefiales de disparo subsecuentes, hasta que el perfodo de temporizacién termina. Cual- quier sefial de disparo aplicada durante este tiempo no tendrd efecto alguno en la salida, Este tipo de circuito se puede modificar agregin- dole una entrada de control Hamada reser, la cual, cuando se activa, causa que el pulso de Salida se cancele y obliga al dispositivo a retomar a su estado estable. La disposicién de una linea de reset permite terminar 0 abortar el periodo de temporizacién en cualquier momento, Para comprender mejor el principio de operacién de un monoestable no redisparable, analicemos cl diagrama de temporizacién de la figura 271, corres- Pondiente a un ciruito de este tipo que se dispara con flancos de subida y genera pulsos activos en al- to, En condiciones normales, la salida esta en el es- tado estable, es decir en bajo, Enel instante ty ¢] monoestable recibe un pulso de apa (TRIGGER) y la salida pasa del estado es- table (bajo) al estado inestable (alto), permanecien- do en ese estado durante un periodo de tiempo determinado (T). Cuando este lapso termina, en el instante ts, 1a sade zetorna nuevamente a i estado estab (bajo) y permanece en ese estado hasta que el monoestable eciba otro pulso de disparo valido en el instante ty La caracteristica esencial del monoestable no re- disparable se observa examinando lo que sucede en cl instante tp, En ese momento, el circuito recibe un segundo pulto de disparo, in embargo, como a si- lida atin esté activa, es decir en el estado inestable {alto), ese pulso es ignorado por el circuito, es de- cir, no afecta el estado de la salida, Monoestables redisparables En un monoestable redisparable (figura 272), cual- quier seiial de disparo retorna el dispositivo a su es- lo estable ¢ inicia un nuevo ciclo de temporiza- ., incluso si la seiial de disparo se aplica en me- dio de un ciclo existente. En otras palabras, cada pulso de disparo provoca un nuevo pulso de salida. De acuerdo a lo anterior, la salida de un monoes- table redisparable puede permaneceren su estadoac- tivo tanto tiempo como se desee, dependiendo de los nuevos pulsos de disparo aplicados antes de que termine el ciclo de temporizaci6n en curso, Para comprender mejor el principio de operacién de un monoestable redisparable, analicemos el dia- grama de temporizaci6n de la figura 272, corres- pondiente a un dispositivo de este tipo que se dis- para con flancos de subida y genera pulsos activos CEKIT: Curso prictco de elecirénica distal 174 Monoestable redisparable ‘ atk, ° Salita, senaabeng Sefial de nee oe en alto. Inicialmente, sin sefial de disparo, la salida es de nivel bajo. En el instante ty se aplica un pulso de disparo y la salida pasa al estado inestable 0 activo (alto). En condiciones normales, sin aplicar pulsos subsecuen- tes de disparo, permaneceria en ese estado hasta que termine su perfodo T en el instante ty, Sin embargo, en el instante t recibe un segundo pulso de disparo, el cual aborta 0 cancela el pulso de salida existente y redispara el monoestable por otto periodo T. El dispositivo se mantiene en su es- tado inestable (alto) hasta que éste periodo expira por sf mismo, en el instante ty. Al cabo de este tiem- po, el monoestable retorna a su estado estable. Como resultado del proceso anterior, la salida ba permanecido en el estado inestable (alto) durante un tiempo total igual a AT+ T, siendo AT=ty-ty la por- cida no cancelada del primer periodo y T ta dura- cién del segundo perfodo. El siguiente ejemplo acla- Taeste concepto. -Ejemplo. Suponga que el monoestable. redis- parable de la figura 272 fia sido calculado para un periodo dé temporizacién T de 100 mili gundos y recibe dos pulsos de disparo: el pri ‘Mero en el instante t,= 0 ms, para iniciar la tem. porizacién, y el segundo en el instante: t=40 ‘is, para redctivarla. ‘Determine el tiempo total "que Ja salida permanece en alto. ane Solucién, Cuando se aplica el primer pulso, en éLinsanef-0 ms el monoesable dispar y ‘Su la se ita durante 40 ms, Gabo del eval se reds y manteniéadose en alto durante los 100 ms que dura el periodo nor- tmaldetemporizacion, | Como resultado, la salida permanece alta du- Tate 40+100=140 ms'6, 10 que ¢s lo mismo, ante tiempo t= ue (40-0)+100 = 40+100 =140 ins, Este tiempo es supetior a los 100 ms que duraria en alto la salida sino se apli- carn pulsos de disparo posteriores al que ini- cio la temporizacién, i Monoestables con compuertas NAND y NOR La forma més simple y econémica de realizar un monoestable esténdar o no redisparable es utilizan- do compuertas NAND 0 NOR, Este tipo de circui- tos se utiliza cuando el ancho del pulso de salida no es una consideracién critica, Su precision esta suje- taa las variaciones en el voltaje de alimentacién, la temperatura y otros factores, Una caracterfstica importante de los monoestables con compuertas radicaen que el paso de disaro se puedeaplicardirectamente, sinnecesidad deconden- sadores de acoplamiento, Ademis, la duracién del puso de disparo tiene muy poco efecto en el ancho del pulso generado. En la figura 273 se muestran él circuito préctico y el diagrama de temporizacién de un monoestable re- disparable con compuertas NOR CMOS 4001B que responde a flancos de subida y genera un pulso de salida activo én alto, Monoestable no redisparable NOR ‘A.Clreutto préctico +V (QV-15y) Tinea de realimentacin: B.Diagramadetemporizacién were an "v Sef on oto Sonal do sada (out A T-0.7R1xC1 #— Fig. 273 La duracién del pulso de salida (T) la determinan los valores de RI y Cy se calcula, en forma aproximada, mediante la siguiente fSrmula: T=0.7xR1xC1 En la préetica, el valor de C1 fluctia entre 100 pF y 2000 [UF y el de Ri entre 4.7 KO y 10 MQ. Por ejemplo, si Ri=1 MQ y Ci=10 UF, se obtiene un pulso de salida de 0.7x108x10x108 = 7 segundos de duracién. Elfuncionamiento del circuito de la figura 273 es, muy simple. Observe que IC1-A e IC1-B son com- puertas NOR de dos entradas pero esta ditima esta conectada como inversor. En condiciones norma- les, sin sefial de disparo, la salida del circuito (OUT) es de nivel bajo y la entrada superior de a com- puerta [CIA recibe un bajo a través de R2. Puesto que la compuerta ICI-A recibe un bajo en ambas entradas, su salida (punto D) es alta y el con- densador Cl esta descargado. Cuando se aplica mo- mentdneamente un pulso de disparo positivo (activo en alto), la salida de ICI-a se hace inmediatamente baja y el condensador C1 aplica un bajo a la entrada de la compuerta IC1-B. Como consecuencia de lo anterior, la satida del circuito (OUT) se hace alta. Este alto se realimenta a Ja entrada inferior de a compuerta IC1-A, obligando a la salida de esta dima (punto D) a permanecer en bajo, sin importar el estado de la senal de disparo. Esta sefial debe hacerse baja antes de terminar el perfodo de temporizacién, El condensador Ci comienza entonces a cargarse a través de Ri, Cuando el voltaje sobre C1 crece hasta alcanzar un valor aproximadamente igual a +V/2, es decir, la mitad del voltaje de alimenta- cidn, 1a compuerta IC1-B lo interpreta como un alto y Ia salida del circuito (OUT) se hace baja. El resultado neto de todo este proceso es Ia emi- sién de un pulso de duracién T,, activo en alto, a la salida, Para que el circuito opere de la forma descri- ta anteriormente, es condicion indispensable que el uso de disparofinalice antes de terminar el pulso je salida El circuito de 1a figura 273 se puede modificar pa- ra proveerlo de la funcién de reset, es decir de la po- sibilidad de cancelar el pulso de salida antes de que termine el periodo de temporizacidn. Estose hace in- terrumpiendo la linea de realimentacién, como se mmestra en la figura 274, ‘Wonoestable NOR con reset manual ‘A Circuito practico +V@V-15V) Ic1-B 40018 B. Diagrama de temporizacion ies, | 0 tL state csparo —aAreonnncie— a Sofial de sala > ee 7 S1-0N ——— TF L___. sotat de rest Fig. 274 En este caso, la conexién entre la salida del cir- cuito (OUT) y la entrada inferior de la compuerta IC1-A se realiza a través de la resistencia R3. Cuan- do se aplica momenténeamente el pulso de disparo, Ia sefial de salida se hace alta y se inicia el ciclo de temporizacién previamente explicado, Sin embargo, si se_pulsa el interruptor SI (RESET), se aplica un bajo 2 la entrada inferior de Ic1-a y la salida de esta compuerta se hace forzo- samenie alta, descargando el condensacior C1 y obli- ando aa salida (OUT) a retomar al estado bajo 0 le reposo. En el cireuito de la figura 274, la sefial de reset se aplica manualmente. Para permitir que el pulso de salida pueda ser cancelado electrnicamente, $1 se puede sustituir por un transistor, como se indica en Monoestable NOR con reset electroni + V(V-45¥) CEKTT- Curso prdctico de elccrénica digual 173 la figura 275. En este caso, una sefial de reset alta causa que el transistor conduzca, obligando a la salida del circuito (OUT) a adoptar el estado bajo. En la figura 276 se muestran el circuito prictico y el diagrama de temporizacién de un monoestable no redisparable con compuertas NAND 4011B que responde a flancos de bajada y entrega un pulso de salida activo en bajo, Su andlisis es similar al del circuito de la figura 273. El ancho del pulso de sa lida se calcula de la misma forma. Monoestable no redisparable NAND ‘A. Cireuito préctico +V@v-sy) B.Diagramadetemporizacion nn 9 PL ste sitparo (Ny Wve Le cetatenstpune ce J ‘Sefal de salida an (OUT) St Tet. 7R1x01 Fig. 276 En la figura 277 se ilustra la forma de dotar al cir- cuito de la figura 276 de la funcién de reset utili zando un interruptor (S1). El andlisis de este cir- ccuito es similar al de la figura 274. El interruptor se puede sustituir por un transistor PNP, como se in- ica, para aplicar electrOnicamente la sefial de reset Monoestables con 555 Los monoestables con compuertas se utilizan en aplicaciones donde el ancho exacto del pulso no es critico, Existen numerosas situaciones donde se necesita disponer de pulsos de longitud muy pre- cisa, En estos casos, clebe recurrirse al empleo de monoestables mas avanzados, por ejemplo el circui- tbintegrado 555 y su version CMOS, el 7555. Como vimos en la leccién 14, el 555 es un chip extremadamente popular que utiliza tecnologia bipo- lar. Puede operar con voltajes de alimentacton desde +4,5V hasta +18V, lo cual lo hace compa- 174 Pulso do disparo tible con la mayorfa de familias I6gicas de cireuitos integrados digitales. E1 7555 es funcionalmente idéntico al 555 pero se caracteriza por su bajo consumo de potencia y porque puede trabajar con voltajes de alimentacisn entre +2V y +18V. Todos los circuitos que se des- criben a continuacién wtilizan el 7555 como elemen- to central pero son igualmente validos con el 555. En la figura 278 se ilustra la forma de utilizar el 7555 como un monoestable no redisparable. El cir- cuito responde a flancos de bajada y entrega un pul- so activo en alto, La duracién de este pulso depende de los valores de R1, R2 y Cl y no esta sujeta a las variaciones del voltaje de alimentacidn ni a los ¢: bios de temperatura. Monoestable no redisparable 7555 con reset av (4.5v-18V) T= 1.1 x (RT + RQ) CT El monoestable se dispara cuando se pulsa el interruptor S1 y el pin 2 (TRIGGER) recibe un nivel bajo de voltaje inferior a +V/3, es decir a la tercera parte del voltaje de alimentacién. En ese instante, la salida OUT (pin 3) se hace alta y C1 comienza a car- garse a través de Ri y R2. Cuando el voltaje sobre C1 se hace ligeramente superior a +2V/3 (las dos terceras partes del voltaje de alimentacién), el pin 2. (TRESHOLD) recibe un ni- vel alto y la salida cambia abruptamente de estado, haciéndose baja. De este modo finaliza el ciclo de temporizaci6n y el circuito queda a la espera del pro ximo pulso de disparo. El resultado del proceso anterior es un pulso po- sitivo de salida de duracién T. El ciclo de tem- porizacién se puede abortar 0 cancelar prematura- mente, antes de que termine por sf mismo, aplican- do un bajo al_pin 4 (RESET). Esto se consigue pul- sando momenténeamente el interruptor S2. El ancho del pulso de salida se evaliia mediante la siguiente formula: T= 1.1 x (R14R2) x C1 Por ejemplo, si RI=10 KQ (10x103 ), C 100 pF (100x10-5 F) y R2= 1 MQ (1x106 Q), el an- cho del pulso resultante con el potencidmetro en su posicién méxima (1 MQ) serd, entonces: Tyndx = 1.1.x (10x103 + 1x10®) x 100x106 Tmax = 111 segundos Es decir, la salida permanecerd en alto durante 1 minuto con’S1 segundos, contados a partir del mo- ‘mento en que se aplica la sefial de disparo. Si el po- tencidmetro se sitda en su posicién minima (09), el ancho del pulso resultante sera: Trin = 1.1 x (10x103 + 0) x 100x10-6 Tinin = 1.1 segundos En conclusién, el potenciémetro permite obte- ner perfodos de temporizacién desde un segundo hasta précticamente dos minutos. Pulsando S2 (RE- SET), cualquier pulso de temporizaci6n en curso se cancela y el circuito queda a la espera del préximo pulso de disparo. En la mayorfa de aplicaciones précticas, es de- seable disparar el temporizador 7555 electrénica- mente (mediante una sefial), en fagar de hacerlo ma- nualmente (pulsando un bot6n). En la figura 279 se ‘muestra una forma de adaptar el circuito de la figura 278 para disparo electrénico. Monoestable 7555 disparado electronicamente (1) } wv Observe que el pulso de disparo es activa en bajo y se acopla al pin 2 (TRIGGER) del 7555 a tra- vés del condensador C2 y la resistencia R2. El an- cho de este pulso debe ser mayor de 100 ns (nano- segundos) pero menor que el ancho del pulso de sa- lida deseado. El circuito RC formado por R2 yC2 se denomina un diferenciador. Sin embargo, la mejor forma de disparar elec trénicamente el 7555 es utilizar previamente un mo- noestable de mediana precisiGn para generar un pul- so de =100 ns, activo en alto, y acoplar este tilumo al pin 2 (TRIGGER) mediante un transistor, como se muestra en la figura 280. En este caso, se utiliza un monoestable con compuertas NOR. Las configuraciones anteriores corresponden a monoestables no redisparables, En la figura 281 se muestra la forma de convertir el 7555 en un mo- noestable redisparable. La seiial de disparo se aplica ala base de los transistores Q1 y Q2. El primero des- carga el condensador de temporizacién Ci y el se- ‘gundo aplica el pulso de disparo al pin 2. Cada vez que se aplica un pulso de disparo, ac- tivo en alto, el transistor Qi conduce y descarga el condensador C1, cancelando el perfodo de tempo- rizacién en curso. Al mismo tiempo, el transistor Q2 también conduce y aplica un pulso, activo en Bajo, al pin 2 (TRIGGER) del 7555. Como resultado, el pin 3 (OUT) se hace alto. Cuando la sefial de disparo desaparece, es decir retoma a su estado bajo, los transistores dejan de conducir y el condensador C1 comienza otra vez a cargarse a través de RI, inicidndose un nuevo ciclo de temporizacién. Si no se aplican mas pulsos de dispar, la sida se hace baja al eabo de un emo CEKIT- Curso préctico de elecrénica digital 175 Monoestable 7555 disparado electrénicamente (II) Sohal de disparo 100 Circuitos integrados monoestables TTL Los fabricantes de circuitos integrados han de- sarrollado una gran variedad de multivibradores mo- noestables TTL tanto en tecnologfa esténdar como en Schottky de baja potencia o LS. En esta y las secciones que siguen describiremos los més re- presentativos, aprenderemos a utilizarlos eficien- temente y experimentaremos con ellos. Los monoestables TTL son muy versstiles, répi- dos, precisos y faciles de usar. Sus caracteristicas de diseio los hacen aptos para ser utilizados en apli- caciones que requieran generacién y conformacién de pulsos, retardos de tiempo, demodulacién y deteccién de flancos, entre otras necesidades. wv (4.5v-18V) te Ademés de su funcién basica, Ia mayoria de e: dispositivos pueden proporcionar funciones auxilia- res como disponibilidad de una o dos unidades idé: ticas en una misma cApsula, opcién de elegir entre redisparables y no redisparables, existencia de en- tradas de resét, entradas tipo Schmit pro por flancos de subida 0 de bajada, plementarias, etc. En todos los monoestables TTL, el ancho del Bilbo de salida se programa mediante un circu C externo y es independiente del ancho del pulso de disparo. Los valores de los componentes ut lizados para establecer el perfodo de temporizacién no son, generalmente, criticos pero deben respe- tarse las restricciones impuestas por los fabricantes Monoestable redisparable con 7555 Pulso de dispar. Circuito préctico WV (4sv-18v) Diagramadetemporizacion _ Fig. 28% En la tabla 16-1 se relacionan los monoestables TIL esténdar y LS més representativos y se re- sumen sus caracteristicas generales mas notables. Todos operan a partir de una fuente de alimentacién de +5V y entregan pulsos positivos, es decir acti- vos en alto. A continuacién se describen los cir- cuitos integrados 74LS221 y 74LS123. ‘Monoestable TTL representatives : 74128 2 74L8123 74221 74Ls221 9601 9602, 96.802 Tabla 16.1 Elccircuito integrado 7418221 El 74L$221 (figura 282) es un dispositive que contiene dos monoestables no redisparables inde- pendientes en una misma cépsula de 16 pines. Cada monoestable posee dos entradas de disparo (A y B), dos salidas complementarias (Q y Q) y una en- trada de reset o clear (CLR). Se puede disparar por flancos de subida o por flancos de bajada. Para disparar el 74L$221 por flancos de subida (tansiciones de 0 a 1), la entrada A debe estar en bajo (0) y el pulso de disparo debe aplicarse a la enirada B, Para dispararlo por flancos de bajada (tansiciones de 1 a 0), 1a entrada B debe estar en alto (1) y el pulso de disparo debe aplicarse a la entrada A. Para cancelar el pulso de salida en cualquier ins- tante, debe aplicarse un bajo (0) a la entrada CLR. En condiciones normales, esta Ifnea debe ser alta (1), Con la entrada A en bajo y la entrada B en alto, la linea CLR puede utilizarse’como entrada de dis- paro, En este caso, el monoestable responde a flan- cos de subida. El ancho del pulso (Tw) se programa mediante la resistencia extema R, conectada entre la entrada R/C (pines 15 6 7) y el positivo de la fuente (Vcc), y el condensador C, conectado entre las entradas C (ines 14 6 6) y RIC (pines 15 6 7). El valor de R debe estar entre 1.4 KO y 100 KQ y el de C no debe ser superior a 1000 uF. El valor del perfodo de temporizacién Tw se eva- Ia: mediante fa siguiente formula: Tw 93 x Rx C Por ejemplo, si R=#7 KO (47x103 Q) y LF (100x10-), entonces: Tw = 0.693 x 47x10 x 100x106 = 3.258 Es decir, se obtiene un pulso de 3.25 segundos de duracién. "Una vez disparado el monoestable, 1a salida Q se hace alta e ignora cualquier pulso de dis- paro aplicado durante este tiempo. Sin embargo, si I =Flancos de subida Ls Flancos de bajada Circuito integrado 74L$221 CEKIT- Curso précico de electrinica digital 177 se aplica un bajo en la entrada CLR (pin 3 6 11) du- rante este lapso, la salida Q se hace autométicamen- te baja, abortando el pulso en pleno periodo de temporizaci6n, En aplicaciones donde se requieran tiempos muy cortos, se recomienda utilizar condensadores de ceramica o de poliestireno. Para perfodos largos se recomienda emplear condensadores de tantalio o de aluminio especial. Para obtener pulsos de ancho variable, puede utilizarse un potenciémetro, como se indica en la figura 283. Variacion del ancho del pulso La versién TTL esténdar del 74L8221 es el circui- to integrado 74221. Cuando se utilice este ultimo dispositivo en aplicaciones que requieran de un con- densador electrolitico, debe insertarse un diodo de conmutacién para reducir los efectos de las altas co- rrientes de fuga del condensador. En la figura 284 se indica la forma de conectar este componente. EI diodo anterior no es necesario con el 74LS221 ni cuando se emplean condensadores de tantalio. Prevencion de corrientes de fuga 1.49 v7) NTO 19 Operacién de un monoestable no redisparable TTL Objetivos * Analizar la operacién de un monoestable no re- disparable TTL. 74LS221 + Familiarizarse con el uso de un kit contador de pulsos. + Aprender a disparar un monoestable por flancos de subida o de bajada. Materiales y herramientas necesarios 1 circuito integrado 74L8221. IC1 1 médulo EDM-1 (4 monitores légicos). D1, D: 1 contador de pulsos (kit CEKIT K20 o simil 1 fuente regulada de SV, 1A (kit CEKIT K11). 2 pulsadores nonmalmente abiertos (NA). Si, S2 1 resistencia de 47KQ. Ri. resistencias de 1 KQ. R2, R3. 1 condensador electrolitico de 100 UF/16V. C1. 1 reloj 0 un crondmetro. 1 protoboard Puentes de alambre telefénico N® 22.6 N° 24 Aspectos prieticos previos. Descripcién del kit contador de pulsos CEKIT K20 El Ait CEKIT K20 (figura E30) es un circuito que cuenta pulsos en forma decimal (desde 0 hasta 9) y registra el estado de la cuenta en un display de 7 s mentos. La longitud del conteo se puede extender a cualquier ntimero de digitos conectando varias uni- dades K20 en cascada. El circuito opera a part una fuente de SV. Kit CEKIT K20-Aspecto externo Fig. £30 178 Desde el punto de vista de su utilizaci6n, el kit K20 posee un botén de reset, dos lineas de alimen- tacion, una linea de entrada y una linea de expan- sion, Los pulsos se aplican a la Iinea de entrada. La Ifnea de expansi6n se conecta a la linea de entrada de una unidad similar para extender la longitud de conteo 299, 999, etc. El bot6n de reser se utiliza para iniciar la cuenta a partir de 0 (cero) 0 retornar el contador a este valor en cualquier instante. Cuando la cuenta llega a9, el contador recicla autométicamente a 0 con el si- guiente puso de entrada y suminista un pulso por ia nea de expansi6n. En la figura E31 se muestra el diagrama esquemético de este kit. El circuito consta de un contador BCD 7490, un decodificador 7447 y un display de 7 seementos. Por cada nuevo pulso de entrada, el cédigo BCD en Jas salidas del contador se incrementa y el niéimero decimal correspondiente aparece en el display. La conversién de cédigos BCD a cédigos de 7 seg- ‘mentos la realiza el decodificador. Descripcién de los circuitos de prueba ara analizar experimentalmente la operacién del 74LS$221 utilizaremos dos circuitos de prueba. El primero (figura E32-A) ilustra el disparo por flan- cos de subida y el segundo (figura E32-B) el dispa- ro por flancos de bajada. En ambos casos, la sefial de disparo la suministra el interruptor $1 y 1a de cancelacién el interruptor S2. Kit CEKIT K20 - Circuito interno aT] Fig. E31 La presencia del pulso de salida se registra en el display del kit K20 y en los monitores D1 y D2 del modulo 1. La resistencia R1 y el condensador Cl fijan el ancho del pulso de salida en 3.25 segundos, aproximadamente. Este periodo de temporizacién se inicia pulsando S1.y se puede cancelar en cualquier instante pulsando S2. Procedimiento Paso 1. Arme sobre el protoboard el circuito de la figura E32-A. Conecte adecuadamente el kit K20, Circuitos de prueba del 74LS221 A. Dispare por SET NUT ftances de subkda a ee KIT GEKIT K20, flancos de bajada TN “ Contador de pulsos Tw=07R1G1 CEKIT-Curso pracico de electrOnica digital 179 el médulo EDM-1 y el circuito integrado 748221 a la fuente de alimentacion, Observe la polaridad del condensador de temporizacién Cl. Antes de encen- der la fuente, revise bien todas sus conexiones. Paso 2. Encienda la fuente de alimentacién. Pulse momentdneamente el botdn de reset del kit K20 para inicializar el contador de pulsos y el botén de clear ($2) para inicializar el monoestable 74LS221. Observe Io que sucede en el display y en los mo- nitores D1 y Notard que en el display aparece el nimero 0. Es- to sucede porque al pulsar el botén de reser, el pin 3 del contador 7490 recibe un alto. Como resultado, en sus salidas DCBA se refleja el cédigo 0000, el cual es conyertido por el decodificador 7447 a su re- presentacidn de siete segmentos equivalente, repro- Guciéndose el ntimero cero (0) en el display. Al mismo tiempo, el monitor D1 se apaga y el mo- nitor D2 se ilumina. Esto sucede porque, al pulsar $2, el pin 3 (CLR) del 74L8221 recibe un bajo. Co- mo resultado, la salida Q se hace baja (D1=off) y la salida Q se hace alta (D2=on). Paso 3. Pulse momenténeamente el botén $1 y ob- serve durante cinco segundos lo que ocurre en los monitores DI y D2 y en el display. Notard que tan pronto se pulsa $1, el monitor D1 se ilumina y el monitor D2 se apaga. En el display no se observa cambio alguno. Sin embargo, al cabo de algunos segundos, el mo- nitor D1 se apags, el monitor D2, se lumina y la cuenta en el display avanza una unidad. Lo anterior sucede porque, al pulsar y liberar S1, el pin 2 (B) del monoestable recibe uh Poko rs tivo de dispa- fo, cl euah hace alta la slida Q y baja la salida Q, Como resultado, se inicia un ciclo interno de tem- porizacidn que termina por sf mismo al cabo de 3.2 segundos, aproximadamente. Al finalizareste perio- do, la salida Q se hace baja, la salida Q se hace alta y el contador detecta el flanco de bajada del pulso ie salida, incrementando el estado de la cuenta. Paso 4. Para comprobar la caracteristica de no redis- parable, repita el paso anterior y pulse el botén S1 varias veces antes de que termine el perfodo de tem- porizacidn. Observara que la situacién no cambia, es decir, el monitor DI permanecerd iluminado du- rante 3.2 segundos y la cuenta en el display avan- zard una unidad al finalizar este tiempo. Lo anterior sucede porque el 74L$221 es un mo- noestable no redisparable. Una vez disparado, el cit- cuito rechazara cualquier pulso de disparo aplicado con posterioridad al que inicié la temporizacicn y s6- lo lo aceptard cuando ésta termine, 180 Paso 5. Para comprobar la funcién de borrado (CLEAR), pulse el botdn S1 con el fin de iniciar un nuevo ciclo de temporizacién. Notarg que el moni- tor D1 se ilumina y el monitor D2 se apaga. Un instante después de accionar $1, pulse el botsn S2. Observari que el monitor D1 se apaga, el monitor D2 se ilumina y el display avanza una unidad Lo anterior sucede porque, al pulsar $2 en pleno ciclo de temporizacion, la entrada CLR (pin 3) del 74LS221 recibe un bajo, el cual causa que la salida Q cambie abruptamente de estado y se haga baja, cancelindose el pulso en curso. La salida Q opera en forma contraria. El contador siempre registra los flancos de bajada de la sefial aplicada en su entrada. Paso 6, Desconecte la fuente de alimentacién ame sobre el protoboard el circuito de la figura E33- B. Una vez armado, repita los pasos 2 al 5 anterio- res. Observard que el circuito se comporta exacta- mente de la misma forma, La nica diferencia radica cen que el disparo del monoestable se efecttia por flancos de bajada. wae El circuito integrado 74LS123 El 74LS123 (figura 285) es un dispositive TTL que contiene dos monoestables redisparables inde- pendientes en una misma cdpsula de 16 pines. Cada monoestable posee dos entradas de disparo (A y B), dos salidas complementarias (Q y O) y una en- trada de borrado o clear (CLR). Se puede disparar por flancos de subida o por flancos de bajada. Para disparar el 74LS123 por flancos de subida (figura 286-A), la entrada A debe estar en bajo y el pulso de disparo debe aplicarse a la entrada B, Para ispararlo por flancos de bajada (figura 286-B), Ia entrada B debe estar en alto y el pulso de disparo debe aplicarse ala entrada A, Un bajo en la entrada CER cancela el pulso de salida antes de terminar el periodo de temporizacién, El ancho bisico del pulso (Tw) se programa me- diante la resistencia externa R, conectada entre la en- trada R/C y el positivo de la fuente, y el con- densador C, conectado entre las entradas C y R/C. El valor de R debe estar entre 5 KQ y 50 KO. No existe restriccién alguna para el valor de C pero de- be evitarse el uso de condensadores muy grandes El valor de Tw se evaltia mediante Ia si formula: ente Tw=0.37xRxC ircuito integrado 74LS123 X: Puede ser 067 ter 7 proce ce abi “LL: Pulso negativo = Flancos de bajada noestables redisparables con 74LS123 Si, dentro de este lapso, el dispositive se redis. para, el pulso de salida en curso se cancela y se ini- cia un nuevo ciclo de temporizacién. El proceso se puede repetir indefinidamente con el fin de obtener pulsos de muy larga duracién, El siguiente ejemplo ilustra el uso de la formula anterior. Ejemplo. Calcular los valores de R y C necesarios para obtener un pulso bisico de 10 jis de duracién con un monoestable 7ALS123. Recuerde que el valor de R no debe ser inferior a 5 KO ni superior a 50 KQ. Cualquier valor de C es valido. Solucién. El procedimiento para determinar los valores de Ry C que satisfacen los Tequisitos del problema se puede resumir en los siguientes términos: Reorganizamos la ecuacién Tw=0.37RC con el fin de expresar R en términos de Tw y C. Es decir: R=Tw/(0.37xC) Asumimos un valor arbitratio para el conden- sador de temporizacién, por ejemplo C=0.001 AP y caculamos, R, remplazando Tw y C por sus’ valores respectivos. Si el valor resultante de R no estd dentro del rango de 5 KQ.a 50 KQ, asumimos otro valor de C y repetimos el célculo hasta que esto suceda. En nuestro caso: RQ) = 10x10-/(0.37x0.001x10-) R=27x1039=27KQ Este valor (comercial) esté dentro del rango de validez especificado para el 74.8123. Por tanto, con un condensador de 0.001 uF y una resistencia de 27 KQ obtenemos el ancho de pulso de 10 ps solicitado. Dependiendo del valor asumido para C, son posibles otras pare- ES ¢e,xalors or elemplo, C-0002 UE y Observe, por comparacién de las figuras 285 y 282, que el 741123 tiene la misma configuraci6n de pines del 74L$221. Sin embargo, estos dos dis- Positivos no son funcionalmente idénticos ni se pue- den remplazar mutuamente. Recuerde que el 74LS 221 es no redisparable mientras que el 74LS123 es, redisparable, CEKIT. Curso précico de electrénica digital 181 Circuitos integrados monoestables CMOS Existen varios circuitos integrados CMOS desa- rrollados especificamente para su utilizacién como multivibradores monoestables. La tabla 16-2 rela- ciona los mas representativos. Todos estos dispo- sitivos pueden operar con tensiones de alimentacién de 3V a 18V y se caracterizan por su bajo consumo de potencia, ‘Monoestables CMOS representativos. [Chips por cépsula “Tabla 16-2 Los monoestables CMOS, en general, no son tan répidos ni exactos como los TTL. Sin embargo, son muy versétiles, se adaptan al disparo por flan- cos de subida y de bajada y pueden utilizarse en los modos redispirable y no redisparable. Para mejores resultados, se recomienda trabajarlos a la maxima tension de alimentacién posible (15 6 18V). El 74C221 (figura 287) es la versi6n CMOS del 7418221. Cada monoestable posee dos entradas de El circuito integrado 74C221 disparo (A y B), una entrada de borrado o_clear (CLR) y dos salidas complementarias (Q y Q). El ancho del pulso lo fija un circuito RC externo. La entrada A responde a flancos de bajada y la B a flan- cos de subida. La entrada CLR es activa en bajo. En la siguiente seccién se describe el circuito integrado 4528B, uno de los monoestables CMOS més populares. E1 4528B es funcionalmente idénti- co al 4098B. Ambos chips poseen dos monoesta- bles redisparables en una misma cépsula. En la lec- cién 17 analizaremos el circuito integrado 4047B, un multivibrador astable/monoestable. El circuito integrado 4528B El 4528B (figura 288) es un dispositive CMOS que contiene dos monoestables redisparables en una El circuito integrado 4528B Vp: 3v- 18V K=05 RC (C2 0.01 uF 182 X; Puede ser061 Frances de subida +: Flancos de bajada misma cépsula. Cada monoestable posee dos entra das de disparo (A y B), una entrada de borrado,o clear (CLR) y dos salidas complementarias (Q y Q). El disparo puede hacerse por flancos. de subida 0 por flancos de bajada. Para disparar el 4528B por flancos de subida (figura 289-A), i entrada BT debe estar en alto y la sefial de disparo debe aplicarse a la entrada A. Para aispararlo por lancos de bajada (figura 289-B), la entrada A debe estar en bajo y la sefial de disparo debe aplicarse a la entrada B. En ambos casos, la en- trada CLR debe estar en alto. jonoestables redisparables con 4528B Yoo, Yoo Woo:av-18V) A.Porflancos de subida T=05RC Un bajo en ta linea CLR inhibe el disparo y hace baja Ja salida Q. Lo mismo sucede cuando la entrada A esté en alto o la entrada B esté en bajo. El ancho del pulso de salida (Tw) lo determinan los valores de R y C y se calcula mediame la siguiente formula aproximad: Tw=kxRxC En esta expresiGn, k es una constante de propor cionalidad, menor de 1, que depende, entre otros factores, del voltaje, la temperatura y las caracteris- ticas internas del chip. Como regla general, R no debe ser inferior a 5 KQ ni superior a 1 MQ. Para efectos précticos, con condensadores mayores de 0.01 wR, se puede asumir K=0.8. El 4528B opera normalmente como un mono- estable redisparable. Sin embargo, se puede tam- bién emplear como monoestable no redisparable co- nectando la salida Q a la entrada B cuando el dis- aro sea por flancos de subida o la salida Qala en- trada A cuando el disparo sea por flancos de bajada. Estas situaciones se ilustran en la figura 290. ‘Monoestables no redisparables con 45288 po (@v- 18) Disparo por flancos de (@v-1av) Temporizadores de intervalos largos Los temporizadores de intervalos largos (Jong- time timers) o temporizadores/contadores son circui- tos disefiados especificamente para proporcionar re- tardos de tiempo prolongados y precisos, desde unos pocos microsegundos hasta varios dias, Se uti- Jizan en una gran variedad de aplicaciones: videogra- badoras, alarmas industriales, procesos fotografi- cos, controles autométicos de riego, etc. La mayoria de temporizadores de este ipo (figura 291) consisten, basicamente, de un oscilador de pre~ cisién y un contador de n etapas, gobemados por un circuito 16gico de control. Una vez iniciada la temporizacién, el contador cuenta los pulsos del os- cilador y cambia el estado de su salida (Q) después de contabilizar 2” pulsos de entrada. En otras palabras, el contador multiplica, por un factor 29, el periodo de la sefial del oscilador, ob- teniéndose asi un pulso de salida de considerable duracién. Tanto el perfodo del oscilador (Tos¢) co- mo el factor de multiplicacién (2") son, generalmen- te, pros jos por el usuario. P CEKIT- Curso préctico de electrénica digital 183 Temporizacin de intervalos largos Supongamos, por ejemplo, que el perfodo del os- cilador es de un segundo y el contador es de 16 etapas. En este caso, el periodo de temporizacién re- sultante es de 216=65536 segundos, es decir, de {18 horas!, aproximadamente. Un retardo de esta ‘magnitud es imposible de lograr usando monoes- tables convencionales. La mayor limitacién préctica de los monoestables estudiados hasta el momento, incluyendo el 555, Tadica en que el periodo de temporiacién que pue- den proporcionar depende de un producto RC. obtener temporizaciones largas deben utilizarsecon- densadores electroliticos de gran capacidad y re- sistencias de muy alto valor. Por ejemplo, para lograr un retardo de 18 minutos con un 555 (ei maximo posible) se necesitarfa una resistencia de 10 MQ y un condensador de 100 UF. Sin embargo, la exactitud de esta temporizacién esté sujeta a la precision de los componentes utilizados. EI tiempo real obtenido puede diferir sustancial- mente del calculado. Los condensadores electroliticos, por su misma naturaleza, son dispositivos imprecisos: su valor no es estable'y puede variar con el tiempo, la tem- peratura y otros factores. Ademés, las altas corrien- tes de fuga inherentes a los mismos hacen im- posible el uso de resistencias altas en el circuito, Estas razones obligan a descartar el uso de mono- estables con condensadores electroliticos muy gran- des en aplicaciones donde se requieran tempori- zaciones prolongadas y precisas. La confiabilidad de tales circuitos disminuye a medida que se incre- menta la magnitud del retardo requerido. Cuando la exactitud es critica, hay que buscar otras opciones. En estos casos, debe recurrirse al empleo de mo- noestables mas especializados, como el popular tem- porizador programable XR-2240 de Exar que des- ribiremos en ja siguiente seccién. Otros temp: dores disponibles en forma de circuitos integrados 184 son el ZN1034E de Ferranti Electronics y el (CD4541B de National Semiconductors. El ZN1034E (figura 292) es un temporizador de 14 pines que proporciona retardos precisos de tiem- po desde unos pocos milisegundos hasta varios dias, Trabaja normalmente con una tensién de ali- mentaci6n de SV, aplicada entre los pines 4 (+5V) y 7 (GND). Incluye un regulador interno de voltaje, un oscilador de precisién y un contador binario de doce etapas. Circuito integrado ZN1034E [Contador de 12 etapas No GND 0, &: Salidas TAG: Disparo NC: No conectado EXT, INT: Calbracién En la figura 293 se muestra el circuito bésico de izacién del ZN 1034E.como temporizador. Obser- ve que los pines 4 (entrada de +5V) y 5 (entrada del regulador) estin conectados al positivo de la fuente a través de la resistencia R2. Esto debe hacerse siempre que se utilice una tensién de alimentacién superior a 5V, El perfodo de temporizacién se inicia pulsando momenténeamente el botdn $1 y se evaldia mediante Ja siguiente formula: T=kxRixCr El valor de k depende del valor de R3 (CALIBRA- ION). Cuando R3=02 (pines 11 y 12 unidos), k=2736. En la tabla 16-3 se relacionan otros valo- res comunes de k. El valor de Ri puede variar des- T=KRIGT de 5 KQ hasta S MQ y el de Ci debe ser superior a 3900 pF. Por ejemplo, si Ri22. MQ, C1100 uF y R3=300 KO, entonces k=7500. Por tanto: T = 7500 x 2.2x10% x 100x106 T= 1.65 x 106 segundos ~ 19 dias Valores de K (ZN1034E) El CD4541B (figura 294) es un temporizador pro- gramable de 14 pines que proporciona retardos precisos de tiempo de unos pocos milisegundos a varias horas. Trabaja con una tension de alimen- tacién desde 3V hasta 15V aplicada entre los pines 14 (VDD) y 7 (GND). Incluye un contador binario de 16 etapas, un oscilador de precisidn y un circuito I6gico de control. En Ja figura 295 se indica la forma de utilizar el CD4541B como temporizador digital. Observe que los pines 5 (AR) y 10 (MODE) tienen aplicado un nivel bajo y el pin 9 (SELECT) tiene aplicado un ni- vel alto. Con esta combinacién de estados, el 4541 opera como un monoestable redisparable y entrega en la salida Q (pin 8) un pulso activo en alio.- La temporizacién se inicia pulsando el botén $1, es decir aplicando un pulso positivo al pin 6 (MR). EI tiempo que la salida Q permanece activada se evalia mediante la siguiente Formula: Temporizador digital con 4541B 3xKx Rtx Ci] oh si rie Tabla 8-4 T= kx2.3xRTXxCT El valor de k depende del estado Iégico de las en- tradas A (pin 12) y B (pin 13). La tabla 16-4 resume los valores de k correspondientes a cada combinacién de A y B. El valor de Rs debe ser superior a 10 KQ y aproximadamente igual a 2RT. Por ejemplo, si RT= 10 KO, CT= 100 pF y ABeII, entonces k=65536 y RS~20K. Por tanto: T= 65536 x 2.3 x 10x103 x 100x106 T= 150.7 x 103 segundos = 42 horas Nota: Para mas informacién sobre el CD4541B le sugerimos consultar el proyecto central N? 7 (Alar- ma Digital para el Hogar) de este curso. CEKIT- Curso préctco de electronica digital 186 El circuito integrado XR-2240 EL XR-2240 (figura 296) es un temporizador pro- gramable de 16 pines que proporciona tiempos de etardo muy precisos, desde unos pocos micro- segundos hasta varias horas. Incluye un oscilador de precisi6n, un contador binario de 8 etapas con sa- Maas de clectorabieto yun circuit logic de con- trol. Es compatible con TTL y CMOS y opera con tensiones de 4V a 15V. Circuito integrado XR-2240 4V (avasv) REG En Ja figura 297 se muestra la forma de utilizar el XR-2240 como temporizador. Observe que la ten- siGn de alimentacién se aplica entre los pines 16 GV) ¥9 (GND) y las salidas de temporizacion (IT ‘Temporizador basico KR-2240 “p LRG Mon cro ast weg? Pad og Beger RARE 0K Teaoer Gave CLOONF Fig. 297 186 a 1287) estén conectadas al positivo de la fuente a través de un interruptor individual (S1 a $8) y una resistencia de pull-up (RL) comin, La resistencia RT y el condensador CT establecen el periodo (T) del oscilador interno. La salida gene- ral del temporizador (Q) se obtiene del punto comin de unin de todas las salidas. Como vimnos en la lec- cién 6, este modo de conexién se denomina con- figuracién AND alambrada y sélo se puede realizar con salidas de colector abierio. La resistencia R1, conectada entre el pin 14 y el 15, actia como resistencia de pull-up del oscilador. El condensador C1 es un filtro de ruido. El con- densador C2 es opcional pero se reguere cuando Cres menor de 0.1 LF y/o la tensién de alimen- tacién es superior a 7 V. Estos componentes no intervienen en el proceso de temporizacién. La temporizacién se inicia pulsando el botén $9, es decir, aplicando un pulso positivo al pin 11 (TRIGGER), Cuando esto sucede, todas las salidas de temporizacién conectadas a RL, incluyendo la sa- lida general del temporizador (Q}, se hacen bajas. El tiempo que cada salida kT (IT, 2T, etc.) dura ac- tiva en bajo se evalia mediante la siguiente formula: En esta expresién, k es el factor de ponderacin asociado a cada salida y T=RTCT el periodo del os- cilador. Para la salida'1T (pin 1), k=1, para la sa- lida 2T (pin 2), k=2 y asf sucesivamente, Para ga- Tantizar un éptimo fancionamiento del cicuito. el valor de RT debe estar entre 1 KQ y 10 MQ y el de Centre 0.01 uF y 1000 uF. Por ejemplo, si RT=100 KQ, Cr= 100 uF y se cierra inicamente el interruptor $6, queda sélec- cionada la salida 32T (pin 6) y, por tanto, k=32. Bajo estas condiciones, el tiempo que la salida 32T pemmanece en bajo seré: Tay = 32 x 100x103 x 100x106 Tarr = 320 segundos = 5 minutos +20 segundos Naturalmente, este es el mismo tiem dura activa en bajo la slida Q del temporizador. Si existe ‘mis de una salida de temporizacin conectada a RL, en la salida Q se obtiene un retardo de tiempo igual a la suma de los retardos producidos por cada en- trada. Es decir: Tq =NT=NxRTxCT En esta expresién, N es la suma de los factores de ponderacién de las salidas seleccionadas. Por ejem- lo, si, ademas de la 327, se seleccionan también fas satidas 87 y 1287, entonces: N=8+32 +128 =168 De este modo, el retardo total obtenido en la sa- lida Q seré: Tg =NT=168T = 168xRTxCT Es decir, si RT=100KQ y CT=100pF, entonces: Tg = 168 x 100x103 x 100x106 = 1680 segundos Por tanto, la salida Q permanecerdé en bajo, exac- amore, 54 minutos. BF todas ins sails Sdn oo nectadas a la resistencia de pull-up RL, el méximo perfodo de temporizacién posible serd, por consi- guiente: Tomax= 258T = 255 x RTX CT En nuestro caso: Tamax = 255 x 100x103x 100x106 = 2550 seg Este tiempo equivale a.un retardo de 42 minutos, 30 segundos. Cualquier temporizacién se puede can- elar pulsando el bot6n $10, es decir aplicando un Pulso positivo al pin 10 (RESET). Cuando esto su- cede, todas las salidas se hacen altas y el circuito queda @ la espera del préximo pulso de disparo. El siguiente ejemplo ampli el uso de las formulas anteriores, aplicadas a un caso concreto de disefio, Ejemplo. Utilizando un temporizador programa: BAS HRSRDA0, caente ee Yaaro dee yo necesarios para obtener un retardo de 18 horas uuilizando la configuracién circuital de la figura 297. Especifique las combinacién de salidas que debe utilizarse Solucién. La mejor forma para resolver este tipo de problemas de disefio con el XR-2240 es seleccionar previamente los valores de CT y N dentro de las especificaciones del dispositivo y calcular el valor de RT a partir de la formula TQ=NT=NxRTxCr ast: ae RT = TQNxCr) En nuestro caso, TQ=18 horas, es decir, 64800 segundos. Si escogemos un conden- sador CT de 47 UF y seleccionamos las salidas 32T y 16T, entonces N 0 estas eondicione 300/(240x47x 10-5) xl rae) 128T, 64T, | 35 | _ Por tanto, debe utilizarse una resistencia de 5.7 MQ, Este valor puede obtenerse, por ejer- plo, conectando una resistencia de 5.6 MQ en serie con una de 100 KQ 0 utilizando una resis- tencia de 1 M en serie con un potenciémetro de 5MO calibrado en 47 MQ 8 “Temporizador de 16 horas con XR-2240 CIRCUITOS DE APLICACION Se presentan a continuaci6n algunos circuitos de aplicacién con monoestables y temporizadores que complementan los numerosos circuitos précticos analizados a lo largo de esta leccién y los que usted tmismo puede diseiar, aplicando los conocimientes iquiridos. Desoribiremos un eliminador de rebote, una alar- ma médica, dos interruptores de tacto, un tempo- rizador para el carro, un generador de efectos sono- ros y un temporizador de aparatos electrodomés- ticos. En lecciones posteriores encontraremos ms aplicaciones. Varios proyectos centrales de este cur- 0 utilizan monoestables y temporizadores. Eliminador de rebote con 7555 Una aplicacién muy comin de los monoestables no redisparables es como eliminadores de rebote. CEKIP. Curso précice de electronica digia! 187 Eliminador de rebote con 7555 5v-15V Te Cuando un interruptor se cierra o se abre, sus con- tacios rebotan varias veces antes de conectarse 0 desconectarse definitivamente, produciendo pulsos de ruido. Este fendmeno se denomina rebote (bounce) y es inherente a todo interruptor electro- mecéinico, Los pulsos de ruido causan muchos problemas en los sistemas digitales y por esta raz6n deben su- primirse 0 enmascararse, es decir evitar que sean vistos_o detectados por ei circuito al cual va dirigi- da la informacién suministrada por el interruptor. Esta funcién la realiza un circuito antirrebote (debouncer). Los monoestables son adecuados para este trabajo, El circuito de la figura 299 genera un pulso limpio de 20 ms, libre de ruido y perfectamente rectan- gular, cuando se cierra el interruptor $1, La dura- GiGn de este pulso (T) depende de los valores de Ri y Cl y debe elegirse de modo que sea superior al pe- riodo de rebote del interruptor. En. interruptores peguetos, este perfodo es de | @ 10 milisegundos. Alarma médica Una aplicacién importante de los monoestables redisparables es en circuitos de alarma disefiados para monitorear eventos repetitivos. Por ejemplo, considere su uso en una alarma médica de respira- ccign (figura 300). La alarma sensa la respiracién del paciente y genera un pulso en respuesta a la misma, el cual se utiliza para redisparar ef monoestable, El perfodo del monoestable se_fija de tal modo que un patrén normal de respiracién cause el redis- paro continuo del mismo. Si el patrén de respira- cin se interrumpe, el monoestable no recibe un pulso de redisparo. Por tanto, el circuito se sale de tiempo y suena la alarma, alertando al personal médico de 1a existencia de una anormalidad. Interruptor temporizado de toque Un imerruptor de toque (touch switch) es un dis- positivo en el cual la accién de conmutacién no se realiza en forma electromecnica sino por contacto momenténeo de la piel con un sensor téctil, Al tocar el sensor, el interruptor abre o cierra un circuito y lo mantiene en ese estado durante un tiempo determina- do 0 de modo permanente, dependiendo del disefio. En varias aplicaciones industriales (por ejemplo, martillos electromecénicos), un interruptor de toque puede sustituir un voluminoso y costoso interruptor de potencia, Su uso no es peligroso. Como sensor, se puede utilizar cualquier material conductor dis: puesto de forma que pueda ser tocado por un dedo {por ejemplo, una moneda partida por la mitad). En la figura 301 se muestra un interruptor de to- que on un monoestable NAND. El circuito propor- ciona un pulso de salida de aproximadamente un segundo de duracién cuando se toca con la piel un sensor constituido por dos contactos metélicos muy proximos. Ri y Cl determinan el ancho del pulso. ‘Alarma de respiracion hei | G Buzzer Falla respiratoria PUPP IPANCL sates sneer Salida monoestable Temporizador de tacto sencillo 79 sates ale En Ia figura 302 se muestra un temporizador de tacto desarrollado alrededor de un 555, Al tocar la lémina de contacto, el monoestable se dispara y pro- duce un pulso de aproximadamente 5 segundos de Guracién, R3 se ajusta para que el voltaje del pin 2 sea superior a +V/3. R2 mejora la sensibilidad del Greuito, Ri'y C1 establecen fa duracién del puso. “Temporizador de tacto con 555 Los cireuitos anteriores pueden utilizarse para con- trolar LED, zumbadores, ldmparas, motores, etc., conectando a la salida una interface adecuada, por ejemplo, un oproscoplador manejando un tiac oun transistor impulsando un relé, La forma de comu- nicar circuitos digitales con dispositivos del mundo real se explic6 en la leccién 8 de este curso. Temporizador de luces para el carro El circuito de la figura 303 enciende las luces in- teriores del carro durante 15 segundos después de cerrar la puerta del vehiculo. Este tiempo es su- ficiente para que el conductor encuentre la lave de ignicién y ones el motor en marcha La ensign de alimentacién (12 V) se obtiene de la bateria, El in- teruptor S1 es accionado por la puerta. ‘Temporizador de luces parael carro nae Cuando la puerta se abre, el interruptor S1 se cie~ rma, las luces interiores se iluminan, el monoestable 555 se dispara, su salida (pin 3) se hace alta y el transistor Qi conduce. Cuando la puerta se cierra, S1 se abre y QI mantiene energizadas las luces du- rante 15 segundos, tiempo al cabo del cual las des- conecta, debido a que la salida del monoestable se hace baja. Generador de efectos sonoros El circuito de la figura 304 utiliza un reloj §55,un monoestable redisparable 4528B y un amplificador de audio con LM386 (kin CEKIT K14) para pro- ducir en el parlante una gama muy variada de tonos y efectos sonoros cuando se ajustan R1 y R3. Ré es ‘el control de volumen del amplificador. Amplificader de audio 05: 220 nFi6v 3 z $ 3 8 * i & 3 a & B1:V p0GV. V6 12v) CCEKIT- Curso préctico de elecrrnica digital 189 Arme este proyecto en su protoboard o en una tar- jeta de circuito impreso y disfrtitelo. Mueva conti- nuamente Ri, R3 0 ambos a la vez, y simulard so- nidos como el de una guerra espacial, un avidn, un violin, una motocicleta, un metrénomo, un auto de carreras y muchos mds, Experimente con otros valores de C1 y C3 para obtener nuevos efectos. Remplazando Ri y R3 por fotoceldas (LDR), el sonido varia con la luz incidente. R1 establece el to- no de base y R3 la gama de frecuencias dentro de Jas cuales avanza el circuito. Para suministrar la ten- sién de alimentacién (SV, 9V 6 12¥) puede utilizar una baterfa de vehiculo de 12V o alcalina de 9V asf como una fuente regulada de SV (kt CEKIT K11) ode 9V (kit CEKIT K10). El LM386 (figura 305) es un circuito integrado andlogo may popular que amplifia sefales de bajo voltaje, La sefial de pulsos sumninistrada por el mo- inoestable al potenciometro R4 se convierte en pul- Fag = Ouse AY sv (ove 12V) onl ‘oe 08 YY s corm REG xp-2200 er (Temporizador programabie)!6T| 190 Circuito integrado LM386 + Vee (4V-12V) sos de corriente que excitan, a través de C5, el par- ante, el cual los hace audibles. Mas informacién so- bre este chip en el manual del kit K14 de CEKIT. Interruptor temporizado de potencia de 24 horas Carga: 115V, +1000 W (max) 2: Trise 2N6154 (200v/10A) Rio der 220.0 ° Interruptor temporicado de potencia de 24 horas El circuito de la figura 306 permite conectar o des- conectar una carga de potencia de 115VAC, por ejemplo una lémpara o un artefacto electrodomés- tico, al cabo de un cierto tiempo. La temporizacién se inicia pulsando el botén $9, se cancela pulsando S10 y su duracién se programa mediante el poten- ciémetro R2 y los interruptores $1 a $8. La carga se conecta a J1 y el voltaje de la red se aplica a J2. Con el potenciémetro en su posicién de méxima resistencia (10MQ) y todos los interruptores, desde S1 hasta $8, cerrados, se obtiene un retardo mé- imo de 24 horas, Con el potenciémetro en su po- sicién minima (0) y el interruptor $1 cerrado, se obtiene un retardo minimo de 3.3 segundos. Cual- quier retardo dentro de este rango es posible. El modo de operacién de la carga se selecciona mediante $11. Con $11 en la posicién ON, la carga se energiza autométicamente después de transcu- rrido el tiempo previamente programado. Con $5 en la posicién OFF, la carga se conecta tan pronto se da la orden de temporizacién y se desconecta auto- maticamente al cabo del tiempo preestablecido. Por ejemplo, si usted sale de su casa a las 12 del dia y desea que la limpara de su cuarto se prenda autométicamente a las 7 de la noche, tendré que situar $5 en la posicién ON y programar un retardo de 7 horas (23200 segundos) Puede hacerlo, por ejemplo, situando R2 en su posicién méxima y ce- rrando los interruptores $7, $4 y $2. Para hacer este célculo, utilice las fSrmulas de di- sefio con el XR-2240 suministradas en el texto, En- contraré que, con R2=10 MQ y Ci=33 UF, se re- uiee un factor N de 76 para ootene el retard de 5200 segundos requerido. Este factor se logra seleccionando las salidas 64T, 8T y 27. La interface entre el circuito de control, formado por el temporizador XR-2240 (IC1) y componentes asociados, y el circuito de potencia, formado por la carga y el triac 2N6154 (Q2), se realiza a través del optoacoplador MOC3010 (IC2). Para més detalles sobre el uso de optoacopladores en aplicaciones de potencia, le sugerimos remitirse a la leccisn 8, El triac 2N6154 puede impulsar corrientes hasta de 10 A-y manejar cargas hasta de 1000 W/115V. ara un éptimo funcionamiento debe estar provisto de un disipador de calor adecuado. La tensién de alimentacién del circuito de control puede obtenerla de una bateria de automévil de 12V, una pila alcalina de 9V o una fuente regulada de 9V (kit CEKIT K10). Para alambrar el circuito de potencia, use cable #16 6 18. Construccién y prueba del médulo 2. Parte 5 En esta actividad finalizaremos el ensamble del médulo 2 instalando en la tarjeta de circuito impreso EDM-2 el interruptor l6gico $4. La funcién de este componente es entregar, de acuerdo a su posicién, un nivel alto (1) bajo (0) de voltaje en el terminal de salida S4 de esa tarjeta. Realizaremos también la prueba de este médulo, atilizandolo junto al médulo 1 (@ monitores 6gicos) en un circuito decodificador sencillo, el cual, ade- més, nos permitird practicar y familiarizarnos con el eédigo BCD. Veremostambién comooptimizarelméduloEDM- 1 para hacerlo més versétil y poderlo utilizar indis- tintamente en circuitos TTL y CMOS. ‘Componentes necesarios = Para el montaje 1 interruptor miniatura tipo spat. $4 { circuito impreso CEKIT EDM3. 1 cautin de baja potencia (15W a 35W) Soldadura de estaiio 60/40 ~Para la prueba 1 médulo EDM-1 (4 monitores l6gicos). 1 médulo EDM-2 (4 interruptores l6gicos). 1 circuito integrado 4028B. IC1. 1 pila aleaina de 9V 6 una fuente de 9V (hit CEKIT K10) 6 una fuente de SV (kit CEKIT K11). +V. 1 protoboard. Varios puentes de alambre telefénico N° 22 6 #24. Herramientas: pinzas, cortaftios, bisturf, Procedimiento y prueba Paso L. Tome el interruptor S4 e instlelo a conti- nuacién del interruptor $3, en los agujeros corres- pondientes, como se muestra en la figura AIS, Asegiirese de que el componente quede instalado en uuna posicién firme y suéldelo con cuidado por el la- do del cobre, de la misma forma como lo ha hecho con los interruptores $1, S2 y $3. Paso 2. Si atin no ha instalado los pines de inser- cidn del médulo, provease de 6 terminales sobran- tes de LED o de resistencias y suéldelos direc- tamente por el lado del cobre, en ‘ingulo recto con la tarjeta, como se muestra en la figura A16, Una vez, soldados, cértelos todos a una longitud inferior al espesor de su protoboard, por ejemplo 7 mm. CEKIT. Curso préctco de elecrinica digital 191 Paso 3. Descrips Para verificar la operaci6n y el uso del médulo 2, emplearemos el circuito de prueba de la figura A17. Se trata de un decodificador de BCD a decimal con el CI 4028B (ver leccidn 10, paginas 121 a 123). El éireuito reconoce un cédigo BCD aplicado en las entradas DCBA activando una de las salidas Q0-Q9. én del circuito de prueba Circuito de prueba del médulo 2 192 El médulo 2 (EDM-2) suministra cédigos (combi- naciones de 1's y 0's) de 4 bits a las entradas del decodificador, correspondiendo $1 al MSB (bit mis significativo) y Sé al LSB (bit menos significativo). Ef médulo 1 (EDM-1) informa cudndo sno de estos c6digos activa una de las cuatro lineas preseleccio- nadas QO, Q3, Q7 6 Q9. Especificamente, el LED D1 se ilumina cuando se aplica el cédigo de entrada 0000, correspondiente al nimero decimal 0, el LED D2 cuando se aplica el c6digo 0011, correspondiente al mimero 3, el LED D3 cuando se aplica el eédigo O111, correspon. diente al niimero 7, y el LED D4 cuando se aplica el c6digo 1001, correspondiente al nimero 9. Un decodificador BCD no debe reconocer cédi- ges BCD invades (ver Tabla 9.3, pagina 110) Sin embargo, algunas unidades 4028B, como el CD4028BCN de National utilizado en nuestra prue- ba, activan la salida Q8 (pin 9) cuando reciben un ego BCD invalido par, y Ia salida QP (pin 5) cuando reciben un cédigo BCD invélido impar. En este caso, e] LED D4, que monitorea la salida se Sinan tambien cuando, se apliquen Ios codigos BCD invélidos 1011 (11), 1101 (13) y ALLL (15). Si utiliza un 4028B de otro fabricante, €s probable que no se produzca este fendmeno.La distribuciGn de pines y 1a tabla de verdad del 4028B aparece en la figura 197, pagina 121. Paso 4. Optimizaci6n del médulo EDM-1 Antes de ensamblar el circuito de la figura A17 en su protoboard, conviene realizar una ligera modifi- cacién técnica’en el trazado del circuito impreso del médulo 1, con el fin de hacerlo més versatil, adap- table a varias necesidades y compatible con TTL. Se ha encontrado que algunas salidas CMOS no tienen la capacidad de corriente suficiente para im- pulsar las entradas de este médulo debido al efecto de carga, que presentan las resistencias de 1K co- nectadas entre cada entrada del inversor y tierra (ver figura A1, pégina 19). Esto puede suceder con el CI'CD4028BCN utilizado en este experimento, Para adaptar su médulo a estas circunstancias, el punto comin de unidn de las resistencias Ri, R2, R3 y R4 debe desconectarse de tierra y conectarse al positivo de la fuente, como se indica en la figura A18. De este modo, una salida CMOS alta no tiene gue impulsar corriente hacia la entrada de cualquier monitor porque ésta proviene de la fuente, a través de la resistencia de 1K. Para realizar esta modificacién, sdlo necesitard de un bisturf y un trozo de alambre telefSnico aislado de 18 mm de longitud. Tome el médulo 1 por el la- Circuito del modulo 1. Optimizado do del cobre y practique, con el bisturi, dos cortes profundos en'la pista que une el punto comin de unién de las resistencias de 1K con el pin 7 (tierra) del CI 4011B, como se muestra en la figura A19. Optimizacién del médulo 1 cane 226028 De este modo, aislard las resistencias de tierra, Para mayor seguridad, puede levantar y retirar, con el mismo bisturf, la pista de cobre que une los dos puntos de corte. A continuacién, suelde el alambre de 18 mm entre el pin 14 (+V) del Cl 4011B y el punto comiin de unién de las resistencias. Asi, este Ultimo quedard conectado al positivo de la fuente, Paso § Arme sobre su protoboard el circuito de la figura A17, como se indica en la figura A20. In- serte con cuidado el circuito integrado 4028B y los médulos EDM-1 y EDM-2, Realice las distintas co- nexiones empleando puentes cortos. Antes de conectar la fuente, revise bien todo el alambrado. Asegcrese de que tanto el 4028B como los médulos estén adecuadamente alimentados y no hayan conexionesextraviadas,es decir uniendo pun- 10S que no corresponden, Circuito pictérico de prueba Pa) Paso 6. Conecte la fuente y site todos los interrup- tores del médulo 2 en la posicién "0". De este mo- do, estard aplicando el cédigo 0000 a las entradas del decodificador. Observard que el LED D1 del m6- dulo 1 se ilumina, indicando que el circuito esta re- conociendo el cédigo BCD del nimero 0 (0000), Paso 7. Sitie los interruptores $1 a S4 en las po- siciones adecuadas, genere, en su orden, todos los cédigos de 4 bits siguientes posibles, desde 0001 (1) hasta 1111 (15), y observe los que sucede en les LED del tél I. Por empl pra cl esdigo 0110 (6), sitée $1 en ia posicién 0, $2 en la posi- cién 1, $3 en la posicién 1 y S4 en la posicién 0, Notard que el LED D2 s6lo se ilumina cuando se aplica el cédigo 0011 (3), el LED D3 cuando se aplica el eddigo O111 (7) y el LED D4 cuando se aplica el eédigo 1001 (9), Para los cédigos supe- riores a este ultimo, pueden suceder dos cosas: a) El LED D4 se ifumina cuando se aplican los eédigos BCD invélidos impares 1011 (11), 101 (13) y LLL 15) 6 b) EL LED D4 no se ilumina en absoluto, CEKIT- Curso prictico de eleeirénica digital 193 Lecci6n 17 Relojes o multivibradores astables + Introduccién + Multivibradores biestables, monoestables y astables + Tipos de multivibradores astables + Relojes con compuertas TTL * Relojes TTL controlados por cristal Relojes con compuertas CMOS + Relojes con compuertas Schmitt rigger + Relojes con compuertas bufjer + Relojes con el circuito integrado 555 + Relojes con circuitos integrados especializados + El circuito integrado 4047B + CIRCUITOS DE APLICACION Introduccién Muchos dispositivos, circuitos y sistemas digita- les dependen de una sefial de reloj para operar co- rrectamente y sincronizar sus funciones intenas, Como vimos en la leccién 13, una sefial de reloj Gigura 307) es una onda cuadrada 0 cadena de pulsos, es decir, una sucesién continua de niveles altos (I's) y bajos (0's) de voltaje que se repiten periédicamente en el tiempo. Sefial de reloj |—T—>| T: Perlodo;t: frecuencia; D: Dudy cycle Ti: Ancho del pulso; 72: Intarvalo del pulso Los cireuitos que producen sefiales de pulsos se denominan relojes © multivibradores astables. Los ‘multivibradores son circuitos que permiten con- trolar el tiempo en toda clase de aplicaciones andlo- gas y digitales, Sin la presencia del tiempo en los sistemas digitales, éstos se reducirian a simples cir- cuitos combinatorios, es decir a configuraciones es- titicas de compuertas. Existen tres formas diferentes de considerar la ac- cin del tiempo en los circuitos digitales: rempo- 194 rizacién, sincronizacién y memorizacién, A cada una de éstas acciones conesponde un multivibrador especifico, La funcién de temporizacién la realizan los monoestables, la de sincronizacién los astables y la de memorizacién los biestables. Los multivibradores monoestables 0 one-shot se ataron en Ia leccin 16. Los multivibradores as- tables o relojes se estudian en esta leccién y los bies- tables o flip-flops en las lecciones 19 y 20. Por brevedad, utilizaremos con frecuencia los tér- minos monoestable, astable y biestable para referir- nos, respectivamente, a un multivibrador monoesta- ble, a uno astable y a uno biestable. Ena leccién 18 estudiaremos un tipo especial de astable Namado oscilador controlado por voltaje (VCO) y el sistema del cual son su parte funda- mental: el lazo de amarre de fase (PLL) digital. El PLL es uno de los dispositivos més versdtiles e in- teresantes de la electronica digital. ‘Comenzaremos por establecer, formalmente, ladi- ferencia entre multivibradores astables, monoesta- bles y biestables. Posteriormente, analizaremos las configuraciones més comunes de circuitos de reloj utilizadas en sistemas digitales y aprenderemos a utilizar algunos chips desarrollados especificamente para esta funcién. Al final, presentaremos varios circuitos pricticos de aplicaci6n. Multivibradores biestables, monoestables y astables Como se establecié anteriomente, existen tres ti- pos de multivibradores: biestables, monoestables y astables. A continuacién, definiremos claramente la funcién y el modo de operacién de cada uno. El multivibrador biestable (figura 308), como su nombre lo indica, es un circuit que tiene tiene dos estados estables: alto y bajo. El dispositivo per- manece indefinidamente en uno de sus dos estados estables hasta que es obligado a cambiar de estado mediante una sefial externa de disparo. Una vez disparado, el dispositivo se mantiene en su nuevo estado hasta que aparezca otra sefial de disparo. En resumen, a cada sefial de disparo comesponde un cambio de’ estado estable, Bésicamente, la fun- ion que desempeiia un biestable es la de recordar ‘© memorizar un estado determinado. También es po- sible, en cualquier momento, cambiar el contenido Funcionamiento del biestable Fuso de disparo Estable Estable ST a ILI. rise se sssue Fig. 308 del circuito, es decir pasarlo de alto a bajo o vice- versa. La descripcién anterior concuerda con la defini- cién de memoria RAM usada en los computadores digitales. Las memorias RAM o de acceso aleatorio se estudian en la lecci6n 32 de este curso. El multivibrador biestable se denomina también flip-flop y es 1a celda bisica de los sistemas de al- ‘macenamiento de datos (memorias), tan ampliamen- te usados en la tecnologia actual. Los flip-flops se estudiardn detalladamente en las lecciones 19 y 20 y as memorias a partir de la leccién 31. El multivibrador monoestable (figura 309) es un circuito que tiene un sélo estado estable, de donde deriva su nombre. El dispositive permanece inde- finidamente en el estado estable hasta que recibe una sefial externa de disparo. Una vez disparado, cambia de estado y permanece en ese nuevo estado (lamado inestable) durante un tiempo, al cabo del cual retorna a su estado estable natural. El tiempo que dura la salida en el estado inestable rograma, generalmente, mediante un circuito RC externo. Dependiendo del disefio, el disparo puede producirse por flancos de salida (pulsos positivos) o de bajada (pulsos negativos) y el es- tado inestable puede ser alto (1) 6 bajo (0). Del mismo modo, la sefial de disparo puede re- disparar continuamente el dispositivo y mantenerlo indefinida o temporalmente en el estado inestable 0 dispararlo s6lo después de que ha realizado la tran- sicién del estado inestable al estable. En el primer caso, se habla de monoestables redisparables y en el segundo de monoestables no redisparables. La funcién principal del monoestable es tempo- rizar © generar, en los sistemas digitales y elec- Funcionamiento del monoestable Pulsoe'de pare Estbie qa — is — saica Tere Puso de deparo : Factor de proporcionalidad Fig. 300 trénioos,lapgos de tiempo programables. Este tipo de circuitos, incluyendo los temporizadores progra- mables, y sus aplicaciones, que son muy variadas, se estudiaron en la leccién 16. El multivibrador astable (figura 310), como su nombre lo indica, es un circuito que no ‘tiene esta- dos estables. Los dos posibles estados que puede tomar son de tipo inestable o temporal. La salida del circuito oscila o alterna entre los dos estados ines- tables (alto y bajo) a una frecuencia o rata cons- tante, determinada por un circuito RC extemo. anesthe J Elgeial NT [T+] Inestable La sefial generada por un astable se utiliza, por lo general, como patrén de tiempo o reloj para sin- Cronizar el funcionamiento de los circuitos de tipo Secuencial. La l6gica que rige estos sistemas la desarrollaremos a partir de la leccién 19. En la. pre- sente leccién estudiaremos las formas de implemen- tar astables en la préctica y aprenderemos a utilizar- Jos en una gran variedad de aplicaciones ities, CEKIP. Curso préctico de electronica digital 195 Tipos de multivibradores astables Los multivibradores astables o relojes se caracte- tizan, en general, por presentar en su sada dos estados inestables posibles: alto y bajo. Sin ne- cesidad de una sefial externa de disparo, el circuito oscila indefinidamente entre estos estados a una fre- cuencia constante. Por esta raz6n, los astables se conocen también como osciladores. La sefial digital generada por un astable (figura 310) se denomina onda cuadrada y se usa amplia- ‘mente para sincronizar sistemas digitales secuencia- les. Entre la gran variedad de aplicaciones de este tipo de circuitos se destacan las siguientes: + Generadores de pulsos + Reloj (clock) de circuitos * Generadores de tono + Generadores de secuencia + Moduladores por anchura de pulsos (PWM) igitales Un multivibrador astable puede realizarse en la practica con transistores bipolares (BJT), transisto- res de efecto de campo (FET), transistores de mono- juntura (UIT) compuertas l6gicas TTL 0 CMOS y Circuitos integrados especiales. En estaleccién estu- diaremos los siguientes tipos de circuitos de reloj adecuados para aplicaciones digitales: + Relojes con compuertas TTL. + Relojes con compuertas CMOS. + Relojes con compuertas Schmitt trigger. + Relojes con compuertas buffer. + Relojes con el circuito integrado 555. + Relojes con circuitos integrados especializados. + Relojes con cristales piezoeléctricos de cuarzo. Algunos de estos circuitos se basan en inversores TTL oCMOS. En lugar de utilizar circuitos integra- dos especializados para generar una sefial de reloj, es posible usar compuertas NAND, NOR o XOR no utilizadas en otras partes del sistema para ob- tener inversores. En la figura 311 se indican las for- mas mds comunes de usar estas compuertas como inversores. Relojes con compuertas TTL Un circuito de reloj (figura 310) esta compuesto por dos redes RC: una para la carga del conden- sador C y otra para la descarga del mismo. Cada uno de estos procesos de carga y descarga genera un tiempo que, a su vez, determina la duracién de Jos estados inestables (T! y 72). El perfodo (T) de la onda cuadrada resultante es igual_a la suma de los dos tiempos transcurridos 1412), Si estos tiempos son iguales (T1=T2), se dice que la onda cuadrada generida es simétrica 196 Tnversores con compuertas + NAND V_XOR ="_xnor 2 Fig.211 y si son diferentes (T1#T2), la onda resultante es asimétrica. Los conceptos de onda simétrica y asi- ‘meétrica se introdujeron en la leccién 13. El mecanismo de carga y descarga del conden- sador, con el fin de generar los dos estados ines- tables, se puede implementar usando compuertas TTL. Existen dos formas de usar la circuiteria in- terna de la compuerta para este fin: como com- parador o detector de nivel de voltaje y como amplificador lineal. Como se verd, la segunda opcién es més venta- josa y, por tanto, la mas adecuada para construir Telojes de alta velocidad. En la figura 312 se muestra un circuito de reloj realizado con inversores TTL trabajando como comparadores de voltaje. Utilizaremos este sencillo Circuito sélo para explicar el principio de funcio- namiento de los astables. Mas adelante, se presen- tardn circuitos de mayor utilidad préctica, La forma como opera un comparador de voltae se expics en la leccion 14, Inicialmente, el condensador C se encuentra des- cargado y el voltaje entre su terminales (Vc) es de cero (0) voltios. Por tanto, en el momento de conec- tar el voltaje de alimentacién (Vec= SV), este con- densador se comportaré como un corto circuito, aplicando un nivel bajo a la entrada del inversor A3. Como resultado de lo anterior, en la salida del inversor A3 se tendrd, entonces,’ un nivel alto, el cual se aplica a la entrada del inversor Al.'La salida del inversor A2 ser, por tanto, alta, De esta manera, el circuito RC queda alimentado con un nivel de voltaje alto. El condensador comienza emionces, a cargarse desde OV y en direccién del voltaje de alimentacion (SV). Cuando el condensador alcanza el voltaje que la compuerta A3 identifica como una entrada alta (Viti= 2.0: ver leccién 8), se produce un cambio en Astable simpl Ti2 En esta exprsisn, T es el periodo en segundos (6) siel valor de R se expresa en Ohmios (2) ¥ el de C en Faradios (F). Si R est en kilo-ohmios (KQ) y C en microfuradios (uF), que es el caso ‘iis usual, T resulta expresado en milisegundos. 3. Se asume un valor cualquiera (comercial) para el condensador C y, de la expresién anterior, se obtie- ne el valor de R. Es decir: la salida de A3 de alto a bajo, el cual se comunica ala entrada del inversor A1: Por tanto, la salida del inversor A2 seri baja. En este momento, se le aplica a la red RC un voltaje igual a cero (0) voltios. El condensador co- mienza, entonces, a descargarse, Cuando el voltaje enel mismo (Ve) ilega al nivel que la compuerta A3, ideptifica como una entrada baja (ViL=0.8 V), ésta cambia de estado y, en la salida de A3, se tendré un nivel alto nuevamente. Como resultado, 1a salida del inversor A2 es, también, alta y, por tanto, el condensador C inicia, otra vez, su carga hacia un valor positive de voltaje. El proceso se repite constantemente, generando, de ésta manera, una onda cuadrada de una frecuencia que depende de los valores de Ry C, la cual se puede determinar en cualquier momento. Para calcular la frecuencia de oscilacién del reloj de la figura 312, se procede de la siguiente manera: 1. Por definici6n: Cee en cael | En esta expresin, T es el periodo en segundos (s) y f la frecuencia en Hertz (Hz). Si f esté en Kilohertz (KHz), T quedaré expresado en milise- gundos (ms). Si ia frecuencia se expresa en Mega- hertz (MHz), el periodo resultante estard en micro- segundos (jis). 2. Matemiticamente, se puede demostrar que, si Viti=2V (valor tipico), entonces: Si el valor resultante de R no es comercial o est muy alejado del valor comercial mds préximo, pue- de asumirse otro valor para C y repetir el célculo 0 buscar una combinacién (en serie 0en paralelo) de resistencias comerciales que seaequivalenteal valor deseado. El ejemplo de la pagina 198 aclara el uso dela formula anterior, Enlatabla 17-1 se relacionan los valores de acuer- doa las cuales se fabrican las resistencias de compo- sicién de carbén (las més comunes) en las series de tolerancias del 5% (Gitima banda dorada), 10% (Gltima banda plateada) y 20% (iltima banda ausen- te), Bsa tabla es muy importante para diseiarci- cuitos electrénicos lo tipo, incluyendo asta- bles y monoestables. Por ejemplo, en la gama de 5K a 10K se consi- guen resistencias, con una tolerancia del 5%, de 3.1K, 5.6K, 6.2K, 68K, 7.5K, 82K, 9.1K y 10K.’ Del mismo modo, en la banda de 100K a 200K, existen resistencias, con una tolerancia del 10%, de 100K, 120K, 150K y 180K, vinicamente. Estos valores se denominan series preferentes. 8 12:18 282 20% [10 15 22 33 47 68 rabla 17-1} CEKIT- Curso prictico de elecironica digital 197 Ejemplo. Disefiar un circuito de reloj para generar una one iene de 1 KHz uy Hz). ollie Apicanod al precctice no nal rior, obtenemos: T (ms)= 1/f (KH = 1/1 = 1 ms T2=05ms La notacién "T [ms]" debe leerse como "T expresado en milisegundos" y la nota- cién "iKHz}" como "f expresado en kilo- hertz". Asumiendo un condensador C de 0.01 iF, tenemos: mak 0.7xC[UF] x RIKQ] ee 7x0. ai Rig} _Portanto: R (Ka = 0.5/(0.7x 0.01) =72 KQ “Bs decir, se necesita un condensadior © de O.0IMF y una resistencia R de 72 KO ‘para obtener-una frecuencia f de 1 KHz con el circnito de la figura 312. El valor de R (72 KQ) no es comercial pero se puede ‘obtener, por ejemplo, conectando una resistencia de 62KQ en serie con una de 10KQ 0 cualquier oa com’ inacién equiva: | lente a 72K. En [a figura 313 se tiene el mismo circuito asta~ ble basico de la figura 312, pero se han sustituido los inversores Al y A2 por una compuerta AND. Asf, es posible controlar el proceso de arranque de la oscilacién de acuerdo al estado de la sefial de control A. Si A es alta, el circuito se habilita y hay oscilacién. De lo contrario, si A es baja, no se tiene sefial de reloj. Este circuito es un ejemplo de reloj gatillado. Astable con habilitador pein ental EES foley Bao Habiita cscilacién Bloquea Fig. 913 En la figura 314 se muestra un circuito de reloj, con compuertas TTL, que utiliza otro principio para su funcionamiento, Este astable no usa los niveles de entrada y salida altos y bajos como puntos de comparacién para efectuar la transicién, En su lu- gar, emplea la zona lineal de la compuerta, stab econ lneersoren Teri Besa xa mr [pt Joos a | [>e Sata | La zona lineal es 1a gama de voltajes dentro de la cual cada inversor se comporta como un am- plificador. Una ligera variaci6n del voltaje de entra- da por debajo de 2.5V, aproximadamente, (por ejemplo de 2.5V a 2.4 V}, provoca que la salida se haga alta (casi SV) y viceversa, En otras palabras, la realimentacién introducida por la resistencia de 560 ©, transforma, a cada in- versor, en un amplificador de alta ganancia, El ee centre los dos inversores, convertidos en am- lificadores, se realiza por medio del condensador be 0.01 LE La red de realimentacién, que determina la fre- cuencia de oscilacién, es el condensador C conec- tado entre la salida del reloj y la entrada del primer inversor. En este caso, el periodo T (en ms), es aproximadamente igual al producto RxC, estando R expresada en KO. y Cen pF. Por ejemplo, si R=5602=0.56 KQ y C=0.05 HE, entonces T=0.56x0.05 = 0.028 ms, 10 cual co- tresponde a una frecuencia (f) de 35 KHz, aproxi- madamente. th v.31 Relojes TTL controlados por cristal La estabilidad que puede ofrecer un oscilador RC como los anteriores es del orden de un 0.1%. Esto significa que por cada 100 Hz, la frecuencia de Ja sefial generada puede variar hasta 0.1 Hz con Tespecto a su valor nominal: Por ejemplo, si oscila KHz, la frecuencia real puede estar entre 99.9 Kz 100.1 KH. En algunas aplicaciones, esta precisién es su- ficiente y los circuitos de las figuras 313 y 314 son una excelente opcién, Si se desea una estabilidad mayor hay que recurrir, como elemento de reali- mentacién, a un cristal de cuarzo y no a un conden- sador. Esta situacién se ilustra en la figura 315. Reloj TTL controlado por cristal XTAL be oh El cristal de cuarzo (figura 316) tiene una com- osiicn quimica similar ala del vidrio. Un cristal le cuarzo se corta y se pule a unas determinadas dimensiones para que pueda vibrar a una cierta fre- cuencia, La frecuencia a la cual vibra un cristal depende, basicamente de su espesor , y es muy es- table, no siendo afectada por la temperatura y otros factores. Los cristales de cuarzo se tallan a partir de un cristal matriz.y su aspecto final es el de una lami- nilla muy delgada, de forma circular, cuadrada, rec- tangular o anular. Para su uso, se encierran en un blindaje metdlico del cual sobresalen los terminales de acceso, Es posible alterar la frecuencia original Cristal de cuarzo “ zs & x Co Estructura \ interna i Aspecto fico CS RS "Laihalnia c) Simbolo de un cristal limando cuidadosamente parte de su perfil, pero se come el riesgo de dejarlo inservible. Los cristales de cuarzo se utilizan en sistemas digitales, equipos de comunicaciones y otras aplica- ciones de la tecnologia electrénica. Para una mayor informacién sobre la teorfa de los cristales de cuar- zo y sus aplicaciones en el campo de las comuni- caciones, remitimos al lector al Curso de Radio AM- FM, Banda Ciudadana y Radioaficién de CEKIT. El cuarzo es un elemento piezoeléctrico. Lo an- terior significa que genera una seffal eléctrica cuan- do se somete a una tensién mecénica (presién) y que vibra, a una frecuencia particular, cuando se aplica una tensién eléctrica (voltaje) entre sus termi- niles. Este fendmeno se denomina efecto piezo- eléctrico. Desde el punto de vista préctico, un cristal de cuarzo es equivalente al circuito de la figura 316-D. Quienes estén familiarizados con filtros y otros cir- cuitos andlogos, reconoceriin que se trata de un tan- que resonante RLC de muy alto Q, aproximadamen- te igual a 10,000, y de muy alta estabilidad. Estos factores lo convierten en un elemento ideal para estabilizar circuitos osciladores. Los cristales de cuarzo se consiguen para fre- cuencias desde 10 KHz hasta 210 MHz. Los més, utilizados son los cristales de 100 KHz, 1.0 MHz, 2.0 MHz, 4,0 MHz, 5.0 MHz y 10 MHz. Uno de los cristales de mas fécil consecucién es el de 3.579545. MHz, utilizado para sincronizar los pulsos del oscilador que controla los colores en los televisores. Los relojes de pulsera de cuarzo (quartz, en inglés), utilizan un cristal que oscila a 32.768 KFiz, Si esta frecuencia se divide por 215 (32768), se obtiene una oscilacidn, muy estable, de 1 Hz. La frecuencia nominal de los cristales puede ajustarse, en un rango muy estrecho, mediante con- jensadores, de muy bajo valor, conectados en serie ‘en paralelo, Este es el propésito del capacitor de 50 pF en la figura 315. En la figura 317 se muestra un circuito prictico de oscilador TTL a cristal de 3.5 MHz, adecuado para aplicaciones de precisién. Relojes CMOS Los relojes CMOS se caracterizan por consumir ‘menos potencia y operar dentro de un rango de vol- tajes més amplio que los relojes TTL. Como en estos tltimos, también es posible usar Tas com- puertas CMOS como comparadores de nivel de vol- {aje o como amplificadores lineales de alta ganancia para_ generar la oscilacién. CEKIT: Curso préctco de electrénica digital 189 Reloj TTL controlado por cristal MA En la figura 318 se muestra el circuito CMOS mas comtinmente utilizado como generador de pul- sos de reloj. Est4 basado en inversores 0 en com- puertas conectadas como inversores. Las com- pucrtas SST més empleadas para este propésito son los circuitos imtegrados CD4001 (NOR) y 4011 (NAND). Se incluye también el diagrama de tempo- rizacién, Astable con compuertas CMOS ‘A.Circultopractico El circuito de la figura 318 es similar, en su es- tructura, al oscilador TTL de la figura 317, pero su frecuencia la determina un circuito RC y no un cristal. Dependiendo del estado de las salidas de los inversores Al y A2, el condensador C se cargard 0 descargara siempre a través de la resistencia R y los circuitos de salida de estas compuertas. En el instante de aplicar potencia al circuito, el condensador C se comporta como un corto circuito. Suponiendo que la salida de A2 es alta, la salida de AI serd, por consigniente baja. De esta manera el 200 Circultos equivalentes de cargay descarga condensador C se cargard a través de R y el circuito de salida de Ai (figura 319A). A medida que el condensador adquiere carga po- sitiva, se reduce el voltaje en el punto B. Cuando es- te voltaje se hace menor que VDD/2 (la mitad del vol- taje de alimentacién), el inversor A1 lo interpreta co- ‘mo una entrada baja'(VIL) y su salida cambia de es- tado, haciéndose alta. Esto provoca que la salida del inversor A2 (punto A) se haga baja, Bajo esta circunstancia, el condensador C pier- de sucarga y adquiere otra de sentido contrario, car- gindose a través de R y el circuito de salida de A2 Gigura 319-B). Cuando el voltaje sobre C alcanza un valor igual o mayor a VDD/2, la salida del in- versor Al cambia de estado, haciéndose baja, Lo anterior provoca que 1a salida del inversor A2 (punto A) se haga alta, reinicidndose el ciclo de oscilacién. La operacién del circuito se resume en el diagrama de temporizacién de la figura 318-B. La frecuencia de la sefial de salida depende de los va- lores de Ry Cy se evalia mediante Ia siguiente for mula: ES a Para que esta frmula sea valida y el circuito opere confiablemente, el valor de R no debe ser in- ferior a 1 KQ ni superior a 10 MQ. No se recomien- da que el valor del condensador C sea superior a 10 HE. Por ejemplo, si se utiliza una resistencia de 100 KQ yun condensador de 0.01 uF, entonces: f [KHz] = 1/ (2.2 x R(KO}xC{uF)) f tHiz] = 1/(2.2x10030.01) f= 0.45 KHz = 450 Hz Este valor de frecuencia esté en el rango audible de 20 Hz a 20 KHz, Si la salida de la compuerta A2 (punto A) se conecta a un amplificador de audio, el tren de pulsos presente en ese punto se reproducira en el parlante como un tono audible de 450 Hz. El cireuito de la figura 318 genera una onda cuadrada un tanto asimétrica (T1#T2) a causa de la construccién interna de las compuertas CMOS. Précticamente, todos los dispositivos de esta familia traen incorporada de fabrica una red de diodos que protegen cada entrada contra el dafio por descarga electrostética (ESD, ver leccién 2, pégina 25). Estos diodos de proteccién son los principales responsables de la falta de simetria en los periodos de carga y descarga del condensador. Para contra- rrestar su efecto, debe utilizarse una resistencia tra, como se muestra en la figura 320, La inserci6n de R2 garantiza la generacién de una onda cuadrada simétrica, es decir, con un ciclo de trabajo del 50%. ‘Astables CMOS de simotria mejorada "4060 ‘ion *Ra> Rt (10 veces) Se recomienda elegir R2 de tal modo que sea, como minimo, igual a diez (10) veces el valor de 1a resistencia de temporizacién (RI), Es decir: R2>10R1 La presencia de R2 no tiene efecto en la ope- racidn del circuito debido a la extremadamente alta impedancia de entrada que presentan las compuertas CMOS. La frecuencia de salida depende de los valo- res de R1-y de G, y se calcula, en forma aproxima- da, mediante la siguiente f6rmula (Ila misma del cir- culo de la figura 318): Los circuitos de las figuras 318 y 320 emplean Jas compuertas CMOS como comparadores de vol- ‘Astable CMOS lineal Trimer Fig. 321 taje. En la figura 321 se tiene un oscilador astable, conirolado por cristal, el cual utiliza una compuerta CMOS convertida en'un amplificador lineal de alta ganancia mediante una resistencia de 10 MQ conec- tada entre la entrada y la salida, La frecuencia de oscilacién la determina el cris- tal ubicado en la trayectoria de realimentacién y se puede ajustar en un rango limitado (1 KHz por MHz, tipicamente) mediante el condensador varia- ble (crimmer) C2. El circuito constituido por C1, C2 i el cristal se denomina una red pi, por analogia con la forma de esta letra griega (I). El inversor B se utiliza como buffer, evitando que el circuito extemo al cual va dirigida la sefial de salida de la compuerta A cargueexcesivamenteelos- cilador. Este circuito operamdseficientementecuan- do se opera con tensiones de alimentacién superio- res a4.5 V (por ejemplo, 9V). Relojes con compuertas Schmitt trigger Hay otra via para implementar circuitos de reloj usande compuertas CMOS: emplear una compuerta del tipo Schmitt trigger. Como sabemos, una com- puerta Sclndi criggr es un circuit digit que cam. ia de valores o estados tinicamente con voltajes de entrada muy especificos lamados umbrales. Designaremos el_umbral positivo como VTH y el negativo como VIL. Los valores de VTH y VL. dependen del voltaje de alimemtacién (+VDD) y va- rian ligeramente de un fabricante a otro. Por ejemplo, para el CD4093B de National, si +VDD = 5 V, entonces VTH = 3.3 V y VTL = 18 V. Del mismo modo, cuando +VDD = 10 V, enton- ces VIH = 6.2 V y VIL =4.1 V. As{ mismo, si +V= 15 V, entonces VTH= 9.0 Vy Wil = 63 V. Estos valores son tipicos. Suponiendo una tensién de alimentacién +V = 5 YV, Jo anterior significa que en la salida de la com- puerta Schmitr-trigger se produce un cambio en una direccién cuando la sefial de entrada es superior CEKIT. Curso prictco de elecrOnca digital 201 3.3 V (VTE) y un cambio en Ia direccién contraria cuando la sefial de entrada es inferior a 1.8V (VTL). La histéresis existente (VTH-VTL = 1.5 V) permite obtener una onda perfectamente cuadrada y limpia a partir de una sefial de entrada relativamente lenta. Las caracterfsticas generales de las compuertas Schmin-trigger se analizaron en la leccién 6. En la figura 322 se ilustra la forma de obtener un oscilador astable utilizando una de las cuatro compuertas NAND Schmitt -trigger de un circuito integrado CMOS 4093B. Una de las entradas (4), se emplea como linea de habilitacién del oscilador. La otra entrada (2), conectada a un circuito RC, ‘controla la accién del circuito. ‘Astable Schmitt trigger Cuando el interruptor $1 esté abierto, la entrada 4 recibe un alto a través de la resistencia de 10K y el oscilador opera normalmente. Cuando $1 se cie- ra, la salida del reloj se inhibe y adopta un estado alto permanente, El funcionamiento del circuito se puede comprender mds facilmente analizando su dia- sgrama de temporizacién (figura 322-B). En el momento de aplicar el voltaje de alimenta- cién VD, el eondensador C se encuentra descarga- do. Por esta raz6n, aplica un nivel bajo a la entrada 2.de la compuerta y su salida seré, por tanto, alta, El condensador C”entonces inicia su proceso de carga hacia un voltaje positivo a través de R. Cuando el voltaje del condensador (Vc) aleanza el nivel o umbral que a compuerta identifica como alto ( VTH=3.3 V, con VDD=5 V), la salida de esta iiltima cambia de estado y se hace baja. Bajo esta condicién, el condensador se descarga a través de R hasta aleanzar el umbral de voltaje que la compuerta identifica como bajo (VTL=1.8 V, con VDD = SV). Cuando esto sucede, 1a compuerta cambia nue- vamente de estado y su salida se hace alta, re-~ 202 pitigndose el mismo proceso. Asf, se obtiene en la salida del circuito una onda cuadrada asimétrica, de frecuencia constante, Para un determinado voltaje de alimentacién, el valor de esta frecuencia depende de los valores de R y C, y se evalia ast: En esta expresién, Ti es el tiempo de carga de Cl y T2el tiempo de descarga del mismo. El prime- 10 (T1) determina el ancho del pulso y el segundo (12) su intervalo. Los valores de Tl T2 se cal culan como sigue: 1. Perfodo: Tr=kixRxC T2 =kaxRxC Por tanto: T= Ti+ Ta = (kt+ ka)xRxC =k x Rx C siendo: k=kt+k2 Los valores de k1 y k2y k dependen del valor de Ia tension de alimeatacién VDD ¥y de los urbra- les de disparo VTH y VIL de la comipuerta. La tabla 17-2 relaciona algunos valores tipicos de estos fac- tores. Valores tipicos de K, Ki, K2 para el 40938 El siguiente ejemplo aclara el uso de las fOrmu- las anteriores. Ejemplo. Determine la frecuencia de oscila- cin y el ciclo de trabajo de un-reloj CMOS que utiliza una compuerta Schmitt-trigger 4093B, una resistencia de 10 K y un condensa- dor de 0.47 jLF. El circuito opera a partir de un voltaje de alimentacién de 5 Vy tiene la misma estructura bdsica de la figura 322. Solucién. En este caso, k1=0.61, K2=0.63 y k=1,24. Remplazando R=10 KQ y C=0.47 uF en la formula del periodo, obtenemos: T [ms] = kx R[KQ] x CLF] T [ms] = 1.24x10x0.47 T =5.83 ms La frecuencia correspondiente a este perio- do serd, por tanto: : §[KHz]= 1/TIms] = 1/5.83 = 0.17 KHz £=170 Hz __ Elcircuito oscila, entonces, a una frecuen- cia (audible) de 170 Hz, Como sabemos, el ciclo de trabajo (D) es simplemente la relacién porcentual entre el ancho de cada pulso (T1) y el periodo de la sefal, Es decir: D(%) = (T1/T) x 100 Puesto que TI=kIRC y T=kRC, entonces: D(%) = [(kKIRCY(KRO)] x 100 Simplificando el término RC y remplazan- do k1=0.61 y k=1.24, se obtiene: D(%) = (ki/k)x100 D = (0.61/1.24)x100 = 49% Este resultado indica que In onda obtenida es ligeramente asimétrica. Recuerde que para una onda siméirica, perfectarmente cuadrada, D=50%. En general, en todos relojes CMOS Schmitt-rigger que obedecen a la estructura de ia figura 399, TT, obteniendose necesaria. ‘mente una onda asimétrica. Relojes con compuertas buffer El uso de buffers constituye una de las mejores alternativas para obtener relojes CMOS ripidos y con buena capacidad de corriente. En la figura 323 se muestran dos configuraciones tipicas de oscila- dores buffer basados en el CI 4049B: un reloj de alta frecuencia y un reloj de propésito general. En este tiltimo caso, la frecuencia de salida 1 Zax Rxe Astable con buffer 4049B 1 A=Bacu, 5 4049 Relojes con el circuito integrado 555 E1555 es, sin duda, uno de los chips mas versé- tiles desarrollados hasta el momento para la gene- racién de tiempos. Usiliza tecnologia bipolar y es ‘compatible con légica TTL y CMOS. Opera con ten- siones de alimentacién desde 4.5 V hasta 18V y pue- cde manejar corrientes de salida hasta de 200 mA. En la figura 324 se muestra la forma de utilizar el circuito integrado 555 en el modo astable, es de~ cir, como generador de pulsos de reloj. La opera- cidn detallada de este circuito puede consultarse en Ia lecci6n 14 (paginas 157 a 160). Astable con 555 CEKIT- Curso préctico de electrinica digital 203 La frecuencia de salida depende de los valores de RA, RB y CT y se evaltia mediante la siguiente férmula: 1.44 CT x( RA + 2RB) Para que esta expresidn sea valida, el valor de RB debe ser menor de RA/2. Si no se cumple esta condici6n, el circuito no puede oscilar porque el vol- taje en el pin 2 (TRIGGER) del 555 nunca alcanzarfa el nivel de disparo (1/3 de Vee). El ciclo de trabajo (D) depende de los valores de Ray RBy secalcula asf: RAs RB BA+RB_y 400 RA+2RB D(% Si se desea una onda simétrica, los valores de Ray RB deben elegirse de modo que el ciclo de tra- bajo resultante sea D=50%. Esto puede lograrse, por ejemplo, utilizando una resistencia RA de valor ‘muy pequefio comparado con el de RB. La configuracién astable de ta figura 324 per- mite variar el ciclo de trabajo desde algo més del 50% (casi una onda cuadrada) hasta el 100% (onda continua). Si se pretende obtener ciclos de trabajo ‘menores al 50%, deben conectarse dos diodos entre Jos pines 7 y 6, como se indica en la figura 325. ‘Astable con 555 de ciclo de trabajo ampli El condensador CT se carga, ahora, solamente a través de RA porque el diodo D1 cortocircuita a la resistencia RB durante el tiempo de carga del con- densador. La descarga de CT se realiza a través de RB, tinicamente. En estas condiciones, el ciclo de trabajo del circuito de la figura 325 esté dado por: Austando adecuadamente Ios valores de RA y RB, el valor de D se puede variar entre un 0 y un 100%. Este tipo de circuito es muy utilizado para el control de velocidad de motores de corriente con- tinua, En algunas aplicaciones, como se veré mis adelante, se requiere variar el ciclo de trabajo de la ‘onda cuadraia pero manteniendo constante la fre- ‘cuencia, Esto se logra remplazando RA y RB por un Nenciémeto lineal, como se iusia en la figura 26. Las resistencias Ri y R2 se conectan en serie con el potenciémetro (R3), R1 limita la méxima co- mriente durante la carga de CT. La resistencia R2 eestablece un valor mfnimo para RB que compensa la existencia de RI. El circuito de 1a figura 327 permite generar un tren de pulsos de frecuencia variable, manteniendo constante el ciclo de trabajo. Las resistencias RA y RB se varfan simulténeamente utilizando un poter- cidmetro doble. - El ciclo de trabajo de la onda resultante es de aproximadamente 0%, SiRA y RB se mplementan ‘con un potenciémetro doble de 500K y CT es de 0.04 yi, el rango de frecuencias de oscilacién que puede obtenerse variard entre 40 Hz y 20 KHz, ola variable y ciclo fi + Veo Astable de fr Relojes con cireuitos integrados especializados Existen varios circuitos integrados, principal- mente CMOS, que pueden operar como genera- dores de pulsos de reloj. Excluyendo el 555 y todas sus versiones, uno de los mas populares es el 40478, un’ multivibrador —astable/monoestable CMOS de bajo costo que estudiaremos en la proxi- ma secci6n, Varios de los temporizadores estudiados al final de la lecci6n 16 pueden también programarse para operar como multivibradores astables. En la figura 328, por ejemplo, se muestra la forma de conectar el XR-2240 como reloj. La oscilacién se inicia aplicando un pulso de disparo al pin 11 (TRIGGER) y su frecuencia se calcula asf: ron ft 2eNXRTHCT En la formula anterior, N es la suma de los fac- tores de ponderacién de las salidas seleccionadas. Expresando RT en KQ y Cen pF, la frecuencia re- sulta en KHz, Por ejemplo, st RTSIKM, Cr-0.02 HF y se conectan las salidas 2T y 16T a la resistencia de pull-up RL, entonces N=2+16=18. Por tanto: f (KHz) =1(2x18x1x0.02) = 1.4 KHz Las oscilaciones se pueden cancelar en cual- quier momento aplicando un pulso positivo a la en- trada de reset (pin 10). La salida Q permanecerd al- ta hasta que se aplique un nuevo pulso de disparo. Para iniciar automaticamente las oscilaciones tan pronto se conecte la fuente de alimentaci6n, la entra- da TRG debe conectarse a la salida del regulador (pin 15), En 1a figura 329 se muestra un reloj a cristal de miltiples salidas basado en el cireuito integrado 4060B. Este chip, que analizaremos més detenida- mente en la leccién 24, contiene un oscilador CMOS, el cual maneja un contador binario de 14 tapas. La frecuencia de Ia sefial obtenida en cada salida se evalia como sigue: FN=Fo/2N Re * QNATCT Fig. 928 Al if Contador binario de 3 8 8 5 8 8 &. g g 6 2 8 8 En la expresiGn anterior, FO es la frecuencia del cristal y Nel ntimero de la salida de la cual se toma la sefial. Por ejemplo, si se utiliza un cristal de 32.768 KHz, la frecuencia de la sefial disponible en Ia salida Q8 (pin 14, N=8) sera: CEKIL- Curso prictico de electrénica digital 205 F 8 (KHz] = 32.768/28 = 32.768/256 F8 (KHz) = 0.128 KHz Fs = 128 Hz Observe que, aungue se trata de un contador de 14 etapas, no estin disponibles las salidas Qu, Q2, Q@ 11. Las oscilaciones se pueden cancelar en cualquier instante aplicando un nivel alto a la entrada de reset (pin 12). El 4060B puede trabajar ‘con tensiones de alimentaci6n desde 1V hasta 15V y frecuencias hasta de 10 MHz. En lugar de un cristal, puede también utilizarse un circuito RC, como se muestra en la figura 330. La resistencia R2 hace la frecuencia independiente de las variaciones en Ia fuente de alimentacién. La frecuencia fundamental de oscilacién depende de los valores de Ri y C, y se evaliia mediante la si- guiente formula: 1 fea oeeiaek aoe) 2.2xRIKC Reloj de multiples salidas RC. con 4060 R2=10Rt Rt+50K;C>1000pF |I0= S>5AaG. Para que la expresién anterior sea vilida, R2 debe ser diez veces mayor que R1 (R2=10R!). El valor de Ri debe ser superior a 50 KQy y el de C superior a 1000 pF. Por ejemplo, si RI=68KQ y C=0.01 UF, entonces R2=10R1 = 680 KQ. Por tanto: Fo (KHz}= 1/(2.2x68x0.01) = 6.6845 KHz Bajo estas condiciones, la frecuencia de la sefal obtenida en la salida Qé (pin 7, N=4) sera: Fa{KHz) = FO/2N = 6.6845/24 = 6.6845/16 F4=0.42 KHz = 420 Hz 206 El circuito integrado 4047B El 4047B (figura 331) es un dispositive CMOS muy versdtil que puede programarse para operar como multivibrador astable o como multivi- brador monoestable redisparable o no redisparable, Enel modo monoestable, el disparo puede efectuar- se por flancos de subida o por flancos de bajada, Trabaja con ensiones de alimentacin entre 4.5V y 18V. El circuito integrado 4047B hg pA A.Diagramade bloques 14 Yoo En todos los casos, debe conectarse externamen- te un condensador entre los pines 1 (C) y 3 (R/C) y una resistencia entre los pines 2 (R) y 3 (R/C). El voltaje de alimentacién se aplica entre los pines 14 (VDD) y 7 (GND). Los otros terminales cumplen funciones especiales dependiendo del modo de ope- racién, En la figura 332 se indica la forma de utilizar el 4047B en el modo astable, es decir, como gene- rador de pulsos de reloj. Este modo de operacién se habilita situando un nivel alto en la entrada ASTA- BLE (pin 5) 0 un bajo en la entrada ASTABLE (pin 4). El circuito suministra tres seftales diferentes en las salidas Q (pin 10), Q (pin 11) y OSC (pin 13). ‘Astable basico con 40478 Las sefiales obtenidas en las salidas Q y Q son complementarias, es decir, mientras la una esté en el estado inestabie alfo, la otra esté en el estado inestable bajo y viceversa. La frecuencia de estas sefiales depende de los valores de R y C y se evaliia en forma aproximada asf: 1 foe aS Estas sefiales son simétieas, lo cual implica que tienen un ciclo de trabajo (D)' del 50%. La serial obtenida en la salida del oscilador intemo (pin 13) no es necesariamente simétrica y su frecuencia se evaltia mediante la siguiente formula aproximada: 1 fete ea (22x RxC) La disponibilidad de las entradas ASTABLE (pin. 5) y ASTABLE (pin 4) permiten utilizar el dispositi- Yo como un oscilador gatillado o controlado. Esta situacién se ilustra en la figura 333. Una alto en la linea ASTABLE habilita Ja oscilacién y un bajo la inhibe. La Ifnea ASTABLE opera en forma contraria, En la figura 334 se ilustran las formas de uti- lizar el 40478 como monoestable no redisparable. Para disparar el dispositivo por flancos de subida, ‘stables gatiliados con 40478 +VDD (V-18V) +VDD (sv-18V) la entrada TRG" (pin 6) debe hacerse baja y la sefial de disparo debe aplicarse a la entrada TRG* (pin 8). Para dispararlo por flancos de bajada, 1a entrada TRG* (pin 8) debe hacerse alta y la sefal de disparo debe aplicarse a la entrada TRG (pin 6). Wonoestables no redisparables con 4047B A.Disparoporfiancos _B. Disparoporflancos de bajada En la figura 335 se ilustra la forma de util 4047B como un multivibrador redisparable activado por flancos de subida. La seital de redisparo se aplica al pin 12 (RETRIGGER), Si esta entrada se mantiene alta todo cl tiempo o recibe un tren con- tinuo de pulsos, el 4047B permanece redisparado indefinidamente. En todos los circuitos anteriores, se recomienda, utilizar un condensador no polarizado de bajas pér- CEKIT- Curso préctico de electronica digital 207 ‘Monoestable redisparable con 40478 [rns = 2.48C Fig. 335 didas. Para una mayor estabilidad, el valor de C debe ser superior a 100 pF en el modo astable y superior a 1000 pF en el modo monoestable. En ambos casos, el valor de R debe mantenerse entre 10KQy 1 MQ. CIRCUITOS DE APLICACION Lagama de circuitos que pueden implementarse con circuitos de reloj es muy amplia, Estudiaremos acontinuacién algunas aplicaciones bisicas y tiles con el fin de clarificar los conceptos expuestos. Des- cribiremos, entre otros, los siguientes proyectos: + Probador audible de continuidad * Generador de timbre momenténeo + Destellador luminoso para proteccién de torres + Control de velocidad de un motor DC por PWM. * Generador de reloj para microprocesador + Detector de luz y sombra + Generador sonoro de tres estados + Probador audible de temperatura Probador audible de continuidad Una onda cuadrada es un tono que si se ampli- fica puede ser escuchado y utilizado para sefalizar cualquier tipo de acciés, El 555 es ideal para generar tonos ubicados en la banda audible de 20 Hz hasta 20 KHz. En la figura 336 se presenta un oscilador astable que emite un tono audible cuando hay continuidad entre las puntas de prueba. La salida del oscilador se conecta a la base del transistor que hace las veces de amplificador de po- tencia. Este transistor trabaja en corte y saturacién segtin los estados del astable. Si la resistencia R1 no esta conectada al Vcc, porque no hay conti- nuidad entre las puntas de’ prueba, el condensador no puede cargarse y, por tanto, no hay oscilacién ni tono audible. 208 Probador audible de continuidad (a1: 2N3904 c2 001 uF Cuando hay continuidad entre las puntas, el circuito se configura como el oscilador con 555 explicado anteriormente. El transistor amplifica, en corriente, ésta sefial para que el parlante emita el tono indicativo de continuidad. Generador de timbre momenténeo En algunas aplicaciones se requiere generar un tonoo timbre transitorio como respuesta ala accién deun pulsador o interruptor. Este tono se utiliza am- pliamente en los sistemas de teclado para responder al usuario que se ha aceptado la activacién de una de las teclas. El circuito de la figura 337, construido alrede- dor de un astable con 555, genera una onda cua- drada audible, durante un lapso de tiempo, después de pulsar el interruptor $1. El circuito utiliza un amplificador de corriente con transistor y una red de temporizacién (Rd, RS y C2). Esta tiltirna mantiene en alto la seiial de reset dei 555 durante un corto tiempo. Generador de timbre momentaneo Cuando se pulsa $1, se carga C2 y se desactiva la seftal de reset del 355. Al liberar S1, el con- densador se descarga a través de S2, activando la se- jial de reset en bajo después de un tiempo. Cum- plido este lapso, la sefial audible desaparece. Circuito destellador luminoso para proteccién de torres La figura 338 muestra otra aplicaci6n de los cir- cuitos astables 0 generadores de onda cuadrada. En esta ocasién, la oscilacién entre alto y bajo se em- plea para que una sefial luminosa se active y desac- tive constantemente, El circuito de la figura 338 activa, por medio de optoacopladores y triacs, dos Jémparas de 110 V/1000 W con el fin de que’sean observadas desde distancias largas. Destellador tuminoso de potencia Es interesante observar, en el circuito, la forma de conectar los LED de los optotriacs sin recurrir a inversores para implementar el hecho de que cuan- do una Kimpara esti en ON la otra debe estar en Control de velocidad de un motor DC usando PWM Usualmente, la velocidad de un motor DC se controla por medio de una resistencia variable en serie con la armadura del motor. Esta resistencia asume una cafda de tensidn con ei fin de reducir el voltaje aplicado al motor y, asf, lograr cambiar la velocidad (figura 339). El método anterior tiene una desventaja seria: disipa potencia eléctrica en forma de calor en la resistencia, Este gasto de energia es considerable en sis- temas alimentados con baterias 0 en sistemas por- tatiles que no pueden alojar transformadores de fuente de alimentacién voluminosos. Los circuitos de reloj ofrecen una solucién muy elegante al contro! de velocidad de motores D.C. Rcontrol de velocidad Motor Un multivibrador astable, de frecuencia cons- tante, pero de ciclo de trabajo variable, utilizado co- mo alimentacién de la armadura del motor, genera un voltaje DC variable que, al mismo tiempo, hace que la velocidad se pueda variar sin necesidad de recurrir a los antiguos métodos de alto consumo de potencia. En Ia figura 340 se tiene un circuito de control de velocidad de motor DC. El astable, de ciclo de trabajo variable, se usa para conmutar la fuente de alimentacién al motor entre Vee y 0 voltios. Cuando se desea que el motor gire a velocidad lenta, se programa al astable para que el ciclo de trabajo ten- 20 un tiempo de ON corto. Control de velocidad por PWM Para altas velocidades, el tiempo de ON debe ser mayor que el tiempo de OFF. La naturaleza in- ductiva del motor hace de filtro pasabajo yy extrae el valor promedio de la onda cuadrada resultante (fi- gura 341), El sistema de control descrito se conoce, en la literatura técnica, con el nombre de Control por Modulacién de la Anchura de los Pulsos (PWM: Pulse Width Modulation). CERIT- Curso préctco de electronica digital 200 Detector de luz y sombra ‘Veo (SV - 15V) Vi: Vottajo del motor Observe, también, que la implementacién de este tipo importante de estrategia se logra con un Circuito oscilador de ciclo de trabajo variable y fre- cuencia constante. Generador de reloj para microprocesador Unmicroprocesadores un sistema digital quere- quiere, entre otras cosas, una sincronizacion de tiempos muy exacta. Sin un método de reloj pre- iso, lacircuiteria del microprocesador es errética y los resultados de sus miiltiples aplicaciones serian poco confiables. En los microprovesadores se utili- za, como reloj, un oscilador astable implementado con compuertis TTL y controlado por cristal de cuarzo, La razén por a cual s¢ usan cicuitos TTL en lugar de CMOS, esque la frecuencia de Flo) de los microprocesadores es alta, por lo general 1 MHz, MHz, 12 MHz, etc. En la figura 342 se muestra un circuito de reloj para microprocesador tipico. El astable, conforma- do por los inversores TTL, oscila a la frecuencia del cristal 3.5795 MHz. Entre el astable y la entrada al microprocesador se coloca un multivibrador bies- table 0 flip-flop (Ieccién 19) con el fin de dividir la frecuencia. La frecuencia resultante es de 1.79 MHz. Reloj para microprocesador de 1.79 MHz Farsta” 74LS04 Reloj dat microprocasador. ras 210 +: Al ampliicador de audio (Kit CEKIT K 14) Fig, 343 Detector de luz y de oscuridad El circuito de la figura 343, desarrollado alrede- dor de un astable con 555, opera como un detector de luz o de sombra, dependiendo de la posicisn del interruptor $1. Utiliza una fotocelda o LDR conven- cional de sulfuro de cadmio (CaS) como sensor de luz y un parlante para convertir los pulsos del as- table en un tono audible. En condiciones de sombra, la resistencia de la LDR es alta. Si $1 estd en la posicién "LUZ", el pin 4 (RESET) del 555 recibe un bajo y no hay'pulsos de salida. Cuando incide luz, la resistencia de la LDR disminuye, el pin 4 del 555 recibe un alto, el oscilador se habilita y en el parlante se escucha un tono, indicando la presencia de luz. Con $1 en Ia posicién "SOMBRA" el circuito opera en forma contraria. La frecuencia del tono se puede modificar variando Ri, R2 6 Cl, Para ob- tener mayor volumen, puede acoplar la salida de pul- sos (pin 3) a_un amplificador de audio, por ejem- lo, el fis CEKIT K14 ver figura 304, pina 89), Para detectar solo luz 0 soma, puede sup mir S1. A pesar de su simplicidad, este circuito tiene muchas aplicaciones titiles. Por ejemplo, puede ser- vir como alarma para anunciar cudndo la puerta de una nevera est abierta 0 cuando un objeto o una persona interrumpe un rayo de luz, Con una interfa- cede potencia adecuada, puede iluminar una kimpa- ra cuando cae la noche y apagarla cuando amanece. Generador sonoro de tres estados El circuito de 1a figura 344 utiliza las dos sec- ciones de un circuito integrando 556 trabajando jenerador sonoro de tres estados como astables para generar tres sonidos diferentes: continuo, rasgado (burst) y modulado. El sonido d seado se elige mediante el interruptor $1 y su fre- cuencia se controla mediante el potenciémetro R1. El primer oscilador, formado por Ri, R2, Cl € ICI-A, produce un tren de pulsos de baja frecuen- cia, EI segundo, formado por R4, R5, C2 ¢ IC2-B, produce un tren 'de pulsos de alta frecuencia. La sa lida del primer astable (pin 5) controla la operacién del segundo. La salida de este tltimo (pin 9) impul- sa-el parlante, El potenciémetro R1 controla la frecuencia del primer astable. La frecuencia del segundo astable es fija y la determinan los valores de R4, RS y C2. El vyolumen del tono seleccionado puede mejorarse co nectando un amplificador de audio (kit CEKIT K14 similar) al pin 9. Con $1 en la posicién 1, el pin 1 queda conec- tado a la entrada de reset (pin 10) del segundo osci- lador. Este iiltimo se conecta y desconecta al ritmo impuesto por el primer oscilador. En el parlante se escucha un tono rasgado, es decir un sonido que se repite peridicamente, a intervalos regulares, en for- ma de réfagas (burst). Con $1 en la posicién 2, el pin 5 queda al aire y el segundo oscilador opera libremente, entregan- do un iren de pulsos de frecuencia constante por el pin 9. En el parlante se escucha un tono continuo. Con $1 en la posicién 3, el pin 5 queda co- nectado a los pines 8 y 12. Las sefiales de los dos osciladores se mezclai y en el parlante se escucha tun tono mixto o modulado, es decir, un sonido de frecuencia variable. Al mover R1, la rapidez con la ccual se sucede la mezcla de ambos tonos varia. Probador audible de temperatura La figura 345 muestra el circuito de un probador audible de temperatura desarrollado alrededor de un multivibrador astable 4047B. E] sistema utiliza co- mo sensor de temperatura un termistor en contacto térmico con el punto de medida. La frecuencia del tono escuchado en el parlante aumenta cuando au- ‘menta la temperatura y viceversa. Un termistor (figura 346) es un dispositivo semi- conductor cuya resistencia cambia con la tempera- tura. Existen dos clases generales de termistores: los PTC 0 de coeficiente positivo y los NTC o de Coeficiente negativo. Estos iltimos son los mas co- Probador audible de temperatura Amplificador de audio CEKIT- Curso préctico de electronica digital 244 Simbolos Aspecto fisico munes, En ellos, la resistencia disminuye cuando aumenta la temperatura y viceversa, Los termistores se especifican generalmente por su resistencia nominal a 25 °C. En la tabla 17-3 se relacionan algunos valores tipicos de resistencia que adopta un termistor de 30 KQ en el rango de tem- peraturas comprendido entre -30° Cy 100 *C. Estos valores varian de acuerdo al fabricante. La frecuencia de salida del circuito de Ia figura 345 se evaliia mediante la siguiente formula: 1 1 Fei 22x (RA+AT)xC 22xRBxC En esta expresin, RT es la resistencia del termistor a la temperatura de trabajo. Por ejemplo, a OC, RT=95K; a 25°C, RT=30 KO; a 70° C, RtT~5.4 KQ; etc. Con los valores de RA, RB y C suministrados en la figura 345, a OC se escucharé un tono de 100 Hz, a 35°C uno de 215 Hz y a 70* Cuno de 440 Hz, aproximadamente. T: Temperatura de trabajo RT; Resistencia del termistor Tabla 17.3 Construccién del médulo légico sin rebote. Primera parte El méiulo 3 de nuestro entrenador digital (igu- ra A21) es un circuito que suministra dos pulsos complementarios, libres de rebote, cuando se opri- me el pulsador $1. incorporado. ‘Se utiliza para enerar manualmente sefiales de control dirigidas a ip-flops, contadores, registros, memorias, etc, El médulo incluye también un inversor auxiliar entre los pines #1 (A) y #2 (A), el cual puede uti- lizarse para complementar sefiales légicas externas. La tensién de alimentacién se aplica entre los pines 48 (+V) y #7 (GND), Normalmente, la salida Q (pin #6) es de nivel bajo y la salida 6 (pin #5) es de nivel alto. Cuan- do se oprime S1, Q se hace alta y Q se hace baja. Al liberar S1, Qy retornan a sus estados origi- nales. La entrada INEXT (pin #4) permite eliminar el rebote de interruptores extemos. A partir de la proxima actividad iniciaremos el ensamble paso a paso de este médulo. 212 Leceién 18 El PLL digital + Introduccién 1 Que es un PLL + Elcircuito integrado 4046B + Experimento N* 20. Operacién de un oscilador controlado por voltaje (VCO) . « Sistema PLL completo con 4046B. + CIRCUITOS DE APLICACION + Generadores de tonos + Detector de tonos + Sirena electronica + Sintetizador de frecuencia : a de pulsos de frecuencia modulada ) + Revolver espacial Introduccion El lazo de amarre de fase 0 PLL (Phase-Locked Loop) es un dispositivo digital exiremadamente ver- s4til que se utiliza para la generaci6n de seffales de pulsos y una gran variedad de aplicaciones de con- tol de frecuencia, Entre estas tltimas sobresalen las siguientes: Modulacién y demodulacién de frecuencia. + Decodificacion de tonos. + Multiplicacién de frecuencia, + Acondicionamiento de sefiales. + Sincronizacién de sefiales de reloj. + Sintetizacién de frecuencias. + Conversisn de voltaje a frecuen« + Control de velocidad de motores. En esta lecci6n estudiaremos Jos principios de coperacién del PLL digital, haciendo especial énfasis ¢en su utilizacién como oscilador controlado por vol- taje 0 VCO. Analizaremos en detalle el circuito i tegrado 4046B, el més representativo de todos los, PLL’s digitales,y lo utilizaremos en varios circuitos de aplicacién, tanto bésicos como avanzados. Qué es un PLL Un lazo de amarre de fase o PLL (Phase-Locked Loop) es un sistema de control de frecuencia cons- tituido por un comparador o detector de fase, un filtro pasabajos y un oscilador controlado por vol- taje 0 VCO, interconectados como se indica en la figura_347. El sistema opera, en términos genera- les, como sigue: En condiciones normales, sin sefial de entrada, los voltaje de salida del comparador de fase (Ve) y del filtro pasa-bajos (Vd) son iguales a cero y él Convenciones trada, IN’ Entrada de seal Ve Sefal de error 2 Entrada de Vd Voltaja de control dol VCO comparacién Vo Seal de salida del VCO Fig. 247 CO oscila a una determinada frecuencia (Fo). Esta frecuencia se denomina frecuencia central y la de- termina, generalmente, un circuit RC extemo. Cuando se aplica una sefial de entrada, el com- parador de fase compara la frecuencia de esta iltima (Fs) con la del VCO y genera un voltaje de salida cuya magnitud es proporcional a la diferencia de frecuencia entre las dos sefiales. Este voltaje se de- nomina voltaje de error y controla, a través del filtro pasabajo, la frecuencia del VCO. Como consecuencia de la existencia de un voltaje de error, se inicia un proceso de captura altamente complejo que tiene por objeto enganchar la fre- cuencia del VCO con la de la sefial de entrada, es de- cir, hacerlas iguales. Para que esto suceda, la fre- cuencia de la sefial de entrada debe estar dentro del rango de captura del PLL. El rango de captura es una banda de frecuencias alrededor de la frecuencia central del VCO dentro de la cual el PLL puede engancharse con una seftal de gnirada. Por ejemplo, si la frecuencia central del VCO es de 100 KHz y se aplica una sefial de entrada de 150 KHz, el VCO podré engancharse con esta iltima s6lo si el rango de captura del PLL es superior a +50 KHz, Una vez, enganchado un PLL, la frecuencia del VCO serd idéntica a la de la semtal de entrada y el vol- taje de error serd igual a cero, Si, por alguna cir- cunstancia, la frecuencia de entrada cambia, el VCO CEKIT- Curso pricico de electronica digital 213 variard automiéticamente su frecuencia hasta conse- guir el enganche, Esto serd posible mientras la nuc- va frecuencia se mantenga dentro del rango de en- ganche del PLL. El rango de enganche es una banda de fre- ‘cuencias alrededor de la frecuencia central del VCO dentro de la cual un PLL puede permanecer en- ganchado con una sefial de entrada previamente cap- turada. Por regla general, el rango de enganche es siempre mayor que el rango de captura. Si, por ejemplo, el VCO, operando a una fre- cuescia central d¢ 100 KHz, ha logrado engan- charse con una sefial de 150 KHz y la frecuencia de esta Gltima cambia repentinamente a 250 KHz, el re- enganche con esta nueva frecuencia sélo podré ga- rantizarse si el PLL tiene un rango de enganche su- perior a +150 KHz. Una vez comprendido el principio de funciona- miento de un sistema PLL clisico, analizaremos, en la siguiente seccién, como opera un PLL digital. Tomaremos como ejemplo el circuito integrado 4046B, el més representativo de los PLLs digitales. El circuito integrado 4046B El 4046B es un PLL digital que contiene, en una misma cépsula DIP de 16 pines, dos comparadores de fase, un oscilador controlado por voltaje (VCO), un amplificador de entrada y un seguidor de voltaje. El primer comparador (PC1) se conoce también como detector de fase de bajo ruido y el segundo (PC2) como detector de fase de banda ancha. En la figura 348 se muestra el diagrama interno de bloques y la distribucién de pines de este im- orunte chip, identificado bao as referencias MC 4046B de Motorola y CD4046B de RCA y National, entre otros fabricantes. EI PLL 4046B puede operar con tensiones de ali- mentaci6n desde 3V hasta 18V y se caracteriza por su bajo consumo de potencia. Esta tltima es una consideracién importante en equipos operados por baterfa, El voltaje de alimentacion se aplica entre los pines 16 (Vpp) y 8 (Vss 0 GND). Los dos comparadores comparten las mismas If neas de entrada. La sefial de entrada se aplica en el pin 14 (SIGNAL IN) y la sefial de comparacién (pro- veniente del VCO 0 de un divisor de frecuencia ex- temo) se aplica al pin 3 (COMP IN). La salida del primer comparador es el pin 2 (PCI OUT) y la del segundo el pin 13 (PC2 OU). La disponibilidad de dos salidas de comparacién independientes permite que el usuario pueda selec- cionar cualquier comparador para una aplicacion es- pecffica. Lo tinico que tiene que hacer es conectar la lida del comparador elegido ala entrada del VCO a través de un filtro pasabajo. De este modo se con- figura un sistema PLL basico. PLL digital 4046B A Distribuciondepines PHASE PULSES Por OUT, v 5p comp in ZENER 0. OUT. QNAL IN INHIBIT Po2ouT B.Diagrama interno debloques Jyi— GND cra R2 a At 3] DEMOD OUT veo IN 10. pEMOD OUT SF. Seguidor de voltaje (source follower) & Amplticador de entrada El primer comparador (PC1) es, simplemente, una compuerta OR exclusiva. Se caracteriza por st alta inmunidad al ruido, pero requiere de seftales de entrada simétricas para obtener un buen rango de captura, Ademés, tiende a engancharse con seftales de entrada cuya frecuencia es un miltiplo entero de Ja frecuencia central del VCO. Por ejemplo, si la frecuencia central del VCO es F0=100 KHz, el comparador PCI tender a en- gancharse con sefiales de entrada de 100 KHz, 200 KHz, 300 KHz, y asf sucesivamente, Estas fre- cuencias se denominan arménicas. Sin sefial de en- trada, este comparador suministra un voltaje prome- dio de salida igual a VDD/2. El segundo comparador (PC2), realizado a base de ‘ipdors y, compuertas de control, es més sus- ceptible al ruido pero tiene un rango de captura mu- cho mis amplio, acepta sefiales de entrada asi- métricas, por ejemplo, pulsos muy estrechos, y no presenta’ el problema arménico del primer com- parador. Por estas razones, es el mds utilizado. Este comparador entrega en su salida (pin 13) un nivel alto cuando la frecuencia de entrada es més alta que la del VCO y un nivel bajo en el caso con- trario. Si las dos sefiales tienen la misma frecuencia y la misma fase, la salida de control PHASE PULSES (pulsos de fase, pin 1) se hace alta, Esta in- formacién se puéde utilizar para indicar a los cir- cuitos externos que el PLL esté enganchado, Por cuestiones précticas, la entrada de los compa- adores de fase (COMP IN, pin 3) debe ser manejada por una sefial digital répida y bien definida, El am- plificador interno conectado a ese punto no opera eficientemente cuando recibe seffales de entrada muy lentas 0 con niveles altos y bajos de voltajes muy alejados de VDD y OV, respectivamente, Recuerde que en CMOS, un nivel bajo corres- ponde a un voltaje entre OV'y el 30% del voltaje de alimentacién (VDD) y un riivel alto a un voltaje entre el 70% de VDD y el 100% de VDD. Una sefial de entrada por fuera de estos rangos afecta la sen- sibilidad del sistema e introduce ruido, Una solucién al problema de disponer de pulsos de baja amplitud es utilizar una resistencia de pull- de 10 KO entre el pin 3 y +VDD para levantar el nivel alto de la sefial de entrada, Esta iiltima se wuede acoplar al pin 3 través de un condensador de .1 LF. Si la seftal de entrada es muy lenta, debe acondicionarse previamente utilizando, por ‘ejem- plo, una compuerta Schmitt-rrigger. El VCO produce en el pin 4 (VCO OUT) una onda cuadrada simétrica cuya frecuencia depende de un voltaje de control aplicado al pin 9 (VCO IN) y de los valores de un condensador y de dos resistores externos conectados a los pines 6 (C1A), 7 (CIB), 11 (Ri) y 12 (R2). La operacién del VCO se puede inhibir aplicando un alto al pin 5 (INHIBIT). La sefial de entrada del VCO se puede monitorear en el pin 10 (DEMOD OUT), es decir, a la salida del buffer 0 seguidor de voltae intemo. El propésito de esta etapa es permitir que el filtro pasabajo externo pueda manejar amplificadores y otros circuitos sin Cargarse excesivamente. Se utiliza especfficamente en aplicaciones de demodulacién de frecuencia, La salida del VCO (pin 4) se puede conectar direc tamente a la entrada de los comparadore’s de fase (pin 3) 0a través de un divisor de frecuencia, como se muestra en la figura 349. El filtro pasabajo se im- plementa externamente mediante un circuito RC co- nectado entre la salida del comparador (pin 2 6 13) y la entrada del VCO (pin 9). Sistema PLL con divisor de frecuencia Sohal do entrada Sohal de salida Fout = FinxN Finalmente, el PLL 4046B pose internamente un diodo Zener de 5.2V/50 WA conectado entre el pin 15 (ZENER) y tierra, Este dispositivo se destina para aplicaciones de regulacién de voltaje y su uso es opcional. Antes de analizar cémo opera un sistema PLL digital completo con 4046B, es importante que nos familiaricemos con la forma como tabaja el osci- lador controlado por voltaje (VCO) interno. En el si- guiente experimento exploraremos intuitivamente este concepto, construyendo un generador audible de voltaje a frecuencia, El VCO del 4046B es una de las versiones mas econdmicas, versétiles y eficientes de este tipo de circuitos disponible actualmente, Ademis de ciertas ccaracteristicas intrinsecas (por ejemplo, una muy alta impedancia de entrada), puede operar por enci- made 1 MHz y engancharse con frecuencias de en- trada en un rarigo de 106 a 1 (un millén a uno). CEKIT- Curso practico de electronica digital 215 Peg ASHI Operaci6n de un oscilador controlado por voltaje (VCO) Objetivos + Analizar la operacién del VCO interno del PLL 4046B construyendo un sencillo convertidor audi- ble de voltaje a frecuencia, + Aprender a calcular las frecuencias mfnima, cen- tral y maxima de trabajo del VCO. + Familiarizarse con la utilizacién del PLL 4046B. Materiales necesarios 1 Circuito integrado 4046B (PLL digital). IC1 1 Condensador de 0.01 UF (103). CT 1 Potencidmetro de 1 MQ. RP. 1 Potenciémetro de 100 KQ. R1. 1 Resistencia de 1 KQ. RB. 1 Resistencia de 10 K. Rs. 2 Transistores 2N3904. Q1, Q2. 1 Parlante de 8 £2/0.25 W. SP1 1 Pila alcalina de 9V con conector. VDD. 1 Protoboard. 1 Amplificador de audio (kit CEKIT K14). Opcional Puentes de alambre telefnico #22 6 #24, Aspectos practicos previos. El VCO del PLL 40468 Un oscilador controlado por voltaje 0 VCO (figu- ra E33) es un circuito que produce una sefial de sa lida, generalmente una onda cusdrada, cuya fre~ cuencia es proporcional al valor de un voitaje de CC aplicado en su entrada de control. Es decir, un VCO esun convertidor de voltaje a frecuencia. En condiciones normales, un VCO opera a una frecuencia especifica, llamada frecuencia central Oscilador controlado por voltaje Frecuencia de salida (Fo), cuyo valor Jo establecen una red RC externa y un voltaje de control tomado como referencia. Este iltimo, en el caso del VCO del PLL 4046B, es igual a la mitad de! voltaje de alimentacién (VDD/2). En la figura E34 se muestra la forma de utilizar el PLL 4046B como oscilador controlado por voltaj La tensién de alimentacién (3V a 18V) se aplica er tre los pines 16 (VDD) y 8 (GND). La entrada de control es el pin 9 (VCO IN) y la salida de sefial es el pin 4 (VCO OUT), El uso de la resistencia R2 es opcional, como se explicaré més adelante, VCO con PLL 4046B fe contro! co Seal de salida El pin 5 (INHIBIT) acta como linea digital de in- hibicién, Cuando esta entrada es baja (0), el VCO opera en forma normal, es decir, entrega una sefial en la salida (OUT, pin'4). Cuando la linea de in- hibicién es alta (1), el VCO se inhibe y la salida es un nivel bajo permanente. La linea de inhibicién se utiliza, principalmente, para reducir el consumo de potencia del chip en Condiciones de reposo (stand-by), El consumo de potencia del PLL 4046B depende fundamentalmen- te de la frecuencia del VCO. A 10 KHz, por ejem- plo, este consumo es del orden de 600 uW. Con la linea de inhibicion activa, el consumo es minimo. El voltaje de control se aplica al pin 9 (VCOIN) y puede variar desde OV (GND) hasta el valor de la fuente de alimentacién (VDD). En el primer caso, 1a frecuencia de la sefial de salida obtenida en el pin 4 (VCO OUT) es minima y en el segundo es méxima. Las frecuencias méxima y minima de operacién dei ‘VCO se evaliian mediante las siguientes férmulas: = R2(C1+32 pF) 1 tmx =___1_. Rit (C1 +32pF) En estas expresiones, fmin es la frecuencia del VCO cuando el voltaje de contro! aplicado al pin 9 es igual a OV y fmax la frecuencia del mismo cuando este voltaje es igual al valor de la fuente de alimentacién (VDD). Para que el VCO opere adecua- damente, los valores de Ri y R2 deben estar entre 10K y 1 My el de Cl entre 100 pF y 0.01 uF. Por ejemplo, si C1=0.001 uF (1000 pF), RI=100 KQ.y R2 = 1 MQ, entonces: Fmnin = 1/(1x106x(0.001x10-6432x10-12)) Frnin = 970 Hz Findx = 1/(100x103x(0.001x10-+32x10-12)) +970 Fynix = 11 KHz. Por tanto, con los valores de componentes dados, la frecuencia del VCO se puede variar entre 970 Hz y 11 KHz, aproximadamente. Si se elimina R2 y se Geja el pin 12 al aire (R2=%, infinita), la frecuencia minima es précticamente igual a 0 Hz y la méxima a 9.7 KHz, Es decir: tmin= oz 1 1 (C1 +32 pF) Estas formulas, y las anteriores, no deben consi- derarse como reglas absolutas, sino como apro- ximaciones a los valores reales. Los valores exac- tos de Ri y R2 necesarios para cumplir determina- dos requisitos de disefio deben encontrarse expe- rimentalmente, utilizando potencimetros, y pueden llegar a ser hasta 4 veces superiores o inferiores a los calculados. El VCO del 4046B opera a la frecuencia central (Fo) cuando el voltaje de control pelicadn al pin 9 es igual a VDD/2 y se calcula, en forma aproxima- da, mediante la siguiente formula: Fon Améx {min Continuando con nuestro ejemplo, fmax=11 KHz y fin = 970 Hz, Por tanto: Fo = (970 + 11x103)/2 = 5985 Hz = 6 KHz Si R2=00 (pin 12 desconectado), la frecuencia cen- tal serd, simplemente, la mitad de la frecuencia mé- xima. Es decir: La resistencia R2 se denomina resistencia de offset y se utiliza cuando se desea desplazar la fre- cuencia mfnima del VCO a un valor por encima de 0 Hz. Su uso, por tanto, es opeional. Otra caracterfstica importante del VCO del PLL 4046B es su extremadamente alta impedancia de en- trada, la cual es del orden de 10!2 © (jun millén de MQ). Esto permite que el pin 9 pueda ser contro- lado ‘por sefiales débiles, es decir, por fuentes de alta impedancia, por ejemplo, una fotocelda. Para monitorear externamente el voltaje de con- trol, y no cargar la fuente de sefial, el VCO del 4046B posee un seguidor de voltaje 0 buffer conec- tado entre los pines 9 (VCO IN) y 10 (DEMOD OUT) del chip. Este cireuito amplifica la capacidad de co- rriente de la sefial de control. Se utiliza principal- mente en demodulaci6n de frecuencia. Deseripcién del cireuito de prueba En la figura E35 se muestra el circuito de prueba que vamos a utilizar en este experimento para ana- Iizar la operacién del VCO del PLL 4046B. Obser- ve que no se utiliza 1a resistencia R2 (pin 12 libre) y que la entrada de inhibicién (pin 5) est4 puesta a tierra, es decir, tiene aplicado un nivel bajo. Por tanto, el VCO esté permanentemente habilitado. El potenciémetro RP actiia como divisor de ten- sin y su funci6n es suministrar cualquier voltaje de control entre OV y 9V al pin 9, El rango de frecuen- cias que puede obtenerse con este circuito se extien- de desde unos pocos Hz hasta =15 KHz, La fre- cuencia central es ajustable entre 2 KHz y 9 KHz. El potenciémetro R1 permite variar la frecuencia del VCO para un determinado voltaje de control. La resistencia RB y Tos tansistores QU y Q2 conf guran un amplificador tipo darlingron cuya funcién € convertir los pulsos de salida del VCO en una se~ fial audible. Para obtener un mayor volumen, este circuito puede sustituirse por el kit CEKIT K14 (ver pagina 189) o un amplificador de audio similar. CEKIT- Curso practico de electronica digital 217 Circuito de prueba del VCO ‘DD ter VCOIN 40468 INH_GND__At 10k ‘Osciladorcontroladoporvoltaje( VCO) Procedimiento Paso 1. Amme cuidadosamente sobre el protoboard el circuito de la figura E35, observando todas las Breeauciones de ‘manipulacion de dlspostvos (OS. Al terminar el montaje, site los potencid- metros Ri y RP en sus posiciones medias y mér- quelas, para tenerlas como referencia. Paso 2. Conecte la fuente de alimentacién. Deberd escuchar un tono caracteristico. Situé RP en su po- sicién mfnima y muévalo lentamente hasta su po- sicién maxima con el fin de variar el voltaje de con- trol desde OV hasta 9V. Notaré que la frecuencia de los tonos se incrementa paulatinamente, es decir, estos se hacen cada vez mds agudos. De este modo hemos comprobado que la fre- cuencia del VCO depende del voltaje aplicado a la entrada de control, Lleve nuevamente RP a su po- sicién media. Paso 3. Sitée R1 en su posicién méxima (100 KQ) y muévalo lentamente hasta su posicién mi- nima (0 Q). Notaré que la frecuencia de los tonos también se incrementa, siendo graves al comienzo y agudos al final. Deeste modo, hemos comprobado que la frecuen- cia del VCO depende del valor de los componentes extemos, Variando simulténeamente RP y RI, ob- tendré efectos sonoros muy interesantes. Rae 218 cA Pt Sa } at.ce 2no08 (2 Transistores NEN \Pyens008 veoour| crs! ‘Ampitticador deaudio em " 10) PLLalgita 40468 Potenciémerros Pp.A Fig. £35 Sistema PLL completo con 4046B En la figura 350 se muestra Ta estructura de un sistema PLL completo construido alrededor del cir- cuito integrado 4046B. Externamente, el circuito re- quiere de un contador/divisor de frecuencia (+N), instalado entre la salida del VCO (pin 4) y la entra: a del comparador de fase (pin 3), y de un filtro pasabajos, conectado entre la salida del comparador de fase (pin 2.6 13) y la entrada del VCO (pin 9). En condiciones normales, sin sefial de entrada, 1 VCO trabajaa una frecuencia central (Fo) espect- fica y en la entrada del comparador (pin 3) se obtie- ne una sefial cuya frecuencia es Fo/N, siendo N el factor de divisién del contador. Por ejemplo, si la frecuencia del VCO es 10 KHz y N=128, en la sali- da del contador obtendremos una sefial de =78 Hz. Cuando se aplica una sefial de entrada al pin 14 (SIGNAL IN) y el PLL se engancha, la frecuencia de salida del contador (pin 3) se hace igual a la fre- uencia de entrada (FIN). Esto implica que la nueva frecuencia de salida del VCO (pin 4) es yor que la frecuencia de entrada, Es decir: veces ma- Fou’ x FIN Por ejemplo, si la frecuencia de entrada es 5 KHz y N=128, la frecuencia del VCO, en condi- ciones de enganche, debe ser, igual a 5x128=640 in sistema PLL completo con 4046B Contedor/Divisor porNexterno +N KHz. De este modo, la sefial de salida del contador tendré la misma frecuencia de la de entrada (5 KHz). Si se utiliza la salida del comparador de bajo ruido (pin 2), estas dos sefiales diferirdn tinicamen- te en su fase. Si se utiliza la salida del comparador de banda ancha (pin 13), la sefial de entrada y la suministrada por el contador serdn idénticas, tanto en frecuencia como en fase. Este comparador, a pesar de ser me- nos inmune al ruido que el anterior, es el que se em- plea en la mayoria de los casos, especialmente por aceptar sefiales asimétricas y por tener un rango de captura més extenso. E| filtro pasabajo conectado a la salida del com- parador de fase suministra el voltaje de control ne- ‘cesario para que el VCO se enganche, a través del contador, con la sefial de entrada, Sin’sefial de en- trada, el filtro suministra un voltaje de control igual a VDD/2, causando que el VCO oscile a la frecuen- cia central (Fo). Esto sucede cuando se utiliza el comparador de bajo ruido (pin 2). Si se emplea el comparador de banda ancha (pin 13), el filtro entregar4, sin sefial de entrada, un vol- taje de control igual a OV, el cual causa que el VCO oscile a la frecuencia mfnima (Fmin). El filtro pasabajos es una parte esencial de todo PLL y es el que determina la velocidad con la cual el sistema puede seguir o rastrear los cambios en la frecuencia de entrada. Ademés, influye marcada- mente en el rango de captura y evita que la opera- Yoo sins ga |: Circuitos internos del 40468 D2: circuitos externos Fig. 950 cidn normal del PLL pueda ser afectada por picos de ruido. En la figura 351 se muestran las dos configura- ciones més comunes de filtros pasabajos utilizadas en sistemas PLL con 4046B. El circuito A se utiliza cuando la sefial de entrada toma valores dentro de una banda muy estrecha de frecuencias y el B cuan- do lo hace dentro de una banda muy amplia. Filtros pasabajos para PLL 40468 A.Flltro LAG B.FiltroLEAD Ra RS 0 Entrada Salida Entrada (gin26 19) (in8) (pin2613) pg oo La presencia de Ré evita que el PLL oscile y no responda a los cambios de frecuencias de la sefial de entrada. Tipicamente, R3=470 KO, Ré=47 KQ (una décima parte del valor de R3) y C1=0.1 uF. Estos valores deben tomarse s6lo como referencia, El valor de R4 puede fluctuar entre 47 KQ y 156 KA (la tervera parte del valor de R3). CEKIT- Curso préctico de electrénica digital 219 El rango de enganche del sistema PLL de la figura 350 se designa como AFL (ledse “delta efe ele") y define la banda de frecuencias dentro de la cual el PLL se puede mantener enganchado con una sefial de entrada previamente capturada. El valor de APL no depende del tipo de comparador utilizado ni de las caracteristicas del filtro. Se evaliia mediante la siguiente formula: En esta expresién, Fmax es Ia frecuencia mé- xima de operaci6n del VCO, Fmin la frecuencia mi- nima del mismo y N el factor de division de fre- cuencia del contador. El PLL se puede mantener en- ganchado con frecuencias de entrada comprendidas entre (Fo/N)-AFL y (Fo/N)+AFL, siendo Fo la fre- cuencia central del VCO. Por ejemplo, si Fo=50.05 KHz, Fmin=100 Hz, Fméx=100 KHz y N=10, el rango de enganche serd, entonces: APL = + (100x103-100)/(2x10) = 4995 Hz Este resultado implica que el PLL se puede man- tener enganchado con la sefial de entrada mientras la frecuencia de esta tiltima se mantenga dentro de la banda de 10 Hz a 10 KHz. Estos limites son igua- les, respectivamente, a la décima parte (1/10) de las frecuencias minima y mdxima del VCO El rango de captura del mismo sistema se Gesigna como AF (ease delta efe ce" y define Ia banda de frecuencias dentro de la cual el PLL puede rastrear y capturar una sefial de entrada hasta en- gancharse con ella, El valor de AFc depende del ti- po de comparador utilizado y de las caracteristicas el filtro pasabajos. Si se utilizan el comparador de bajo ruido (PC1, jn 2) y 1a configuracién de filtro pasabajos de la Figura 351-A, elrango de captura se puede calcular, en forma aproximada, mediante la siguiente for- mula: aFe= + 220 En esta expresién, m (pi) es una constante mate- mética, aproximadamente igual a 3.1416, AFL rango de enganche del PLL, N el factor'de divi del contador y R3C2 la constante de tiempo del fil- tro pasabajos. Por ejemplo, si Fmin=0 Hz, Fméx=30300 Hz, Fo=15150 Hz, R3=100 KQ, C2=0.001 uF y N =1 (un puente ene los pins 4'y 3), entonees AFL+Fo =15900 Hz y AFe = #4910 Hz. Este resultado implica que el PLL puede captu- rar, inicialmente, sefiales cuya frecuencia esté entre 10240 Hz (=Fo-AFe) y 20060 Hz (=FotAFc). Una vez capturada la sefial dentro de este rango, el PLL se mantendré enganchado con la misma, incluso si su fecuenciase sale delrango de captura, pero pe manece dentro del rango de enganche, es decir, en- tre 0 y 30300 Hz. Si se utilizan el comparador de banda ancha (PC2, pin 13) y cusiguiera de as confguraciones de filtros pasabajos de la figura 351, el rango de ‘captura sera igual al rango de enganche. Es decir: Por tanto, con este comparador, el PLL podré capturar y mantenerse enganchado con cualquier frecuencia comprendida dentro del rango de opera- cién del VCO. El sistema PLL de la figura 350 incluye también un seguidor de voltaje conectado a la entrada del VCO (pin 4). Como se mencioné en una anterior oportunidad, este circuito se utiliza cuando se nece- sita monitorear o disponer de la sefial de control del VCO sin cargar la fuente de la misma. Sieste es el caso, la salida del seguidor (pin 10) debe conectarse a tierra a través de una resistencia de carga (RL) de 10 KQ o mayor. Si no se utiliza esta funcién, el pin 10 debe dejarse libre. El segui- dor de volige se emples, principalmente, para aplicaciones de demodulacién de frecuencia, Tanto el VCO como el seguidor comparten una Iinea comin de inhibicién (pin 5). Cuando esta en- trada es alta, el VCO no oscila y la salida del se- guidor (pin 10) adopta el estado Hi-z 0 de alta impe- dancia, es decir, se comporta como un circuito abier- to, Normalmente, esta Iinea debe ser baja, En la figura 352 se muestra un sencillo circuito que detecta cuando el sistema de la figura 350 esta enganchado, En condiciones de enganche, el LED 1 se apaga. Cuando el PLL esté desenganchado, el LED D1 se ilumina o parpadea. El LED 2 opera en forma contraria, Esta informacién puede utilizarse, por ejemplo, para saber siel PLL esté trabajando co- rrectamente. Indicador de enganche de PLL +Vop- (av-5v) Alpin + (PHASE) Alpin2613 1NO14 (PcouT) PLL desengenchado LED2: ON PLL enganchado Fig. 352 || Los PLL con divisores de frecuencia se utilizan en una gran variedad de aplicaciones, incluyendo sintetizadores de frecuencia y generadores de sefia- les. Son particularmente importantes en ciertos sis- temas de comunicaciones, por ejemplo, radios de banda ciudadana (CB) y otros equipos que operan con varios canales. Para una mayor informacién sobre el uso de PLL's en sistemas de comunicaciones, remitimos al lector al Curso de Radio AM, FM, Banda Ciuda- dana y Radioaficién, editado por CEKIT. CIRCUITOS DE APLICACION Después de estudiar los principios de operacién del PLL digital y de estar familiarizados con los pardmetrosmasimportantes que caracterizan su fun- Cionamiento, presentaremos a continuacién varios Circuitos practicos de aplicacién, los cuales nos per- mitiin afianzar los conocimientos adquiridos y apreciar el poder de este interesante dispositivo. Entre los proyectos deseritos figuran varios ti- pos de osciladores controlados por voltaje (VCO) Que generan tonos audibles, un detector de tonos, una sirena electrénica, un sintetizador de frecuen- cia, un generador de frecuencia modulada (FM) y un revlver espacial o fasor. Este tiltimo genera sonidos tipo "Guerra de las Galaxias". Todos utili- zan el PLL digital 4046B. Generadores de tonos con VCO + B.DeOHza2KHz Ds 1 1NS148 164 6 40868 CEKIT: Curso préctico de electronica digial 221 Generadores de tonos En la figura 353 se muestran varias formas de utilizar el VCO del 4046B como generador de to- nos, En todos ios casos, Ia salida del VCO (pin 4) estd conectada a la entrada de los comparadores de fase (pin 3) para evitar que éstososcileny degraden la senal de salida, La linea de inhibicién (pin 5), puesta a tierra, mantiene siempre habilitado el VCO, El circuito de la figura 353-A ilustra Ja forma mis simple de utilizar el VCO del 4046B. La en- trada de control (pin 9) esté conectada a +9V. Por tanto, el VCO siempre opera a la frecuencia méxi- ma. Esta tiltima se puede variar entre 200 Hz y 2 KHz, aproximadamente, ajustando R2. El circuito de la figura 353-B proporciona tonos de frecuencia variable entre 0 Hz y =2 KHz. La fre- cuencia mdxima la determinan RTy C1 y la minima la establece R2. El control de frecuencia se realiza mediante el potenciémetro R3. Los diodos Di y D2 limitan el voltaje aplicado al pin 9 entre =0.7V y 9.3 V para compensar la histéresis de R3. El circuito de la figura 353-C proporciona tonos de frecuencia variable entre 60 Hz y 2 KHz. La frecuencia minima la establecen R2 y Cl y la maxi- ma la fijan C1, Ri y R2. Seleccionando adecuada- mente Ri y R2, el rango de frecuencias de salida se puede extender desde 6 Fiz hasta mds de 1 MHz. El circuito de la figura 353-D genera dos set les de frecuencia variable complementarias. Este po de funcionamiento se obtiene conectando la sa dadel VCO alla entrada del comparador de bajo rui- do (pin 3) y haciendo alta la entrada de seiial (pin 14). La sefial de salida en fase (QUT 1) se obtiene del pin 4 y la sefial complementaria 0 en oposicién de fase (OUT 2) del pin 2 (salida del comparador), Todas las sefiales generadas por los cireuitos an- teriores se pueden convertiren tonos audiblesconec- tando un amplificador de audio (por ejemplo, el kit CEKIT K14) a la salida del VCO (pin 4). Recuerde que la banda de frecuencias percepti- bles por el ofdo humano se extiende desde 20 Hz hasta 20 KHz, aproximadamente, Las frecuencias superiores a 20 KHz se denominan supersdnicas y son captadas por otras especies vivas como Toe dores, animales domésticos y plagas. Las frecuen- cias inferiores a 20 Hz se dénominan subsénicas y son de gran interés cientifico, Una aplicacién muy interesante de los oscilado- res supers6nicos es como repelentes electrénicos de insectos y plagas. En este sentido, reemplazan los Tepelentes quimicos. Una frecuencia tipica de opera- cidn de este tipo de circuitos es 40 KHz, 222 Detector de tonos FSK Una de las formas més comunes de transmitir in- formacién digital es desplazando la frecuencia de una sefial de alta frecuencia entre dos valores muy proximos, de acuerdo al patrén de I's y 0's que est siendo enviado. Este método de transmision de datos se denomina llaveado por desplazamiento de frecuencia 0 FSK (frequency-shift keying). El proceso de recuperar el patrén original de 1's O's trasmitido se denomina deteccién 0 demodu- lacidn y se puede realizar ficilmente en la prictica utilizarido un PLL. En la figura 354, por ejemplo, se muestra un circuito que detecta tonos de 1200 Hz (0's) y de 2400 Haz (I's) con un PLL 40468. Detector de tonos FSK A,B, C:4001B €2:0.01 pF (C4; 0.05 pF Observe que se utiliza el circuito indicador de enganche de la figura 352. Cuando la sefial de en- trada aplicada al pin 14 del 4046 (ICI) es de 1200 Hz, en la salida de la compuerta B (pin 4 de IC2) se obtiene un nivel bajo (0) y cuando es de 2400 Hz se obtiene un alto (1). La Salida de la compuerta C (pin 9) opera en forma contraria, El filtro pasabajo, constituide por R1, R2 y C3, establece un rango de captura de + 300 Hz alrede- dor de la frecuencia central del VCO (2400 Hz). Cualquier sefial de entrada inferior a 2100 Hz 0 superior a 2700 Hz no es detectada, El circuito se puede adaptar para otros rangos de frecuencia modi- ficando los valores de R3, Ré y C2. Sirena electrénica El circuito de la figura 355 produce un sonido de sirena similar al emitido por ambulancias, patru- lias de policia, carros de bomberos, etc. Cuando S1 se cierra, C1 se carga a través de Ri y la frecuencia del VCO comienza a aumentar desde 0 Hz hasta suv valor maximo (~10 KHz). Este tiltimo lo determi- nan R4, RS y C2. Sirena electronica Nota: Cuando use D1, R7: 09.0 Jabra esta conoxién ©3:1000 uF 1: 2N2202 Ri, Ra: 47k RS: 10M D1: 1Na148 Cuando $1 se abre, C1 se descarga lentamente a través de R3 y la frecuencia comienza a descender. La salida del VCO (pin 4) se acopla al parlante a través del amplificador constituido por C4 y el tran- sistor Ql. La resistencia R2 y el diodo Di son op- cionales y se utilizan para obtener un efecto de si- rena mis sostenido. Sintetizador de frecuencia En la figura 356 se ilustra la forma de utilizar un sistema PLL completo con 4046B como sintetiza- dor de frecuencia. El circuito utiliza un oscilador a cristal CMOS para suministrar una frecuencia de re~ ferencia de 1 KHz a la entrada de seftal del compa- tador de fase (pin 14) y un contador 4017B como divisor de frecuencia entre la salida del VCO (pin 4) y la otra entrada del comparador (pin 3). La salida del comparador de fase (pin 13) esta conectada a la entrada del VCO (pin 9) a través del filtro pasabajos constituido por Ré, RS y C3. El circuito proporciona frecuencias de salida miitiplos de 1 KHz, desde 1 KHz hasta 9 KHz, dependiendo ‘Sintetizador de frecuencias Base de tiempo acristal pasabajos Comparadorde fase + 3 8 s Divisor por 4710 1560-11 de Ia salida del 4017B seleccionada. Este chip seré analizado en detalle en Ia leccién 25. Por ejemplo, si se conecta la salida marcada "x6" (pin 5 del 4017B) a la entrada del comparador (pin 3), en el punto comiin de unién de la salida del VCO y Ia entrada del contador (pin 14 del 4017B) obtendremos una onda cuadrada de 6 KHz, es de- cir, seis (6) veces la frecuencia de la sefial de refe- rencia aplicada a la entrada del PLL (pin 14). Utilizando contadores programables en cascada se pueden obtener sintetizadores de un rango més amplio, por ejemplo, desde 10 Hz hasta 1 MHz. Los contadores programables se estudian en Ia lec- cién 26. Para aplicaciones en las cuales la precisin no es un factor eritico, el oscilador a cristal puede reemplazarse por unosciladorconvencional (verlec~ cién 17), CEKIP: Curso présice de lectrinicadigial 223 idulador de frecuencis ‘mpticador Generador de pulsos de frecuencia modulada (FM) Uno de los métodos més populares de transmi- tirinformacién audible (por ejemplo la voz humana) por técnicas digitales es variar la frecuencia de un tren de pulsos de alta frecuencia de acuerdo a las va- riaciones de voltaje de la sefial que se desea enviar. Este método se denomina modulacidn de frecuencia OFM (frequency modulation), En la figura 357 se muestra el circuito de un sen- cillo transmisor de FM que utiliza el VCO de un PLL 4046B para este propdsito. La sefial de entrada (audio), proveniente, por ejemplo, de_un micrs- fono, se amplifica y se aplica a la entrada del VCO (pin 9), Sin sefial de audio, esta iiltima recibe del amplificador (IC2) un nivel de 4.5 V y el VCO os- cila a una frecuencia central (Fo) de 300 KHz Cuando se aplica una sefial de audio, el voltaje de entrada del VCO cambia de acuerdo a las varia- ciones de amplitud de la misma. Sila sefial de entra- da aumenta, el voltaje de control disminuye y vice- versa, En el primer caso, disminuye la frecuencia del VCO con respecto a su valor central y en el se~ gundo aumenta, Si laamplitud de la seftal de entrada cambia répi- damente de-un valor a otf, Ia frecuencia del VCO lo hard al mismo ritmo, Se dice, entonces, que el VCO esti siendo modulado en frecuencia por la se- lal de audio, Acoplando la salida a un LED infra- rojo, a través de un amplificador de corriente, este circuito puede servir como transmisor en un sistema de comunicacién por via 6ptica elemental. Revélver espacial (fasor) El circuito de la figura 358 simula el sonido ducido por un fasor o revéiver espacial tipo "Gue- rma de las Galixias", Este tipo de efecto sonoro es muy comin en ciertos juegos de video. Cuando se pulsa el botén de fuego (S1), el astable produce pulsos de 4 ms a intervalos de 70 ms. Cada pulso suministrado por el astable, cuando se dispara, carga répidamente el condensador C2 a través de R3 y D2, produciendo un tono de alta frecuencia, el cual decae lentamente a medida que C2 se descarga a través de RS. El proceso se repite con la llegada de cada pulso. Para obtener un_ mayor volumen, conecte la sa- lida del VCO (pin 4) a un amplificador de audio, por ejemplo, el kit CEKIT K14. Revdlver espacial Botén de fuego = Leceién 19 Légica secuencial y cerrojos biestables + Introduceién + Circuitos secuenciales + Diseno de circuitos secuenciales asincrénicos + Cerrojos biestables (latches) vs multivibradores Bestables (ip -ftops) + Actividad practica N? 14 + El latch NAND + El latch NOR + Experimento N* 21. Comprobacién de la operacion de un cerrojo biestable + Los latches como eliminadores de rebote + Los latches como generadores de pulsos de + Cerrojos biestables integrados + CIRCUITOS DE APLICACION: + Actividad préctica N* 15 Introduccion El estudio de la electronica digital desarrollado en Ja primera parte de este curso, desde compuertas hasta demultiplexores, se fundamenté en las deno- minadas redes combinatorias, En este tipo de cit- cuitos, el estado de cada salida lo determina, integra- mente, la combinacién de estados de las entrada: En ellos, no hay memoria ni tratamiento del tiempo. El verdadero poder légico de la electrénica digital se manifiesta cuando se involucra, en su sistema de andlisis y disefio, la accién del tiempo. Los cir- cuitos con memoria se denominan secuenciales y pueden realizar una gran variedad de funciones, incluyendo el conteo de pulsos y el almacenamien- to, desplazamiento y secuenciamiento de datos, en- tte omras. La unidad basica de memoria digital es el multivi- brador biestable. Como establecimos en la leccién 17, un biestable es un dispositivo que tiene dos es- tados estables (alto y bajo) y permanece indefinida- mente en cualquiera de ellos, hasta que recibe una sefial exteria de disparo adecuada. En otras palabras, un biestable es un circuito que puede almacenar, por tiempo indefinido, un nivel al- to 0 bajo de voltaje, es decir, un bir de informa- cién (16 0). Los dos circuitos biestables bisicos son el cerro- jo 0 latch y el flip-flop. Los latches se denominan, también, flip-flops asinerdnicos. Los flip-flops son los bloques constructivos biisicos de todos los sis- temas secuenciales, incluyendo memorias, contado- tes, registros de almacenamiento, registro de despla- zamiento, microprocesadores, ett. En esta leccién conoceremos los fundamentos de la légica que rige los circuitos secuenciales y estu- diaremos los cerrojos biestables (latches), que son dispositivos asincrénicos, es decir, no necesitan de tuna sefial de reloj para operar correctamente. En este sentido se diferencian de los flip-flops, los cua- Ies son, por definicién, sincrdnicos. Los flip-flops se estudian a partir de la préxima lecci6n, Circuitos secuenciales Los circuitos secuenciales son, basicamente, con- figuraciones légicas de compuertas dotadas de me- moria, En ellos, el estado de la salida depende no solamente de las combinaciones de estados de las entradas sino de la secuencia (orden en el tiempo) en la cual ocurren estas combinaciones. Para comprender mejor este concepto, ilustremos, mediante un ejemplo, como es posible progresar desde un circuito puramente combinatorio (sin me- moria) hasta uno secuencial dotado de memoria En la figura 359 se muestra el funcionamiento de una red combinatoria sencilla formada por dos com- yeas AND, ¥ una compuerta OR. La ecuacién légica y la tabla de verdad resumen el comporta- miento del circuito, Red combinacional Cireultologico Tablade verdad Ecuaciéniogica F=AB¥BC CEKIT- Curso prcico de electrénica digital 225 Por ejemplo, cuando las entradas A y B valen 1, la salida F se hace igual a 1, sin importar el estado (06 1) de Ia entrada C. Un andlisis similar se pue- de hacer para las ocho posibilidades que ofrecen las tres variables de entrada. Observe que, en ningdn momento, se hace alusién al tiempo, es decir al or- den o secueencia en la cual se aplican estas variables, El cireuito combinatorio de 1a figura 359 se con- vierte en un circuito secuencial si se cambia la varia- ble C por una conexi6n, realimentada, desde la silida F (figura 360). Bajo estas circunstancias, 1a tabla de verdad es intranscendente como herramien- tade andlisis. Un diagrama de temporizacién ofrece ‘mucha més informacién, Circuito sencuencial asincronico est Circuitolégico F ro. Ecuacion ogica Fo ABs BF En la figura 361 se muestra el diagrama de tiempo correspondiente al circuito de la figura 360. Inicial- Diagrama de tiempos Vatiable Variable 226 mente, si A=O y B=I, la salida F es igual 2 0. Luego, cuando ‘A=1 y B=l, la salida F se hace igual a 1, Hasta este momento, la ecuacién légica del circuito original (F=AB+BC) describe el com- portamiento del mismo, como si se tratara de una red combinatoria, No obstante, 1a accién de la realimentacién intro- ducida por la salida F a la entrada, ha cambiado totalmente el significado de las cosas. Observe, por ejemplo, que cuando A=0, nuevamente, se ‘pre- senta la misma combinacién de entradas inicial (A=0 y B=1), Sin embargo, a salida no toma el valor de F=0. ‘La misma combinacién de entradas pero diferen- tes salidas: esto no se parece, en ningin momento, auncircuitocombinatorio. Ahora, larealimentacién debida a F nos permite memorizar el hecho de que B llegé primero que A_a 1. Solamente cuando las dos entradas retornan a 0, la salida toma este valor. Note la accién del tiempo en el funcionamiento de esta red: nos indica Ja respuesta ante una secuencia de entradas y no, tinicamente, ante una combina- cin de entradas, como en las redes que vimos al co- mienzo’de este curso, En el circuito de la figura 360, tan pronto apa- recen los cambios en las entradas, se obtiene una respuesta en la salida, La secuencia de las entradas aparece, ante la red, en cualquier momento y orden ¥, la salida, debe responder de manera instantnea. ‘este tipo de accidn, tanto de las entradas como de las salidas, se le llama comportamiento asincrénico Disefto de circuitos secuenciales asincrénicos La metodologfa para el disefio de circuitos digita- les secuenciales asincrénicos es compleja y poco uti- lizada, No obstante, si el circuito es sinaple, se pue~ de desarrollar el disefio, en forma intuitiva, tenien- do presente el siguiente principio: Cada realimentacién que se implemente en el cir cuito, introduce un elemento de memoria, El siguiente ejemplo ilustra la forma de utilizar y aplicar este principio elemental de disefio 16gico asincrénico. Ejemplo. Construir un circuito que permita ) conectar y desconectar un motor de CC de 12. | V de la alimentacién, utilizando pulsadores | momenténeos (push-buttons) normalmente abiertos (NA). El pulsador de conexién debe etiquetarse como START (arranque) y el de desconexién como STOP (parada). - ‘Acclonamiento de un motor Wiansior ft Motor ao Wl Saw san gS) ~ Fig. 362 Solucién. En la figura 362 se ilustra el prin- ‘cipio de conexién y desconexién del motor a la fuente de alimentacién, Como puede apreciarse, no es posible mantener energizado el motor, de manera indefinida, a menos que el pulsador se ‘mantenga activado en forma permanente, es de- cir, cerrado, Un circuito digital podria efectuar la cone- xign, solamente si est dotado de una “memo- Tia’ que "recuerde" el momento en que fue acti- vado el pulsador. En otras palabras, se necesita un citcuito secuencial asincrénico, dotado de memoria, si s¢ quiere conectar y desconectar el motor con pulsadores normalmente abiertos. Recuerde que, para lograr el efecto de me- moria, se debe hacer und realimentaci6n ocone- xin de la silida hacia la entrada. En la figura 363 se muestra un circuito secuencial, ‘cons- ‘tmuido con compuertas TTL, adecuado para este Propésito. Circuito asincrénico compuerta AND (pin 3) es de nivel bajo y el motor esté de: ‘conectado. Guando se acciona el pulsador de >) arranque (START), acon momenténeamen- | te, en la entrada de la compuerta OR (pin 2) un nivel alto, La salida de la compuerta (pin | 3) serd, por tanto, un nivel nie En las entradas de la compuerta AND (pines 1 y 2) tenemos, entonces, dos niveles altos: uuno proveniente de la salida de la compuerta OR y, el otro, de Ia resistencia R2. Como re- sultado, [a Salida de Ia compuerta AND, en el momento de accionar el pulsador START es, también, de nivel alto. Este nivel alto se memoriza por medio de la realimentacion existente entre la salida de la compuera AND (pin 3 del 74LS08)_y la entrada de la compuerta OR (pin 1 del 74LS 32), De ésta forma, se puede liberar al pulsa- dor sin que Ia informacién se pierda. Enotraspalabras, larealimentaci6nle recuer- dial cireuto que el interrupt de arranque fue activado, La compuerta AND se encarga, tam- bin, de saturar al transistor y energizar el mo- bie i ef Para anular la orden de START, memorizada ppor el circuito, basta con accionar, momenté- neamente, el pulsador de parada (STOP). Esta aocién provers un nivel bajo eM ena de la compuerta AND (pin 2), el cual leva la salida a un nivel bajo, cancelando la, "memori- zaci6n" y, por lo tanto, desconectando el mo- tor de Ja alimentacién. A pesar de que es posible disefiar cualquier cir- cuito I6gico asincrénico mediante téonicas muy es- tablecidas, pero dispendiosas, el camino escogido por los disefiadores de circuitos digitales es otro, més flexible y modular. La idea es implementar los circuitos secuenciales a partir de una "célula bésica secuencial” llamada Flip-Flop. En la seccién que sigue se estudiarén Jas caracteristicas fundamentales de esta red secuen- cial asincrénica bésica. El disefio de circuitos se- ‘cuenciales utilizando flip-flops se explica en detalle en la leccién 21. Flip-flops asincrénicos (latches) El dispositivo biestable més simple es el larch 0 flip-flop R-S (reset-set) mostrado en la figura 364. El circuito puede almacenar un bit de informacién, es decir, un 0 6 un 1, Los latches son asincrénicos en el sentido de que no necesitan de una sefial ex- tena de reloj para operar. En esto se diferencian de CEKIT. Curso préctico de electronica digtal 227 Latch o flip-flop asinerénico los flip-flops propiamente dichos, los cuales son, por definicién, sincrénicos, Se dice que el latch esté en estado set cuando la salida Q es de nivel alto (1) y en estado reset cuando es de nivel bajo (0). El estado de las sali- das Q y Q, en condiciones normales, es siempre complementario y se programa combinando apropia- damente los estados I6gicos de las entradas R (RESET) y S (SET). Especificamente, para almacenar un 1 l6gico, de- be aplicarse un pulso de disparo a la entrada SET. Asi mismo, para almacenar un 0 I6gico, debe apli- carse un pulso a la entrada RESET, Fl pulso de dis- paro puede ser positivo (activo en alto) 0 negative {activo en bajo), dependiendo del diseno. Una vez que el larch ha sido programado en es- tado SET 0 RESET por el pulso de entrada, perma- necerd en ese estado hasta que sea modificado o se retire el suministro de potencia, Los latches son volitiles, es decir, la informacién almacenada en ellos desaparece cuando se desconectan de la fuente de alimentacién, Los latches R-S se pueden implementar a base de ‘compuertas bésicas AND, OR y NOT o utilizando compuertas NAND 0 NOR. Estas tiltimas son las més utilizadas. En la figura 365 se muestran dos versiones de laches R-S con compuertas AND, OR, AND y NAND. En todos los casos, el es- tado de la salida lo describe la siguiente ecuacién logic: Q=S+R-Q EI circuito de la figura 365-B se realiza con compuertas AND, OR y NOT y el de la figura 365- C.con compuertas NAND. El circuito de la figura 365-D es el mismo de la figura 365-C pero redibu- Jado para apreciar la existencia de La salida comple- mentara ©, In cual es siempre la negucion de la salida principal (Q): si Qes 0, entonces O=1, y vi- ceversi. (continiia en la pagina 229), 228 Construccién del médulo 3: légico sin rebote. Parte 2. En esta actividad instalaremos en la tarjeta de circuitoimpreso comespondienteal médulo3(EDM- 3), Ia base de 14 pines del circuito integrado 4011B (IC1) y la resistencia de 4.7 K (R1). La funcion de la base es servir de soporte al chip y facilitar su montaje y reemplazo. RI es la resistencia de pull-up del pulsador SI. Componentes y herramientas necesarios 1 base para circuito integrado de 14 pines, 1 resistencia de 4.7 KQ. R1. 1 circuito impreso CEKIT EDM-3, Herramientas: pinza de puntas planas, cortafrios, cautin, soldadura Procedimiento Tome la resistencia R1 y la base de 14 pines de ICI ¢ instélelas en la tarjeta de circuito impreso EDM-3, como se indica en la figura A22, Iden- tifique RI por el cédigo de colores “amarillo, vio- leta, rojo, dorado" sobre su cuerpo. Después de sol- dar, corte los alambre sobrantes y consérvelos: le servirdn como puntas de prueba. Cuando instale 1a base, asegtirese de que todos Jos pines entren en los cortespondientes agujeros y y no haya alguno doblado o partido. Antes de soi- dar, cerciérese de que los puntos de contacto estén limpios y no haya sintomas de oxidacién, Esto fa- cilitard 1a adherencia de la soldadura, No mueva la base hasta que la soldadura enfrfe ni aplique calor excesivo. Revise su trabajo para observar si se han formado puentes indeseables de soldadura entre pines adyacentes y corrfjalos. Flip-flop R-S (latch) asincrénico iS = [ev ]" , ‘ s Q A) Ecuacién B)Concompuertas logica ‘AND, ORY NOT. ©)Concompusrtas =P anes )ConcompuertasNAND s:seT {redibujado) / R:RESET Fig. 265 | La caracteristica clave que hace de estos circuitos algo mas que configuraciones estaticas de compuer- tas es la existencia de una linea de realimentacién (q) entre Ja salida Q y Ia entrada. Esta realimen- tacién es la que le permite al Jatch memorizar un cambio en las entradas R y S. Ele figura 366 se muestra el diagrama de tempo- rizacién del latch R-S de la figura 365-B. Para sim- plificar el andlisis de este circuit, se asume que, ini- cialmemt, la salida Q es baja (0) y que las entradas Ry S son también bajas (0) Como muestra el diagrama de la figura 366, en el instante t1, la entrada $ se hace alta, imponiendo un nivel alto en la salida Q. Este nivel alto se trans- mite a la entrada de la compuerta AND (figura 365B) a través de la linea de realimentacién q. Cuando la entrada S se hace nuevamente baja en el instante t2, la salida permanece alta, Obsérvese que, entre los instantes t2 y £3, las en- tradas Ry S son ambas bajas, como al comienzo Gel proceso. Sin embargo, la salida Q no es baja si- no alta, Es decir, el circuit nos esté informando que, en un momento anterior, la entrada $ fue alta, En el instante t3, la entrada R se hace alta, cance- lando el nivel alto de la salida Q ¢ imponiendo un bajo. Este nivel bajo en la salida Q se mantiene cuando la entrada R se hace baja en el instante t+ ¢, incluso, cuando se hace otra vez alta, entre los instantes t8 y t6. Es decir, el circuito recuerda, mediante un bajo en su salida, que la iiltima entrada en hacerse alta fue R. La raz6n es muy sencilla: la entrada $ no ha vuelto a ser alta desde el instante t2. Usted puede comprobar estos resultados en el circuito de la figu- ra 365-B reemplazando cada variable (R, S y Q) por el valor que va tomando en cada instante. Hasta el momento, podemos concluir lo siguiente: + Sila entrada SET (S) es alta, la salida Qes alta, + Sila entrada RESET (R) es alta, la salida es baja, En otras palabras, $ Heva la salida a 1 (condicién Set) y R la lleva a 0 (condici6n reser). + Si las entradas SET y RESET son iguales a 0, la salida Q permanece en el estado previamente esta- blecido por cualquiera de las lineas R 6 S. Es decir, Ja condicién =0 memoriza la iiltima linea de entrada activa: si fue R, entonces Q=0 y si fue S, entonces Q=1. Diagrama de temporizacion del latch R-S AND/OR/NOT CEKIT- Curso préctico de electrénica digital 229

You might also like