You are on page 1of 9

Thin Solid Films 409 (2002) 138–146

Review
Atomic layer deposition (ALD): from precursors to thin film structures
¨ Mikko Ritala
Markku Leskela*,
Department of Chemistry, P.O. Box 55, FIN-00014, University of Helsinki, Finland

Abstract

The principles of the atomic layer deposition (ALD) method are presented emphasizing the importance of precursor and surface
chemistry. With a proper adjustment of the experimental conditions, i.e. temperatures and pulsing times, the growth proceeds via
saturative steps. Selected recent ALD processes developed for films used in microelectronics are described as examples. These
include deposition of oxide films for dielectrics, and nitride and metal films for metallizations. The use of a plasma source to
form radicals is expanding the selection of ALD films to metals. Plasma-enhanced ALD also facilitates the deposition of nitride
films at low temperatures. 䊚 2002 Elsevier Science B.V. All rights reserved.

Keywords: Atomic layer deposition (ALD); Atomic layer epitaxy (ALE); Thin films

1. Introduction II–VI compounds gained much interest w7–9x, but no


real breakthrough was achieved in this area due to the
The ALD method relies on alternate pulsing of the complicated surface chemistry. Since the mid 1990s,
precursor gases and vapors onto the substrate surface rapidly increasing interest towards ALD has originated
and subsequent chemisorption or surface reaction of the from the silicon based microelectronics. This increase is
precursors w1x. The reactor is purged with an inert gas a consequence of the ever decreasing device dimensions
between the precursor pulses. With a proper adjustment and increasing aspect ratios in integrated circuits (IC).
of the experimental conditions the process proceeds via In addition, the required thickness of the films has, in
saturative steps. Under such conditions the growth is many cases, decreased to the order of a few nanometers
stable and the thickness increase is constant in each and therefore the main drawback of ALD, the low
deposition cycle. The self-limiting growth mechanism deposition rate, is becoming less important. The proc-
facilitates the growth of conformal thin films with essing temperatures should also be kept low (preferably
accurate thickness on large areas w2x. The growth of -400 8C). These requirements further increase the
different multilayer structures is also straightforward w3x. attraction of the ALD method in the IC industry w10x.
These advantages make the ALD method attractive for ALD has recently been described in several reviews
microelectronics for manufacturing of future generation focusing on different areas; catalysts w11x, nanotechnol-
integrated circuits w4x. ogy w12x, and electronic and optoelectronic materials
ALD was originally developed for fabrication of w13,14x. In this review the principles of the ALD method
polycrystalline luminescent ZnS:Mn and amorphous are presented emphasizing the importance of precursor
Al2O3 insulator films for electroluminescent flat panel and surface chemistry. Based on recent literature, select-
displays, and the method itself was termed atomic layer ed ALD processes developed for films used in microe-
epitaxy (ALE) w5x. The early ALE literature dealt with lectronics are described as examples and they include
polycrystalline II–VI compounds and amorphous oxide deposition of oxide films for dielectrics, and nitride
films w6x. Since 1985, epitaxial growth of III–V and diffusion barriers and metals for metallizations. Plasma-
*Corresponding author. Tel.: q358-9191-50195; fax: q358-9191-
enhanced ALD has recently been introduced to materials
50198. applied in silicon based microelectronics and the first
¨
E-mail address: markku.leskela@helsinki.fi (M. Leskela). papers are reviewed here.

0040-6090/02/$ - see front matter 䊚 2002 Elsevier Science B.V. All rights reserved.
PII: S 0 0 4 0 - 6 0 9 0 Ž 0 2 . 0 0 1 1 7 - 7
¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela, 139

2. Precursor chemistry and contained only small amounts of carbon. Recently,


cyclopentadienyl compounds were introduced as ALD
Precursor chemistry plays a key role in ALD. The precursors and they have been used in deposition of
precursors must of course be volatile and thermally both alkaline earth titanate and sulfide films w23,24x.
stable but they may be gases, liquids or solids. Precur- The commercial availability of new metalorganic pre-
sors must chemisorb on the surface or react rapidly with cursors is often limited. The scale-up of precursor
the surface groups and react aggressively with each syntheses and the development of new precursors are
other. In that way, it is possible to reach the saturation challenges for the further progress of ALD in industrial
stage in a short time (less than 1 s) and thereby ensure scale.
a reasonable deposition rate. The aggressive reaction During the last 2 years, a few new precursors have
requirement is contrary to traditional CVD precursors. been introduced to ALD and reaction mechanistic stud-
The desired ALD reactions should have a large negative ies have been carried out for several older precursors.
DG value, but unfortunately thermodynamic data are Although halides have been known for a long time as
available only for a limited number of precursors. It good ALD precursors, not much attention has earlier
seems that in ALD, not only the properties of a single been given to fluorides, bromides and iodides. Recently,
precursor molecule are important, but the combination fluorides and iodides have gained more attention, but
of the precursors also matters. also new chloride precursors have been taken into use.
The number of precursors and reactions used in ALD BCl3 is a new precursor, which has been introduced
is high as can be seen from the recent reviews made by very recently. BCl3 reacts with ammonia and forms BN
the authors w15,16x. The two cases: non-metal precur- film in ALD fashion w25x. Shimogaki et al. w26x reported
sors; and metal precursors are very different and show about the first chloride adduct precursor in their flow
different features. The non-metal precursors are usually modulation CVD process. They used tantalum chloride
hydrides (H2O, H2S, NH3 and AsH3) without problems thioether adduct and ammonia in deposition of TaN
in volatility and thermal stability. Reactivity at reasona- films. The important benefit of this adduct is that, unlike
ble temperatures (-500 8C) and formation of suitable TaCl5 itself, it is a liquid. Tungsten hexafluoride has
surface species for the metal precursor to be anchored, been examined as a precursor for tungsten metal and
are properties of H2O and H2S as shown in many ALD tungsten nitride films. With smart chemistry WF6 can
papers, but NH3 and AsH3 are examples of precursors be transformed to W with disilane w27x. The particular
with more limited reactivity. In the deposition of oxide features of the WF6 –Si2H6 process are: it involves an
films, the importance of surface –OH groups has been exchange reaction during both reaction steps; the growth
reported several times w17,18x. ˚
rate is high, 2.5 Aycycle; and the process window is
Metal halides, especially chlorides, have been widely wide, 150–330 8C. The films were, however, amorphous
applied in ALD for deposition of oxide, sulfide and and rather resistive. WF6 is also a suitable precursor in
nitride films w16x. Most of the halides are solids, but in combination with ammonia for nitride films w28,29x.
ALD the solid precursors are not a problem because it The stoichiometry of the films may vary but the for-
is just crucial that the precursor dose is large enough to mation of polycrystalline W2N has been reported. Vol-
saturate the surface, but the flux must not necessarily atile, in situ prepared tungsten oxofluorides are
be constant or homogeneous. The other important group precursors for tungsten oxide, WO3 w30x. Polycrystalline
of compounds is metal alkyls. In many cases (Al, Zn), films can be grown even at 200 8C. WF6 could not be
the alkyl compounds behave almost ideally in making used as a precursor for oxide films because of etching
oxide and sulfide films, but in some cases (Ga, In) the effects.
results have not been very promising due to the complex The use of iodides as metal precursor has been studied
and unfavorable surface chemistry. Metal alkoxides form in deposition of titanium nitride w31x, and oxides of
the third important group of precursors and they have titanium w32,33x, zirconium w34,35x and tantalum w36x.
been successfully employed in several oxide processes The oxide formation reaction is enhanced if H2O2 is
w16x. Electropositive metals (alkaline earth metals, rare used instead of water. The reaction is self-limiting at
earth metals) form a challenge for chemical thin film lower temperatures, but at higher temperatures metal
depositions because they do not have many volatile iodides slightly decompose. Reaction mechanism studies
compounds w19x. b-Diketonates are the most studied show that in the case of TiO2, the situation is compli-
compounds of these metals and good results have been cated because of the structural change (anatase-rutile)
obtained in growth of alkaline earth sulfide films w20x, of the oxide, which changes the growth mechanism w33x.
but the growth of oxide films using water as oxygen The TiI4 –H2O2 process has even facilitated epitaxial
source does not work. b-Diketonates can be used as growth at low temperature (-400 8C) on single crystal
precursors in deposition of, for example, rare earth oxide sapphire and MgO substrates w37x. The oxide films
but then ozone has been employed as an oxygen source grown from the iodides are iodine-free and the properties
w21,22x. The resulting films have been polycrystalline equal to the films deposited with chloride-water ALD
140 ¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela,

processes. In the TaI5 –H2O2 process, etching takes place composition and contained approximately 15 at.% of
above 350 8C, which is 50 8C higher than in the TaCl5 – carbon impurity. A new aluminum precursor, dimeth-
H2O process w36x. yl(aluminum hydride)-ethylenepiperidine has been
A new ALD precursor, triphenyl compound, has been employed in ALD deposition of AlN films. In that study,
introduced for bismuth w38x. Bismuth oxide cannot be the interfaces in TiNyAlN multilayers were of interest
grown from triphenyl bismuth and H2O2, but a ternary w46x. Also, a great variety of oxides can potentially be
Bi–Ti–O could be deposited. In the as-deposited films, deposited from the alkyl amides w42x.
bismuth may partially be metallic, and the ternary oxide Recent ALD literature contains a few reports on new
phase is formed only upon annealing in air at 500 8C. processes where b-diketonates have been employed in
Further annealing at 700 8C resulted in a crystalline deposition of oxide films. Mg(thd)2 (thds2,2,6,6-tetra-
dielectric Bi2Ti2O7 phase, but it was not possible to methyl-3,5-heptanedione) can be combined with hydro-
obtain bismuth titanates with higher bismuth content. A gen peroxide, and MgO film is formed at 325–425 8C
few new alkoxide precursors have been studied for the ˚
with a growth rate of 0.10–0.14 Aycycle w47x. Indium
deposition of zirconium oxide films, namely tetra-tert- acetylacetonate serves as a precursor in the ALD growth
butoxide w39x, tetrakis-dimethylaminoethoxide of indium sulfide In2S3. An amorphous film was depos-
(DMAE), and mixed ligand complexes of DMAE with ˚
ited at 160 8C with a growth rate of 0.8 Aycycle and it
isopropoxide and tert-butoxide w40x. Characteristic for was aimed for CuInSe2 solar cells as a buffer layer w48x.
all these precursors is that they already decompose In ALD oxide processes, water has most often been
significantly at 240 8C. Interestingly, especially with the oxygen source. As mentioned above, ozone has been
aminoethoxides, the decomposition appears to be water used when a highly reactive oxidizing agent is needed
assisted. However, uniform films could be grown. Vana- w21,22x. Ozone is also an applicable precursor to be
dium oxide is a new ALD material grown for electro- combined with trimethyl aluminum in deposition of
chemical battery purpose w41x. The precursors were Al2O3. The authors claim that excellent electrical prop-
vanadyl tri-isopropoxide wVO(Oi Pr)3 x and water. The erties can be achieved with this OH-free process w49x.
process was self-limiting up to 180 8C above which the However, especially for gate oxide applications, the
self-decomposition started to play a role.
strongly oxidizing character of O3 is of major concern
No effective ALD processes have been found so far
as it easily creates too thick SiO2 interfacial layers. A
for silicon dioxide. In all reports long pulse times have
few recent reports exist where molecular oxygen has
been required to saturate the reactions. An interesting
been used as the oxygen precursor. TiO2 has been grown
report was recently given by Gordon et al. w42x where
they present the deposition of SiO2 from N,N9-di-tert- from titanium iodide and oxygen at 200–350 8C w50x,
butyl-1,3-diaza-2-silacyclopent-4-en-2-ylidene and oxy- and ZrO2 from tert-butoxide and oxygen at 300–400 8C
w51x. It seems that the metal precursor should be slightly
gen at 200 8C. They flash evaporated the precursor
solution and a deposition rate of 0.05 nmycycle was thermally unstable and then oxygen is reactive enough
obtained throughout the length (30 cm) of the deposition for the oxide formation reaction at these relatively low
zone. temperatures. Schuisky et al. w50x were able also with
Metal alkyl amides have been extensively studied as the TiI4qO2 process to deposit epitaxial rutile on
CVD precursors for nitride thin films. Recently they sapphire (012) and anatase on MgO (001) surfaces. The
have also been introduced to ALD w43x. Tetrak- use of oxygen plasma is a new trend in ALD deposition
is(dimethylamido)titanium (or tetrakis(ethylmethyl- of oxide films.
amido)titanium)-ammonia processes have shown to be Water or hydrogen peroxide are not always desired
self-limiting at 170–210 8C when high ammonia doses oxygen sources because they may be too oxidative or
and long exposure times were employed. The deposition reactive and therefore, a water-free process based on
rate was high—twice to that of the monolayer growth alkoxides has been developed w4x. The metal alkoxide
in the w111x direction of TiN. When silane is added to serves as both metal and oxygen source while the other
the process, Ti–Si–N films can be grown and the metal compound, typically a metal chloride, acts as the
composition can be varied up to a Si content of 23 at.% other metal source:
by controlling the number of silane pulses w44x. Silane bMŽOR.aqaM9Xb™MaM9bOabqabRX
blocks the growth and accordingly the growth rate
decreases along the increasing silicon content of the Depending on whether M and M9 are similar or
films. Ti–Si–N films showed excellent conformality different, binary or mixed oxides are obtained. The
and barrier properties against Cu diffusion, but are major benefit of not using separate oxygen precursors
apparently resistive w43x. Tantalum nitride film has been is the less susceptible oxidation of the substrate surfaces.
deposited from tert-butylimidotris(diethylamido)- This is very important when thin oxide layers are to be
tantalum. Hydrogen radicals were used to reduce this deposited directly on silicon without creating an inter-
single source precursor w45x. The films were Ta rich in facial silicon oxide layer.
¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela, 141

In deposition of transition metal nitride films, the the adsorption of strontium bis(tri-isopropylcyclopenta-
development trends from the precursor chemistry point dienyl) on TiO2, and more strikingly the growth of SrO
of view are: finding more reactive nitrogen precursors on SrO is very different than that on TiO2 w67x. The
to replace ammonia; and finding reducing agents to first QMS study on nitride process regarding deposition
reduce the metal ion to the formal oxidation state of q of TiN and Ti(Al)N, has also been carried out w68x.
III. These trends will be addressed in Section 5. One In situ studies on different titanium precursors in
way to overcome the problems with nitrogen precursors ALD of TiO2 at 250 8C revealed an interesting and
and reducing agents is the use of plasma-assisted ALD quite unexpected difference in reaction mechanisms;
(see Section 6). while TiCl4 lost one and Ti(OiPr)4 two ligands in
reactions with surface hydroxyl groups when arriving
3. Reaction mechanisms on the surface, less than 10% of the ligands in
Ti(OEt)4 were released at this stage. This suggests that
The ALD reactors used in industrial scale and often Ti(OEt)4 adsorbs molecularly rather than undergoing
in laboratories, are of a flow-type which makes fast exchange reactions with surface hydroxyl groups. On
pulsing and purging possible. In the flow-type reactors the other hand, as the other two cases indicate, there
the pressures are 1–10 mbar which limits the selection clearly should be hydroxyl groups on the TiO2 surface
of possible in situ characterization methods. Quartz at this temperature for Ti(OEt)4 to react with. Therefore,
crystal microbalance (QCM) has successfully been used another explanation for the observed difference is an
to examine several ALD oxide processes w52,53x. adsorption of ethanol formed during the Ti(OEt)4 pulse
Recently, a quadrupole mass spectrometer (QMS)-ALD so that it becomes released from the surface only when
reactor set-up has been presented w54,55x, and also a replaced by water during the next pulse. Separate exper-
QMS–QCM–ALD combination has been used w56x. iments verified the ethanol adsorption on TiO2 under
Optical techniques form another group of methods, these conditions. Above 250 8C, the behavior of TiCl4
which have been used to characterize surface processes resembled that of Ti(OEt)4, whereas Ti(OiPr)4 started
in flow type ALD reactors w16x. Both surface photo to decompose. The decomposition of Ti(OEt)4 com-
absorption (SPA) and reflectance difference spectrosco- menced beyond 300 8C.
py have been employed. A variation from the SPA Besides examining the form in which the precursor is
technique is termed incremental dielectric reflection adsorbed on the surface, the in situ studies can also give
(IDR) method and it has been used in analysis of ALD information on several other aspects, like reaction by-
growth of TiO2 w57x. It is worth mentioning that the product re-adsorption and possible etching processes.
limitation of optical characterization methods is the lack The latter is important especially when growing niobium
of chemical information. or tantalum oxide from pentachlorides.
The in situ measurements allow the investigation of
reaction mechanisms in ALD reaction steps. The QCM 4. Oxide films
signal shows the relative weight of the adsorbed species,
while the QMS gives information on the gaseous species The recent ALD oxide studies have often dealt with
releasing in the surface reaction. The measurements are growth mechanism investigations, but also the structural
complementary and the results agree w56x. The in situ properties of the films have been of interest
studies have verified the importance of surface groups w32,37,38,69,70x. One important material type of ALD
in ALD growth. The measurements show, for example oxides is nanolaminates which consist of alternating
in the case of oxide films, how many ligands are released layers of two or more insulator materials, so that each
when the metal precursor reacts with the surface –OH separate layer has a thickness in a range of 1–20 nm.
groups, i.e. the mechanism of the adsorption step. During The preparation of nanolaminates with accurately vary-
the last 2 years several oxide processes involving water ing composition depth profiles is straightforward in
as an oxygen source have been studied by QCM. The ALD. In nanolaminates the advantageous properties of
metal precursors in these studies have been: titanium each component can be retained, for example, in insu-
chloride w58,59x; titanium iodide w32x; titanium ethoxide lators: high permittivity; low leakage current density;
w60x; titanium isopropoxide w61x; trimethyl aluminum and high charge storage capacity w16x. Recently, the
w55,62x; vanadyl isopropoxide w48x; tantalum iodide effects of niobium oxide layers to tantalum oxide and
w36x; and diethyl zinc w63x. QMS or QMS–QCM com- aluminum oxide have been studied w71,72x. It was
binations have been used in studies of reactions of water shown, for example, that the permittivity of Al2O3 can
with titanium chloride w64x, titanium isopropoxide w65x, be increased with Nb2O5 addition without considerable
and titanium, niobium and tantalum ethoxides w66x. In loss in resistivity. The films containing 37 cation%
situ studies can also give useful information on ternary niobium showed similar leakage currents as aluminum
systems. The example on SrTiO3 shows that the adsorp- oxide films, but upon further increase in Nb content the
tion of titanium isopropoxide on SrO is different than leakage currents increased markedly.
142 ¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela,

The ALD oxide films find industrial applications as O, Zr–Al–O, and Zr–Al–Nb–O oxide and nanolami-
dielectrics in electroluminescent thin film displays, but nates were examined w82x. When Ta2O5 was deposited
in the future gate oxides for MOSFET transistors will ˚
on silicon, an 8-A-thick SiO2 layer was formed, but the
also perhaps be processed by ALD. The new gate oxides capacitance equivalent oxide thickness of Ta2O5 was
which will replace SiO2 are very thin, they must be 1.2–1.5 nm. The leakage current density in those films
deposited on bare silicon surface, the interface between was approximately 5=10y4 Aycm2 at 1 MVycm. In
silicon and the oxide should be tailored, and the gate Zr–Al–O films, the relatively high permittivity of
oxide may also be a multilayer structure. All these ZrO2 was combined with high resistivity of Al2O3, and
requirements are demanding but not impossible for films with teqs2–2.5 nm and low leakage could be
ALD. The gate oxides must be stable on silicon and the deposited. When niobium was added to Zr–Al–O, teq
materials under investigation are Al, Zr, Hf and rare could be lowered to 1.8–2.0 nm w82x. Besling et al.
earth oxides. As mentioned above, all these oxide w83x achieved better results with ZrO2 yAl2O3 nanolam-
materials have been deposited by ALD w16x. The focus inates; teq was 1.1 nm and leakage current densitys
in the investigations has recently been in structural and 10y7 Aycm2 at 1 V.
electrical characterization of thin oxide films on silicon. The other concern in deposition of gate oxides is that
High resolution depth-profiling of ultrathin Al2O3 films silicon should not oxidize during the deposition process.
on Si revealed stoichiometric composition and abrupt One possibility to avoid that is not to use any strongly
interface, and showed that ALD Al2O3 is a possible gate oxidizing precursor. Metal alkoxides have successfully
dielectric material for MOS devices with equivalent been used as oxygen source materials in ALD deposition
electrical thickness in the sub 2 nm range w73x. The and no oxidation of silicon was observed w4x. The
robustness of the ultrathin Al2O3 films on Si was studied formation of metal silicates when Si(OEt)4 or
by high-temperature vacuum annealing at 900 8C and Si(OBu)4 was used as alkoxide was an important result
oxidation at 600 8C. The results showed that the films since silicates may be useful gate dielectric materials
were stable in vacuum, but formation of interfacial too. The exchange reactions between metal halides and
SiO2 took place during oxidation w74x. The MOSFET alkoxides have further been studied with tantalum oxide
results on ALD Al2O3 (teqs13 A) ˚ for 0.08 mm gate and titaniumyzirconium oxide w84,85x. The growth pro-
length transistors with poly-Si gates showed a reduction ceeds without water, but water can be added as a
in leakage current of two orders of magnitude compared supplementary oxygen source. The growth rate is
to SiO2 having the same teq-value w75x. Also, the first dependent on temperature and the growth rate can be
results on electrical properties of stacked ALD oxide increased by introducing the precursors simultaneously
layers (aluminum or zirconium) on SiO2 have been (CVD mode) into the reactor. A further extension of
promising w76,77x. In a very recent study, Gusev et al. this chemistry is the use of metal alkyl amides like
w78x found that 1.5–10-nm-thick ALD Zr, Hf, Y and Al Hf(NR2)4 and (tBuO)3SiOH w42x.
oxides on silicon all exhibited good interface quality, In the future, DRAM capacitors high-k materials are
and gate leakage much lower than conventional SiO2 of needed, Ba1yxSrxTiO3 being the most extensively stud-
the same equivalent electrical thickness. Earlier, they ied material. Recently, ALD processes have been devel-
had shown that a highly uniform layer of ZrO2 can be oped for barium and strontium titanates w16,86x using
deposited as thin as 2 nm on top of an SiO2 layer w79x. Sr and Ba cyclopentadienyl compounds as precursors,
The SiO2 layer was needed, although it limits the together with titanium iospropoxide and water. Excellent
minimum achievable teq-value, because uniform zirco- conformality was achieved in the ALD STO films and
nium oxide is difficult to grow on Si–H terminated the films were crystalline as-deposited at 325 8C w16x.
surface with the chloride process. In fact, getting a good Permittivity values decrease along the decreasing film
start for the growth of any oxide on Si–H surface is thickness, but a value of 100 has been obtained in a 50-
clearly an important issue for gate oxide applications of nm-thick film. Further works have focused on integra-
ALD. Perkins et al. w80x have shown that ALD process- tion of STO and BTO films with platinum electrodes
ing of polycrystalline ZrO2 (5 nm) on silicon produces w87x. If alkaline earth b-diketonates are used as precur-
an amorphous layer (1.5 nm), which has higher dielec- sors, the oxygen source must be ozone and deposition
tric constant than SiO2. The whole structure has teqs13 post-annealing in air is needed to achieve crystalline
A˚ and shows leakage value of 10y5 Aycm2 at a bias of titanate phase w88x.
y1 V.
The nanolaminate concept has so far been slightly 5. Nitride films
problematic in gate oxide application since the permit-
tivity of the oxide films decreases significantly with the Transition metal nitride films are used in ICs as
film thickness. Equivalent thicknesses of approximately barriers preventing interdiffusion and reactions between
3 nm have been achieved in Ta–Hf, Ta–Zr and Zr–Hf metals and silicon or insulators. The barrier films in
oxide nanolaminates w81x. In another study Ta, Ta–Nb– metallization have strict demands: they should be pure;
¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela, 143

dense; conductive; conformal; thin; have good adhesion 200-mm wafers have shown excellent leakage properties
towards metals and insulators; and the processing tem- after stressing up to 2 MVycm at 200 8C for 12 days
perature should not exceed 400 8C. The conformality w96x.
requirement is especially demanding since the aspect Transition metal nitride films can be deposited from
ratios of trenches and vias have increased. The require- alkyl amides and ammonia with high growth rate at low
ments concerning process technique can be fulfilled by temperatures w42,43x, but the alkyl amides are also quite
ALD. The materials studied for this purpose are TiN, prone to self-decomposition. TiN films deposited by
TaN and Ta metal. Several ALD nitride processes have these reactions have been amorphous and contained
been developed and in most of them, ammonia is used some carbon (4 at.%) and hydrogen (6 at.%) impurities
as a nitrogen source w15,16x. The problem usually is w97x. The films have shown excellent conformality and
how to get the transition metal reduced to the formal q negligible interdiffusion of Cu andyor Si at temperatures
III state needed; especially for TaN, a strong reducing lower than 600 8C, but 650 8C triggered the diffusion
agent is needed, otherwise a dielectric Ta3N5 phase is w98x. Silicon containing films have shown better barrier
obtained w89x. properties; for example in a CuyTi0.32Si0.18N0.50 ySiO2 y
The majority of the ALD transition metal nitride Si structure, no interdiffusion was detected after anneal-
processes have been based on metal chlorides and ing at 800 8C for 60 min in H2(10%)–Ar(90%) w43x.
ammonia. Best results have been obtained with metallic The films suffered from high resistivities, however.
zinc as an additional reducing agent w89,90x. Because
zinc-containing processes are not acceptable in semicon- 6. Metal films and plasma-ALD
ductor applications, new processes are sought. With
titanium iodide, a well conducting nitride film can be A copper ALD process would offer an interesting
deposited without zinc w31x. Studies to find new reducers alternative for IC metallizations. Cu films have been
or more effective nitrogen precursors in combination deposited by ALD using hydrogen as a reducing agent
with metal halides are in progress. As examples, the use for CuCl and Cu(thd)2 w99x. Both processes seem to be
of trimethylaluminum (TMA) as a reducing agent w91x substrate material-dependent, indicating that the sub-
and 1,1-dimethylhydrazine as a nitrogen precursor w92x strate (Pt and Pd) actively participates or catalyzes the
can be mentioned. Low TMA doses clearly assist the growth reaction. CuCl and also MoCl5 can also be
formation of nitride films. Dimethylhydrazine reduces reduced to metal by zinc vapor w100,101x. The processes
Ti, Nb and Mo chlorides and the corresponding low- suffer, however, from a reversible dissolution-outdiffu-
resistivity nitride films are formed at 400 8C and, in the sion of zinc into the Cu and Mo films. The well-known
case of TiN, even at 250–400 8C w92x. However, TaCl5 solution (electroless deposition) chemistry for copper
cannot be reduced by dimethylhydrazine. deposition where the reduction is carried out by organic
The growth rate in the chloride–ammonia processes compounds (formaldehyde, alcohols) has successfully
˚
has been low, typically 0.2 Aycycle. It is not known been employed in ALD w102x. The Cu precursor used
whether this is due to a small number of reactive – was 1,1,1,5,5,5-hexafluoroacetylacetonate hydrate and
NHx groups left on the surface after the NH3 pulse, or low-resistivity (1.78–4.25 mV) films were deposited at
due to an adsorption site blocking by re-adsorbed reac- 300 8C. Other thermal ALD metal processes studied so
tion byproduct HCl. Elers et al. w93x were, however, far involve tungsten and nickel. In deposition of tung-
able to almost double the growth rate by in situ reducing sten, the WF6 –Si2H6 –process was employed w27x. Nick-
titanium chloride with metallic titanium. TiCl3 species el deposition involves a two-step process where first
formed seemed to be able to utilize the active surface NiO is grown from Ni(acac)2 and ozone and then the
site more effectively than TiCl4. The WF6 –NH3 process oxide is converted to metal upon annealing in hydrogen
mentioned above has resulted in a remarkably higher atmosphere at 260 8C w103x.
˚
growth rate of 2.55 Aycycle w28x. The TiN films made In deposition of nitride and metal films thermal energy
by chloride–ammonia processes have shown 100% con- is not necessarily enough to activate the reactions.
formance w2,93x and good barrier properties up to 650 Therefore, plasma enhanced growth has also been intro-
8C w94x. Barrier characteristics of 10- and 20-nm-thick duced to ALD. Thin films of inert refractory materials
ALD TiN films deposited at 350 and 400 8C were are used in semiconductor interconnect applications as
examined by high frequency capacitanceyvoltage meas- diffusion barrier, seed and adhesion layers. Ta and Tiy
urements on MOS capacitors (Cu gate, TiN, SiO2 TiN films are typical examples of these. Rossnagel et
dielectric and TaN passivation). The capacitors were al. w104x deposited 100% conformal Ta and Ti films at
stressed at 2 MVycm at 200 and 300 8C for 4 h. A 25–400 8C using a plasma enhanced ALD process,
rapid initial flatband shift took place but stressing for where TaCl5 and TiCl4 were reduced by atomic hydrogen
longer times did not cause any further shift indicating generated upstream with an inductively coupled RF
no significant Cu diffusion into SiO2 w95x. The biased plasma discharge. Chlorine residues were below 3 at.%
temperature stress tests of 3 nm TiN films deposited on and the films deposited below 250 8C were amorphous.
144 ¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela,

More detailed studies of Ti films with QCM system and w6x M. Leskela, ¨ L. Niinisto,
¨ in: T. Suntola, M. Simpson (Eds.),
several different substrate materials have revealed that Atomic Layer Epitaxy, Blackie, Glasgow, 1990, p. 1.
w7x J. Nishizawa, H. Abe, T. Kurabayashi, J. Electrochem. Soc.
the growth process is independent of TiCl4 exposure 132 (1985) 1197.
above 5000 L, and the growth is also saturated with w8x S.M. Bedair, M.A. Tischler, T. Katsuyama, N.A. El-Masry,
increasing hydrogen plasma power and atomic hydrogen Appl. Phys. Lett. 47 (1985) 51.
exposure time w105x. w9x T. Yao, in: T. Suntola, M. Simpson (Eds.), Atomic Layer
Atomic hydrogen has also facilitated the epitaxial Epitaxy, Blackie, Glasgow, 1990, p. 155.
growth of silicon at 550–610 8C from dichlorosilane w10x J.C. Demmin, Solid State Technol. 44 (2001) 68.
w11x S. Haukka, E. Lakomaa, T. Suntola, Stud. Surf. Sci. Catal. 120
both on silicon (100) and (111) surfaces w106,107x. The
(1999) 715.
ideal 1 MLycycle growth can be achieved only when w12x M. Ritala, M. Leskela, ¨ Nanotechnology 10 (1999) 19.
hydrogen pressure is optimized. w13x L. Niinisto,
¨ Curr. Opin. Solid State Mater. Sci. 3 (1998) 147.
Plasma assistance has also been used in ALD growth w14x L. Niinisto,
¨ Proceedings of the CAS International Semiconduc-
of nitride and oxide films. Tetrabutylimido- tor Conference, vol. 1, Sinaia, Romania, 2000, p. 33.
tris(diethylamido)tantalum has been reduced to TaN by w15x M. Leskela, ¨ M. Ritala, J. Phys. IV 9 (1999) Pr8-837.
hydrogen radicals produced by capacitively coupled RF w16x M. Ritala, A. Leskela, ¨ in: H.S. Nalwa (Ed.), Handbook of
Thin Film Materials, vol. 1, Academic Press, San Diego, CA,
plasma source w46x. The use of nitrogen radicals is also 2001, p. 103.
possible w108x. High-quality aluminum oxide films have w17x S. Haukka, E.-L. Lakomaa, A. Root, J. Phys. Chem. 97 (1993)
been deposited by plasma enhanced ALD. After the 5085.
aluminum precursor (dimethylethylamine alane) pulse, w18x R. Matero, A. Rahtu, M. Ritala, M. Leskela, ¨ T. Sajavaara, Thin
the reactor was pumped down and H2 plasma was Solid Films 368 (2000) 1.
introduced. Then the aluminum layer was oxidized with w19x M. Leskela, ¨ H. Molsa,
¨ ¨ L. Niinisto,¨ Supercond. Sci. Technol. 6
(1993) 627.
O2 plasma w109x; 15-nm-thick Al2O3 films were grown w20x M. Tammenmaa, M. Asplund, H. Antson, et al., J. Cryst.
by repeating the process. The films showed very good Growth 84 (1987) 151.
electrical properties and the properties could be even w21x M. Putkonen, T. Sajavaara, L.-S. Johansson, L. Niinisto, ¨ Chem.
improved by post-deposition oxygen-plasma annealing Vap. Depos. 7 (2001) 44.
at 200 8C. The advantages of O2 plasma have also been w22x M. Nieminen, M. Pukonen, L. Niinisto, ¨ Appl. Surf. Sci. 174
shown in deposition of tantalum oxide films from (2001) 155.
w23x M. Vehkamaki, ¨ ¨ ¨ T. Hanninen,
T. Hatanpaa, ¨ M. Ritala, M.
ethoxide and aminoethoxide {Ta(OEt)4wOC2H4N
¨ Electrochem. Solid State Lett. 2 (1999) 504.
Leskela,
(CH3)x2}, zirconium oxide film from t-butoxide and w24x J. Ihanus, T. Hanninen,
¨ ¨ ¨ T. Aaltonen, I. Mutikainen,
T. Hatanpaa,
aluminum oxide from TMA w110,111x. For example, the T. Sajavaara, J. Keinonen, M. Ritala, M. Leskela, ¨ Chem. Mater.
growth rate could be increased by 50–100% by using (In press).
the O2 plasma. w25x J.D. Ferguson, S.M. George, Atomic Layer Deposition, Topical
Conference, American Vacuum Society, Monterey, CA, USA,
May 14–15, 2001.
7. Conclusions w26x Y. Shimogaki, H. Hamamura, R. Yamamoto, K.Y. Jun, I.
Nishinaka, Atomic Layer Deposition, Topical Conference,
The ALD method has already shown its versatility in American Vacuum Society, Monterey, CA, USA, May, 14–15,
industrial use for deposition of dielectric and lumines- 2001.
cent films for electroluminescent flat panel displays. w27x J.W. Klaus, S.J. Ferro, S.M. George, Thin Solid Films 360
The big challenge to ALD is to gain an established (2000) 145.
w28x J.W. Klaus, S.J. Ferro, S.M. George, J. Electrochem. Soc. 147
position in microelectronics. It has great potential
(2000) 1175.
because of the accurate thickness control in deposition w29x H.S. Sim, Y.T. Kim, H. Jeon, Atomic Layer Deposition, Topical
of very thin films and 100% conformality. The first Conference, American Vacuum Society, Monterey, CA, USA,
processes suggested for ALD in microelectronics are May 14–15, 2001.
TiN barriers and gate oxides. The use of plasma acti- w30x P. Tagstrom,
¨ ¨ P. Martensson,
˚ U. Jansson, J.-O. Carlsson, J.
vation will expand the ALD thin film materials selection. Electrochem. Soc. 146 (1999) 3139.
w31x M. Ritala, M. Leskela, ¨ E. Rauhala, J. Jokinen, J. Electrochem.
Because of the promising results obtained with plasma-
Soc. 145 (1998) 2916.
ALD, it will surely increase in use in the future. w32x K. Kukli, M. Ritala, M. Schuisky, et al., Chem. Vap. Depos. 6
(2000) 303.
References w33x K. Kukli, A. Aidla, J. Aarik, et al., Langmuir 16 (2000) 8122.
w34x K. Kukli, K. Forsgren, M. Ritala, M. Leskela, ¨ J. Aarik, A.
w1x T. Suntola, Mater. Sci. Rep. 4 (1989) 261. Harsta,
˚ J. Electrochem. Soc. 148 (2001) F227.
w2x M. Ritala, M. Leskela,
¨ J.-P. Dekker, C. Mutsaers, P.J. Soininen, w35x K. Kukli, K. Forsgren, J. Aarik, et al., J. Cryst. Growth 231
J. Skarp, Chem. Vap. Depos. 5 (1999) 7. (2001) 262.
w3x K. Kukli, M. Ritala, M. Leskela,¨ Appl. Phys. Lett. 66 (1996) w36x K. Kukli, J. Aarik, A. Aidla, et al., Chem. Mater. 13 (2001)
3737. 122.
w4x M. Ritala, K. Kukli, A. Rahtu, et al., Science 288 (2000) 319. w37x M. Schuisky, A. Harsta,
˚ A. Aidla, K. Kukli, A.-A. Kiisler, J.
w5x T. Suntola, J. Antson, US Patent no. 4,058,430, 1977. Aarik, J. Electrochem. Soc. 147 (2000) 3319.
¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela, 145

w38x M. Schuisky, K. Kukli, M. Ritala, A. Harsta, ˚ M. Leskela, ¨ w70x J. Aarik, A. Aidla, H. Mandar,
¨ T. Uustare, K. Kukli, M.
Chem. Vap. Depos. 6 (2000) 139. Schuisky, Appl. Surf. Sci. 173 (2001) 15.
w39x K. Kukli, M. Ritala, M. Leskela,¨ Chem. Vap. Depos. 6 (2000) w71x K. Kukli, M. Ritala, M. Leskela, ¨ J. Appl. Phys. 86 (1999)
297. 5656.
w40x R. Matero, M. Ritala, M. Leskela, ¨ A.C. Jones, P.A. Williams, w72x K. Kukli, M. Ritala, M. Leskela, ¨ J. Electrochem. Soc. 148
J.F. Bickley, A. Steiner, T.J. Leedham, H.O. Davis, E-MRS, (2001) F35.
Strasbourg, June 5–8, 2001. w73x E.P. Gusev, M. Copel, E. Cartier, I.J.R. Baumvol, C. Krug,
w41x J.C. Badot, S. Ribes, E.B. Yousfi, et al., Electrochem. Solid M.A. Gribelyuk, Appl. Phys. Lett. 76 (2000) 176.
State Lett. 3 (2000) 485. w74x M. Copel, E. Cartier, E.P. Gusev, S. Guha, N. Bojarczuk, M.
w42x R.G. Gordon, J. Becker, D. Hausman, Atomic Layer Deposi- Poppeler, Appl. Phys. Lett. 78 (2001) 2670.
tion, Topical Conference, American Vacuum Society, Monterey, w75x G.D. Wilk, R.M. Wallace, J.M. Anthony, J. Appl. Phys. 89
CA, USA, May 14–15, 2001. (2001) 5243.
w43x J.-S. Min, H.-S. Park, S.-W. Kang, Appl. Phys. Lett. 75 (1999) w76x W.S. Yang, Y.K. Kim, S.-Y. Yang, et al., Surf. Coat. Technol.
1521. 131 (2000) 79.
w44x J.-S. Min, J.-S. Park, H.-S. Park, S.-W. Kang, J. Electrochem. w77x M. Houssa, A. Stesmans, M. Naili, M.M. Heyns, Appl. Phys.
Soc. 147 (2000) 3868. Lett. 77 (2000) 1381.
w45x J.-S. Park, M.-J. Lee, C.-S. Lee, S.-W. Kang, Electrochem. w78x E.P. Gusev, E. Cartier, D.A. Buchanan, et al., Atomic Layer
Solid State Lett. 4 (2001) C17. Deposition, Topical Conference, American Vacuum Society,
w46x J.Y. Kim, H.K. Kim, S.W. Seo, Y. Kim, Y.D. Kim, H. Jeon, Monterey, CA, USA, May 14–15, 2001.
Atomic Layer Deposition, Topical Conference, American Vac- w79x M. Copel, M.A. Gribelyuk, E. Gusev, Appl. Phys. Lett. 76
uum Society, Monterey, CA, USA, May 14–15, 2001. (2000) 436.
w47x T. Hatanpaa,
¨ ¨ J. Ihanus, J. Kansikas, I. Mutikainen, M. Ritala, w80x C.M. Perkins, B.B. Triplett, P.C. McIntyre, K.C. Saraswat, S.
M. Leskela,¨ Chem. Mater. 7 (1999) 1846. Haukka, M. Tuominen, Appl. Phys. Lett. 78 (2001) 2357.
w48x E.B. Yousfi, B. Weinberger, F. Donsanti, P. Cowache, D. Lincot, w81x H. Zhang, R. Solanki, B. Roberds, G. Bai, I. Banerjee, J. Appl.
Thin Solid Films 387 (2001) 29. Phys. 87 (2000) 1921.
w49x K.H. Hwang, S.J. Choi, J.D. Lee, Y.S. You, Y.K. Kim, H.S. w82x K. Kukli, M. Ritala, M. Leskela,¨ T. Sajavaara, J. Keinonen, D.
Kim, C.L. Song, S.I. Lee, Atomic Layer Deposition, Topical Gilmer, S. Bagchi, L. Prabhu, J. Non-Cryst. Solids (In press).
Conference, American Vacuum Society, Monterey, CA, USA, w83x W.F.A Besling, S. Haukka, M. Tuominen, Atomic Layer Dep-
May 14–15, 2001.
osition, Topical Conference, American Vacuum Society, Mon-
w50x M. Schuisky, J. Aarik, K. Kukli, A. Aidla, J. Lu, A. Harsta,
˚ terey, CA, USA, May 14–15, 2001.
Atomic Layer Deposition, Topical Conference, American Vac- w84x K. Kukli, M. Ritala, M. Leskela, ¨ Chem. Mater. 12 (2000)
uum Society, Monterey, CA, USA, May 14–15, 2001.
1914.
w51x J.P. Chang, Y.-S. Lin, Atomic Layer Deposition, Topical Con-
w85x A. Rahtu, M. Ritala, M. Leskela, ¨ Chem. Mater. 13 (2001)
ference, American Vacuum Society, Monterey, CA, USA, May
1528.
14–15, 2001.
w86x M. Vehkamaki,¨ ¨
T. Hanninen, M. Ritala, et al., Chem. Vap.
w52x J. Aarik, A. Aidla, A. Jaek, A.-A. Kiisler, A.-A. Tammik, Acta
Depos. 7 (2001) 75.
Polytechn. Scand., Chem. Technol. 195 (1990) 201.
w53x K. Kukli, J. Aarik, A. Aidla, H. Siimon, M. Ritala, M. Leskela, w87x M. Ritala, K. Kukli, M. Vehkamaki, ¨ et al., Electrochem. Soc.
¨
Appl. Surf. Sci. 112 (1996) 236. Proc. 2000-13 (2000) 597.
w54x M. Ritala, M. Juppo, K. Kukli, A. Rahtu, M. Leskela, ¨ J. Phys. w88x M. Putkonen, A. Kosola, L. Niinisto, ¨ To be submitted.
IV France 9 (1999) Pr8-1021. w89x M. Ritala, P. Kalsi, D. Riihela, ¨ K. Kukli, M. Leskela, ¨ J.
w55x M. Juppo, A. Rahtu, M. Ritala, M. Leskela, ¨ Langmuir 16 Jokinen, Chem. Mater. 11 (1999) 1712.
(2000) 4034. w90x M. Ritala, M. Leskela,
¨ E. Rauhala, P. Haussalo, J. Electrochem.
w56x A. Rahtu, M. Ritala, Electrochem. Soc. Proc. 2000-13 (2000) Soc. 142 (1995) 1670.
105. w91x M. Juppo, P. Alen, M. Ritala, M. Leskela,¨ Chem. Vap. Depos.
w57x A. Rosenthal, A. Tarre, P. Adamson, A. Gerst, A. Kasikov, A. 7 (2001) 211.
Niilisk, Appl. Surf. Sci. 142 (1999) 204. w92x M. Juppo, M. Ritala, M. Leskela, ¨ J. Electrochem. Soc. 147
w58x J. Aarik, A. Aidla, H. Mandar,
¨ V. Sammelselg, J. Cryst. Growth (2000) 3377.
220 (2000) 531. w93x K.-E. Elers, V. Saanila, P.J. Soininen, S. Haukka, MRS Proc.
w59x J. Aarik, A. Aidla, H. Mandar,
¨ T. Uustare, Appl. Surf. Sci. 172 (in press).
(2001) 148. w94x P. Martensson,
˚ M. Juppo, M. Ritala, M. Leskela,¨ J.-O. Carlsson,
w60x J. Aarik, A. Aidla, V. Sammelselg, T. Uustare, M. Ritala, M. J. Vac. Sci. Technol. B 17 (1999) 2122.
¨ Thin Solid Films 370 (2000) 163.
Leskela, w95x A. Satta, G. Beyer, K. Maex, K.-E. Elers, S. Haukka, A.
w61x J. Aarik, A. Aidla, T. Uustare, M. Ritala, M. Leskela, ¨ Appl. Vantomme, Mater. Res. Soc. Symp. Proc. 612 (2000) D6.5.1.
Surf. Sci. 161 (2000) 385. w96x S.R. Smith, K.-E. Elers, Atomic Layer Deposition, Topical
w62x A. Rahtu, T. Alaranta, M. Ritala, Langmuir 17 (2001) 6506. Conference, American Vacuum Society, Monterey, CA, USA,
w63x E.B. Yousfi, J. Fouache, D. Lincot, Appl. Surf. Sci. 153 (2000) May 14–15, 2001.
223. w97x J.-S. Min, H.S. Park, W. Koh, S.-W. Kang, Mater. Res. Soc.
w64x R. Matero, A. Rahtu, M. Ritala, Chem. Mater. 13 (2001) 4506. Symp. Proc. 564 (1999) 207.
w65x A. Rahtu, M. Ritala, Chem. Vap. Depos. 8 (2002) 21. w98x D.-J. Kim, Y.-B. Young, M.-B. Lee, Y.-H. Lee, J.-H. Lee, J.-
w66x A. Rahtu, K. Kukli, M. Ritala, Chem. Mater. 13 (2001) 817. H. Lee, Thin Solid Films 372 (2000) 276.
w67x A. Rahtu, T. Hanninen,
¨ M.J. Ritala, Phys. IV France, w99x P. Martensson,
˚ Acta Univ. Uppsala, Comprehensive Summaries
Submitted. of Uppsala Dissertations from the Faculty of Science and
w68x M. Juppo, A. Rahtu, M. Ritala, Chem. Mater. 14 (2002) 281. Technology, 421 (1999) and the publications therein.
w69x K. Kukli, M. Ritala, R. Matero, M. Leskela, ¨ J. Cryst. Growth w100x M. Juppo, M. Ritala, M. Leskela, ¨ J. Vac. Sci. Technol. A 15
212 (2000) 459. (1997) 2330.
146 ¨ M. Ritala / Thin Solid Films 409 (2002) 138–146
M. Leskela,

w101x M. Juppo, M. Vehkamaki,¨ M. Ritala, M. Leskela,¨ J. Vac. Sci. w107x E. Hasunumu, S. Sugahara, S. Hoshina, S. Imai, K. Ikeda, M.
Technol. A 16 (1998) 2845. Matsumura, J. Vac. Sci. Technol. A 16 (1998) 679.
w102x R. Solanki, B. Pathangey, Electrochem. Solid State Lett. 3 w108x S.-W. Kang, Atomic Layer Deposition, Topical Conference,
(2000) 479. American Vacuum Society, Monterey, CA, USA, May 14–15,
w103x M. Utriainen, M. Kroger-Laukkanen,
¨ L.-S. Johansson, L. 2001.
¨ Appl. Surf. Sci. 157 (2000) 151.
Niinisto, w109x C.-W. Jeong, J.-S. Lee, S.-K. Joo, Jpn. J. Appl. Phys. 285
w104x S.M. Rossnagel, A. Sherman, F. Turner, J. Vac. Sci. Technol. (2001) 285.
B 18 (2000) 2016. w110x S.W. Choi, C.-S. Lee, W. Koh, et al., Atomic Layer Deposition,
w105x H. Kim, S.M. Rossnagel, Atomic Layer Deposition, Topical Topical Conference, American Vacuum Society, Monterey, CA,
Conference, American Vacuum Society, Monterey, CA, USA, USA, May 14–15, 2001.
May 14–15, 2001. w111x T. Doh, J. Koo, Y. Kim, J. Han, Y. Kim, H. Jeon, Atomic
w106x Y. Satoh, K. Ikeda, S. Sugahara, M. Matsumura, Jpn. J. Appl. Layer Deposition, Topical Conference, American Vacuum Soci-
Phys. 39 (2000) 5732. ety, Monterey, CA, USA, May 14–15, 2001.

View publication stats

You might also like