Professional Documents
Culture Documents
Codigo Servomotor
Codigo Servomotor
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity UNO is
end UNO;
begin
Divisor50Hz : process(clk_in)
begin
if rising_edge(clk_in) then
end if;
posicion: process(col)
begin
if col="000" then
end if;
if col="010" then
end if;
if col="001" then
end if;
end process;
process (duty,divisor)
begin
if divisor<duty then
clk_out<='1';
else
clk_out<='0';
end if;
end process;
end Behavioral;
PINES