You are on page 1of 1

library ieee ;

use ieee.std_logic_1164.all ;
entity inv is
port(a:in std_logic; b:out std_logic);
end;
architecture flot of inv is
begin
b<=not(a);
end;

You might also like