You are on page 1of 1

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

entity main is
Port ( A,B,C : in STD_LOGIC_VECTOR (15 downto 0);
sel : in STD_LOGIC_VECTOR (1 downto 0);
OUT : STD_LOGIC_VECTOR (15 downto 0));

end main;

architecture struct of main is

end ;

You might also like