Professional Documents
Culture Documents
Addsous
Addsous
use ieee.std_logic_1164.all;
entity addsous is
port(
a,b : in std_logic_vector(15 downto 0);
as: in std_logic;
component add4b is
port(a,b:in std_logic_vector(15 downto 0);
cin: in std_logic;
cout: out std_logic ;
s:out std_logic_vector(15 downto 0));
end component;
component xor4b is
port (a:in std_logic_vector(15 downto 0),
as: in std_logic;
s: out std_logic_vector(15 downto 0));
end component;
end s;