You are on page 1of 1

library ieee;

use ieee std_logic_1164.all;


entity HA is
port (x,y, cin: in std_logic;
s,r: out std_logic);
end;

architechture flot of HA is
begin
s<= x xor y ;
r<= x and y ;
end ;

You might also like