You are on page 1of 6

2016 International Conference on Information Technology

A Scalable Hierarchical Ring Based Wireless


Network-on-Chip
Munshi Mostafijur Rahaman, Prasun Ghosal, Siddhartha Biswas
Indian Institute of Engineering Science and Technology, Shibpur, Howrah 711103, WB, INDIA
Email: {munshi, p ghosal}@it.iiests.ac.in, wakeupsiddhartha@gmail.com

Abstract—Network-on-Chip (NoC) based systems are becom- network can be alleviated by including wireless interconnect
ing more acceptable nowadays compared to System-on-Chips in WNoC. Distinct media control access (MAC) techniques
(SoC) for nanoscale system development due to increasing scaling. and routing protocols run for WNoCs to achieve significant
The weakness of wired communication leads to insertion of
wireless links in NoC Systems to mitigate the multihop problem. performance. WNoCs can make multicasting, broadcasting,
Present work provides significant improvements of NoC and and distance-independent communication with CMOS com-
Wireless NoC (WNoCs) systems contributing salient techniques patibility. WNoCs allow transmitting data with low latency,
related to necessary hardware and communication protocols. This high throughput and reduced hop count using minimal power
work also explores experiments on NoC and WNoC systems. and resource.
Proposed WNoC design offers 33.19% - 47.49% improvements
in latency with 15.73% - 23.06% gain in energy consumption and Our aim here is to design novel approach to build a highly
latency improvements of 52.60% - 56.55% with 22.65% - 29.16% scalable NoC with both wired and wireless links base. Wireless
savings in consumed energy over mesh based wired traditional interconnects present following exclusive advantages.
NoC and another state-of-the-art WNoC respectively. 1. The viability and cost benefit of being CMOS suitable.
Index Terms—Wireless NoC, Network-on-Chip, Scalability, 2. Decreasing area overhead due to no wires or waveguides.
Performance, Hierarchical Architecture 3. Transmitting from one end to other over the chip by low
power.
I. I NTRODUCTION
II. R ELATED W ORK
Embedded high-performance computing systems are
equipped with a large number of cores nowadays due to Today WNoC is the most efficient and acceptable solution to
increased scaling of integration levels in present Si technology. face the challenge to increase performance and reduce energy
Bus based System-on-Chip (SoC) [1] infrastructure is not consumption in the era of the multicore processor. It [7] has
sufficient to construct such system. Network-on-Chip (NoC) already been shown that by including Radio Frequency (RF)
has opened a new and innovative approach [2] in on-chip node, the performance of the whole system can be improving.
communication world keeping system performance intact. If we are able to decrease the number of hops in a transmission
But outrageous latency, high power consumption and path of a message, we might improve the performance in
interconnect routing problems for long distance multihop latency, power consumption, and throughput. Use of wireless
among the cores create restrictions on traditional NoCs links guarantees the increase of transmission range. As a result
use. Alternative strategies are needed to overcome the for the same given transmission distance between two nodes,
limitations of conventional NoCs, occurring due to planar a message can go through small numbers of wireless nodes
metal interconnect based multi-hop communication. New than wired nodes. Use of RF nodes arises the issue of power
architectures and techniques are being explored to develop consumption. We can rescue this situation by the carbon-nano
and evaluate the NoC research area. As example of new tube antenna usages. In [8], the researchers have shown carbon
approaches, 3D [3] and photonic NoCs [4] have been designed nano-tube antenna is the solution for long range wireless
using vertical vias with stacked architectures, nano-photonics, communication.
and RF interconnect techniques. These aforementioned On-chip wireless interconnects were revealed first in
approaches add improvement in the latency and throughput [9],[10] for distributing clock signals. A short while ago, the
of traditional NoCs. design of a wireless NoC based on CMOS Ultra-Wideband
WNoCs replaces wires by wireless radios based on RF (UWB) technology was proposed [11]. But, the use of UWB
interconnection to improve accessibility, bandwidth utilization, has its own disadvantages, necessarily requires multi-hop
reduce delay, and cross-talk noise of traditional NoC. In the de- communication through the on-chip wireless channels. Also,
sign of on-chip communication, use of high-bandwidth single- the particular work has totally focused on pure wireless
hop long range wireless link leads to gain preeminent data systems. Every data channel between nodes is wireless without
rate in the range of tens of gigahertz to hundreds of terahertz. considering the control link because that does not work on
Carbon-nanotubes (CNTs) [5] and graphene [6] are examined data transmission. The drawback of that system is each PE
in the WNoC design to enhance on-chip wireless communi- of a sub-network is connected with an RF node with direct
cation. Global signal distribution problem for intrachip clock wired link and if a node of a sub-network wants to connect

978-1-5090-3584-7/16 $31.00 © 2016 IEEE 154


DOI 10.1109/ICIT.2016.57
to other node of another sub-network then wireless communi- good combination of the wireless and wired links and suitable
cation is the only way, even the destination node is the very system structure, one can alleviate the above problems.
neighbor to each other. In [12], the author has proposed a Here, the whole hybrid networks consist of N×N processing
better solution where they use two-tier hybrid wireless/wired elements/IP. Where N is multiple of 3. A sub-network will
architecture to demonstrate the benefits of long-range wireless consist of 9 PE elements as shown in figure 1. A sub-network
links. By embedding CNT-based antenna in their work they may or may not have a wireless hub/RF node, depending upon
have improved system performance. In [13] the author has the position of the sub-network in the system. If a sub-network
use WNoC architecture, based on a conventional wired 2-D has an RF node then the RF node will be connected by wired
mesh NoC architecture called Network-based Processor Array connection with one of its PE. RF node can communicate with
(NePA). In that work, WNoC is constructed by replacing any RF node of other sub-network within its communication
some of the routers in NePA with Wireless Routers (WR), range. The architecture has a central sub-network which will
which have wireless links to other routers in addition to the play the major role in long distance communication and traffic
original wired links and the whole system has split into sub- control maintenance.
networks and in each sub-network, a WR has placed and
corresponding routing scheme has proposed. Here also there
is no clarification of sub-network size and proper placement
of RF node.
In this work, we have proposed a sophisticated RF node
placement algorithm and its routing scheme. Here we have
used conventional mesh network and the whole network has
been divided into smaller sub-network of size 3×3. A sub-
network may have a wireless hub or may not have, depending
upon the position of the sub-network in the system.The major
contributions of this work include the followings.
1. Design of a Hybrid WNoC Network architecture.
2. A supporting node placement scheme.
3. Corresponding routing policy implementation.

III. W IRELESS N O C A RCHITECTURE


Fig. 1. Proposed Hybrid WNoC Network
A WNoC essentially contains antennas, transceivers with
wireless interfaces. Both scalable wired and wireless hybrid
1) Wireless / RF node Placement: In proposed architecture
architecture is endorsed to interconnect many cores in WNoC
we have inserted / placed some radio frequency node in
system [14]. The prospective advantages of wireless link
the network. First, the whole network has been divided into
over wired have described previously in Section-I. A WNoC
small sub-networks, where each sub-network will contain 9
is proposed in [15] using Ultra-Wideband technology. The
processing elements. Now, we place RF nodes in the net-
closest cores are interconnected with conventional metal wires
work, but keep in mind that we have to use less number of
while broadly scattered cores are interconnected with long
RF nodes and also have to cover the entire network with
range, single hop wireless links. This hybrid architecture offers
radio communication. Depending upon the position of the
a sustainable and resilient infrastructure in WNoC design [16]
sub-network in the system, the position of wireless-hub/RF
with respect area overhead and energy consumption. Many
node will be also different. For that, we have proposed a
researchers have explored different architectures of WNoC in
Radio Frequency node placement algorithm which follows
[13], [17].
a specific pattern. The network will be either Odd network
or Even network, depending upon the value of a number of
A. Proposed Architecture the processing element. For, even and odd network it has the
In this work, we have used hybrid WNoC, rather than pure different base to construct the entire network. The placement
wireless NoC. Here the node is basically a router, there will be algorithm discussed bellow. we have shown the even and Odd
both two types of router, generic router, and Wireless Router, network in figure 2 and 3 respectively.
also known as Radio Frequency (RF) node. Recent literatures 2) Area Consumed by RF node and comparison with fully
[12], [13], [18] recommend hybrid WNoC more, than the Regular Placement: Area consumed by Radio Frequency node
pure WNoC. One reason is the limitation of the frequency in the network is always less than 10% has been shown in
band. Another major reason is the efficiency and necessity figure 5. In figure 6, a brief comparison has been shown
of transmission between two short-distance nodes. Because between the proposed model and a fully regular RF node
the most valuable characteristic of wireless communication placement, where an RF node is placed in every sub-network.
is it can decrease the number of hops in a long distance The proposed model requires less number of RF nodes com-
transmission, if there is none or just a few hops between two pare to regular placement model.
nodes, the benefit of wireless is not so great over the wired The coverage area of each RF node is equal. In this work
transmission. In a hybrid Wireless NoC system, by designing a we have placed an RF node such a way that a radio link can

155
Algorithm : RF Node Placement Algorithm
Input: N, where NxN is the number of processing element.
Output: Number of RF nodes required and Position of RF nodes in each
sub-network.

1. Begin
N × N , Total Sub-network SUB NET = PE/9,
2. Total Processing Element PE = 
Degree of the Network Deg = (SUB N ET ) − 2, Required Radio
Frequency Node RF = SUB N ET − 2 × Deg;
3. A two dimensional matrix MAT [ ][ ] will contain the position of the RF node
of the network.
4. Integer B determines the base of the network, Matrix BASE[ ][ ] will define
LEN determine length of the matrix.
the base of the network and 
Where LEN = (SUB N ET );
5. Assigning Base of the Network
 
5 5
EV EN [ ][ ] =
5 5
⎡ ⎤
8 5 8
ODD[ ][ ] = ⎣0 5 0⎦
2 5 2

6. If (N%2 == 0) then
B = 0;
Fig. 2. Even Network BASE[ ][ ] = EV EN [ ][ ];
7. else
B=1;
BASE[ ][ ] = ODD[ ][ ];
8. end if;
9. If ( B==0 && LEN >=4) then /∗ creating matrix for even network ∗/
10. For i= 4 to LEN
11. Assign (i, MAT[ ][ ], BASE[ ][ ]);
12. i = i+2;
13. end For
14. else /∗ creating matrix for odd network ∗/
15. For i = 5 to LEN
16. Assign (i, MAT[ ][ ], BASE[ ][ ]);
17. i = i+2;
18. end For
19. end if;
20. End

Algorithm : RF Node Placement Algorithm i.e. Assign ( )


Input: Length of the matrix, matrix MAT where output value will be stored,
base matrix BASE;
Output: Position of RF node in matrix form in each sub-network.

1. Begin
2. Upper left and upper right position of RF node in sub-network is always 8;
i.e. MAT [0][0] = 8 and MAT [0][LEN − 1] = 8
Fig. 3. Odd Network 3. Lower left and Lower right position of RF node in sub-network is always 8;
i.e. MAT [LEN − 1][0] = 2 and MAT [LEN − 1][LEN − 1] = 2
4. Upper and Lower rows intermediate value is always 5;
5. Assign Left and Right columns intermediate value for even network;
6. Assign Left and Right columns intermediate value for odd network;
7. MAT [1 to LEN − 2][1 to LEN − 2] = BASE[ ][ ];
8. BASE[ ][ ] = MAT [ ][ ];
9. End

Fig. 4. RF node’s coverage Area


Area

Processing Elements
Fig. 6. Required RF nodes in Regular and Proposed Placement Model
Fig. 5. Area consumed by RF nodes in the different size of network of the
proposed Placement Model

156
be established with its neighbor nodes horizontally, vertically Algorithm : Destination Finding Algorithm i.e. explore( )
as well as diagonally depending upon their position in the sub- Input: Source PE coordinate [x,y] and Destination PE coordinate [X,Y]
Output: Path to reach destination and number of hop count
network, if the both sub-network has RF node, shown in figure
4. 1. Begin
2. If x = X and Y > y Then
As the network is mesh, so the wired distance between two 3. y =y+1
IP cores is same with others. Let’s, the wire distance between 4. Else If x = X and Y < y Then
5. y = y − 1;
two IP cores is x m. Here we assume the coverage area (radius) 6. Else If X > x and y = Y Then
of an RF node is 4x m, which efficiently avoid interference 7. x = x + 1;
8. Else If X < x and y = Y Then
of other RF node and also establish wireless connection with 9. x = x − 1;
diagonally neighbor sub-network. 10. Else If X > x and Y > y Then
11. x = x + 1 and y = y + 1;
3) Routing Algorithm: As we previously mentioned, the 12. Else
routing algorithm in the lower wired mesh can be extremely 13. x = x − 1 and y = y − 1;
14. End If
simple, while in the upper wireless mesh, the routing algorithm 15. Now communicate with the newly explored neighbor sub-network
needs to handle massive data volume passing through the 16. If the current sub-network and next sub-network has a RF node and they are
within the communication range then communicate with it. Else communicate
wireless nodes. For the proposed wireless NoC architecture, with it through wired connection.
we design a routing algorithm combined with deterministic 17. Continue, until Destination sub-network (co-ordinate) is reach.
18. End
and adaptive characteristics.
First, packets routed in the wired mesh follow the deter-
ministic XY routing algorithm, which has a low algorithm
complexity and guarantees the shortest wired path [19].
Second, in the top wireless mesh, the partially adaptive
routing algorithm is used to route packets, so the congestion
level of the wireless mesh can degrade, and the wireless path
is the shortest.
When a PE wants to communicate with another PE, first the
coordinate of both the source and destination is calculated. If
the source and destination are within the same sub-network
then only wired communication is established, otherwise
searching of destination in sub-network continued. Each time Fig. 7. A long distance communication and routing path
source sub-network’s co-ordinate value is increased and a com-
munication is established with the newly explored neighbor
sub-network. If newly explored sub-network and current sub- the below figure 8(a) and 8(b). On the other hand, the wired
network has RF node and both are within their communication router has 5 input ports, all are wired port. Each wired port
range, then a wireless connection is established otherwise can receive both types of packets with two virtual channels,
wired connection established. The increasing/decreasing of co- VC0 and VC1. VC0 is for the long distance packets and VC1
ordinate value of source sub-network depends on the coor- for the short distance packets. As the wireless port handles
dinate of the destination node. The exploration of neighbor long distance packets only, one buffer (VC0) is sufficient.
sub-network continued until the destination sub-network is The Switch Allocator handles the requests of the virtual
reached. Proposed routing algorithm has described below. A channels and the switch is used alternately by these VCs.
long distance communication from source to destination using The long distance packets and the short distance packets are
wireless router has been shown in figure 7. routed through different virtual channels. No VC can dictate
the switching fabric indefinitely. As a result, the possibility
Algorithm : Routing Path Determination Algorithm of having a deadlock can be eliminated.
Input: Source PE id and Destination PE id
Output: Path to reach destination and number of hop count.
1. Begin
2. Compute the sub-network co-ordinate of Source and destination from their
nodes ID.
IV. P ERFORMANCE A NALYSIS
3. S P E = [x, y] D P E = [X, Y ] ; coordinates of source and destination
4. If the source subnet [x, y] = destination subnet [X, Y ] Then
A SystemC based cycle accurate simulator named Noxim
5. wired connection(); [20] is used here to obtain WNoC platform. Here, we also
6. Else if source subnet and destination node is neighbor, two nodes are
immediate to each other and wired distance is ≤ 3 Then
present comprehensive examination of the latency and energy
7. wired connection(); dissipation parameters [12] to evaluate the performance of our
8. Else explore(x, y, X, Y ) until destination sub-network (coordinate) is
reached.
design. Latency is defined as the time takes to be transmit
9. End if packet successfully from the source node and its complete
10. End
reception at the destination node. The average or maximum
latency is the average or maximum number of clock cycles
4) Wireless Router Design: The wireless router has 9 elapsed to be sent out the packet successfully at the target
input ports, among these 5 wired ports and 4 wireless ports node from the beginning of the transmission of the packet.
for Base Router, and 11 input ports, among these 5 wired The experimental configuration for simulation process is listed
ports and 6 wireless ports for Non-Base Router, as shown in in Table I.

157
Average latency Average latency
100 350
90
300
80

average latency (cycles)

average latency (cycles)


70 250
60 200
50 Prop_Wireless_NoC Prop_Wireless_NoC
40 Default_Wired-NoC 150 Default_Wired-NoC

30 100
20
50
10
0 0
0.0010.0020.0030.0040.0050.0060.0070.0080.009 0.01 0.011 0.0010.0020.0030.0040.0050.0060.0070.0080.009 0.01 0.011
packet injection rate (packet/node/cycle) packet injection rate (packet/node/cycle)

(a) 6 × 6 Mesh (b) 9 × 9 Mesh


Average latency Average latency
350 500
450
300
400

average latency (cycles)

average latency (cycles)


250 350

200 300
Prop_Wireless_NoC 250 Prop_Wireless_NoC
150 Default_Wired-NoC 200 Default_Wired-NoC

100 150
100
50
50
0 0
0.0010.0020.0030.0040.0050.0060.0070.0080.009 0.01 0.011 0.0010.0020.0030.0040.0050.0060.0070.0080.009 0.01 0.011
packet injection rate (packet/node/cycle) packet injection rate (packet/node/cycle)

(a) Base Router


(c) 18 × 18 Mesh (d) 21 × 21 Mesh

Fig. 9. Comparative analysis of average latency for different dimension mesh


based proposed WNoC over traditional NoC

Average latency Average latency


120 350

300
100

average latency (cycles)

average latency (cycles)


250
80
200
60 Prop_Wireless_NoC Prop_Wireless_NoC
Default_Wireless_NoC 150 Default_Wireless_NoC
40
100
20 50

0 0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011 0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011
packet injection rate (packet/node/cycle) packet injection rate (packet/node/cycle)

(a) 6 × 6 Mesh (b) 18 × 18 Mesh

Fig. 10. Comparative analysis of average latency for different dimension


mesh based propsed WNoC over previously proposed WNoC

(b) Non-Base Router


TABLE II
Fig. 8. Wireless Router A N IMPROVEMENT STUDY BETWEEN PROPOSED WN O C AND
TRADITIONAL WIRED N O C.

TABLE I Mesh Network Size Average latency (cy- Energy consumption


E XPERIMENTAL CONFIGURATION . cles) (μJ)
6×6 33.19% 15.73%
Element Specification 9×9 33.96% 18.47%
System size 6x6, 9x9, 18x18, 21x21 21×21 47.49% 22.28%
Number of RF nodes 4 to 81
Subnet mesh size 3×3, 2×2
Width of flit 64 bits TABLE III
Baseline mesh routing XY routing A N IMPROVEMENT STUDY BETWEEN PROPOSED WN O C AND PREVIOUSLY
Total simulation cycle 10,000 DESIGNED WN O C.
dataRate 16 Gb/s
Mesh Network Size Average latency (cy- Energy consumption
Traffic distribution Random
cles) (μJ)
Injection rate 0.001-0.11
6×6 52.60% 22.65%
18×18 56.55% 29.16%

In the simulation process, evaluation has been done con-


sidering different size mesh based wired and wireless net- the average latency of 52.60%-56.55% compare to other one
work with the wireless hubs using RF nodes ranging from WNoC is shown in Table III.
4 to 81. Figure 9 depicts the average latency under dif- Figure 11 shows the energy consumption including dynamic
ferent packet injection rate with different system size. The and static energy of different size mesh based networks for
latency of proposed WNoC named Prop Wireless NoC is the proposed WNoC design compare to conventional wired
lower than that of the mesh based wired traditional NoC, NoC under different packet injection rate. Here, the Energy is
Default Wired NoC [21]. Further in the analysis, we consider decomposed with wired, wireless, and router energy. Figure
different sizes 2D meshes with 2×2 subnet based wireless 12 shows the energy overhead for proposed WNoC infras-
NoC called Default Wireless NoC [22] with respect to our tructure is less compared to previously designed WNoC. The
proposed scheme. Here, the proposed WNoC design has the Proposed WNoC system provides average energy savings of
average latency improvements of 33.19%-47.49% compare to 15.73%-23.06% over Default Wired NoC for different system
Default Wired NoC for different system size meshes network size. Prop Wireless NoC also offers 22.65%-29.16% gain in
shown in Table II. Figure 10 shows the average latency of the energy consumption compare to other one WNoC. Above
Proposed WNoC design compare to Default Wireless NoC. mentioned both improvement analysis of energy has been
Proposed WNoC also provides performance enhancements in shown in Table II and III

158
Energy consumption Energy consumption [5] A. Nojeh, P. Pande, A. Ganguly, S. Sheikhaei, B. Belzer, and A. Ivanov,
4.5
1.6

1.4
4 “Reliability of wireless on-chip interconnects based on carbon nanotube
3.5
1.2

1
3 antennas,” in Mixed-Signals, Sensors, and Systems Test Workshop, 2008.

energy (J)
2.5
energy (*J)

Prop_Wireless_NoC
0.8

0.6
Prop_Wireless_NoC
Default_Wired_NoC 2
1.5
Default_Wired_NoC IMS3TW 2008. IEEE 14th International. IEEE, 2008, pp. 1–6.
0.4

0.2
1
0.5
[6] S. Abadal, E. Alarcón, A. Cabellos-Aparicio, M. Lemme, and M. Ne-
0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011
0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011 mirovsky, “Graphene-enabled wireless communication for massive mul-
packet injection rate (packet/node/cycle) packet injection rate (packet/node/cycle)

ticore architectures,” Communications Magazine, IEEE, vol. 51, no. 11,


(a) 6 × 6 Mesh (b) 9 × 9 Mesh pp. 137–143, 2013.
[7] U. Y. Ogras and R. Marculescu, “” it’s a small world after all”: Noc
Energy consumption Energy consumption
performance optimization via long-range link insertion,” Very Large
18
16
25 Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 14, no. 7,
14
12
20
pp. 693–706, 2006.
15
energy (*J)

10
[8] P. J. Burke, S. Li, and Z. Yu, “Quantitative theory of nanowire and
energy (J)
Prop_Wireless_NoC Prop_Wireless_NoC
8 Default_Wired_NoC Default_Wired_NoC
10
6
4
5
nanotube antenna performance,” Nanotechnology, IEEE Transactions on,
2
0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011
0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011
vol. 5, no. 4, pp. 314–334, 2006.
packet injection rate (packet/node/cycle) packet injection rate (packet/node/cycle)
[9] K. Kim, H. Yoon et al., “On-chip wireless interconnection with inte-
grated antennas,” in Electron Devices Meeting, 2000. IEDM’00. Techni-
(c) 18 × 18 Mesh (d) 21 × 21 Mesh cal Digest. International. IEEE, 2000, pp. 485–488.
[10] B. Floyd, C.-M. Hung et al., “Intra-chip wireless interconnect for
Fig. 11. Comparative analysis of energy consumption latency for different clock distribution implemented with integrated antennas, receivers, and
dimension mesh based propsed WNoC over traditional NoC transmitters,” Solid-State Circuits, IEEE Journal of, vol. 37, no. 5, pp.
543–552, 2002.
Energy consumption Energy consumption [11] D. Zhao and Y. Wang, “Sd-mac: Design and synthesis of a hardware-
3.5

3
40

35
efficient collision-free qos-aware mac protocol for wireless network-on-
2.5

2
30

25
chip,” Computers, IEEE Transactions on, vol. 57, no. 9, pp. 1230–1245,
energy j *J)

energy j *J)

1.5
Prop_Wireless_NoC
Default_Wireless_NoC
20

15
Prop_Wireless_NoC
Default_Wireless_NoC 2008.
1

0.5
10

5
[12] A. Ganguly, K. Chang, S. Deb, P. P. Pande, B. Belzer, and C. Teuscher,
0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011
0
0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.01 0.011
“Scalable hybrid wireless network-on-chip architectures for multicore
pacdet inkection rate j pacdet(no/ e(cycle) pacdet inkection rate j pacdet(no/ e(cycle)
systems,” Computers, IEEE Transactions on, vol. 60, no. 10, pp. 1485–
1502, 2011.
(a) 6 × 6 Mesh (b) 18 × 18 Mesh
[13] C. Wang, W.-H. Hu, and N. Bagherzadeh, “A wireless network-on-chip
design for multicore platforms,” in Parallel, Distributed and Network-
Fig. 12. Comparative analysis of average latency for different dimension
Based Processing (PDP), 2011 19th Euromicro International Conference
mesh based propsed WNoC over previously proposed WNoC
on. IEEE, 2011, pp. 409–416.
[14] S.-B. Lee, S.-W. Tam, I. Pefkianakis, S. Lu, M. F. Chang, C. Guo,
G. Reinman, C. Peng, M. Naik, L. Zhang et al., “A scalable micro
V. C ONCLUSION wireless interconnect structure for cmps,” in Proceedings of the 15th
annual international conference on Mobile computing and networking.
Above evaluation of the experiments shows that WNoC ACM, 2009, pp. 217–228.
provides good performance both in latency and energy with [15] D. Zhao, Y. Wang, J. Li, and T. Kikkawa, “Design of multi-channel wire-
less noc to improve on-chip communication capacity,” in Proceedings
the increase in system size. This outperforming ensures the of the fifth ACM/IEEE International Symposium on Networks-on-Chip.
utility of WNoC that may hold a crucial contribution in ACM, 2011, pp. 177–184.
upcoming NoC communication. Here, the proposed scheme [16] S. Abadal, M. Iannazzo, M. Nemirovsky, A. Cabellos-Aparicio, H. Lee,
and E. Alarcon, “On the area and energy scalability of wireless network-
presents the design of a WNoC that guarantees low latency on-chip: A model-based benchmarked design space exploration,” Net-
with less energy consumption using RF infrastructure. This working, IEEE/ACM Transactions on, vol. 23, no. 5, Oct 2015.
design technique leads improvement in the average latency [17] S. Deb, K. Chang, A. Ganguly, X. Yu, C. Teuscher, P. Pande, D. Heo,
and B. Belzer, “Design of an efficient noc architecture using millimeter-
and energy consumption by 56.55% and 29.16% respectively. wave wireless links,” in Quality Electronic Design (ISQED), 2012 13th
The works introduced in this paper are beneficial and rousing International Symposium on. IEEE, 2012, pp. 165–172.
for emerging wireless technology in on-chip interconnect CMP [18] K. Chang, S. Deb, A. Ganguly, X. Yu, S. P. Sah, P. P. Pande, B. Belzer,
and D. Heo, “Performance evaluation and design trade-offs for wireless
architectures. By the utilization of wireless hubs, we expect network-on-chip architectures,” ACM Journal on Emerging Technologies
that WNoC has the possibility to contribute reliability, fault- in Computing Systems (JETC), vol. 8, no. 3, p. 23, 2012.
tolerant routing, and multicasting/broadcasting capability to [19] W. Zhang, L. Hou, J. Wang, S. Geng, and W. Wu, “Comparison research
between xy and odd-even routing algorithm of a 2-dimension 3x3 mesh
make various services in the future. We would expect more to topology network-on-chip,” in Intelligent Systems, 2009. GCIS’09. WRI
organize a detailed performance assessment for the proposed Global Congress on, vol. 3. IEEE, 2009, pp. 329–333.
WNoC with respect to other emerging NoC architectures viz. [20] V. Catania, A. Mineo, S. Monteleone, M. Palesi, and D. Patti, “Noxim:
An open, extensible and cycle-accurate network on chip simulator,”
3D and photonic NoCs and NoCs with wireless links. in 2015 IEEE 26th International Conference on Application-specific
Systems, Architectures and Processors (ASAP). IEEE, 2015, pp. 162–
163.
R EFERENCES [21] S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg,
[1] P. Magarshack and P. G. Paulin, “System-on-chip beyond the nanometer K. Tiensyrja, and A. Hemani, “A network on chip architecture and design
wall,” in Design Automation Conference, 2003. Proceedings. IEEE, methodology,” in VLSI, 2002. Proceedings. IEEE Computer Society
2003, pp. 419–424. Annual Symposium on. IEEE, 2002, pp. 105–112.
[2] W. J. Dally and B. Towles, “Route packets, not wires: On-chip [22] S.-B. Lee, S.-W. Tam, I. Pefkianakis, S. Lu, M. F. Chang, C. Guo,
interconnection networks,” in Design Automation Conference, 2001. G. Reinman, C. Peng, M. Naik, L. Zhang et al., “A scalable micro
Proceedings. IEEE, 2001, pp. 684–689. wireless interconnect structure for cmps,” in Proceedings of the 15th
[3] V. F. Pavlidis and E. G. Friedma, “3-d topologies for networks-on-chip,” annual international conference on Mobile computing and networking.
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, ACM, 2009, pp. 217–228.
vol. 15, no. 10, pp. 1081–1090, 2007.
[4] A. Shacham, K. Bergman, and L. P. Carloni, “Photonic networks-on-
chip for future generations of chip multiprocessors,” Computers, IEEE
Transactions on, vol. 57, no. 9, pp. 1246–1260, 2008.

159

You might also like