You are on page 1of 9

8 7 6 5 4 3 2 1

MAIN MTK
POWER
3V3SB

LED
T R010
IR 3V3SB
T R901 T 75K
F 10K F
3V3SB L901
220R
3V3SB (Vin=4.5V-17V) U001 TPS560200 L003
1 10UH
L=>POWER_ON R902 IR_IN 12V 3V3SB 3V3SB
PMV65XP 470R (5) 12V 4 VIN PH 3

Q003
H=>POWER_OFF 2
@max0.5A

GND
E
L001
12V 220R 12V_AMP 3 C003 C004
POWER_ON_OUT R001 GND R012 C007 C008 C009

D
S
(5) Q001 LED/LOGO R903 LED_OUT 2
10K B BT3906 (5)
4 1K 10U 0.1U C006
C L002 GND 150P
Must controlled by PWM

G
220R 5 VSENSE EN

R007
12V_M 5 1 10U 10U 0.1U
POWER_ON Vref=0.8V 39K

33K
C901 C902 C903 C904
(5) P901 GND Fsw=600KHz GND
R002 T 0.01U 0.01U 0.1U
R003 470P R008 R011
10K 10K C005
Z001 12K
R004
POWER_ON 4K7
C
Q002 3V3SB
* Delete R119 when the I/O(KEY_IN) support 3.3V 22K
0.1U

0.1U
B BT3904 GND

C002
E P902 GND R009
GND D901 GND

C001
390R
R201 10K 4 KEY1GND1 NC/ PESD5V0S1BL

R005

0.1U
12_24_DETECT R904
3V3SB T T

2K7

GND
4K7 2 1

3K3

R006

GND
3
GND
2 KEY R905
E 22K KEY_IN (5) E
C201
NC/ 10K Without via on net KEY_IN
1 3V3SB
R202 1000P
The distance between different net via>2mm The Circuit is NC when Power board provide 3V3SB.
C905
F900
0.1U R906
GND 150K

GND
GND
GND

12V TO 5V D031
DDR 1V5
CMS06
3V3SB R050
Z002 100K
T
@max 3A R088
C050 L004 0R
5V U003 L005
R013 0.1U 8.2UH C018
D C016 C017 2.2UH D
SS34B

150K
10U 10U 0.1U 5V IN LX DDR_1V5
D001

4 3
1 8 D030 C019 C020 @max1A
BOOT PH CMS06 C022 C023 C024
10U 0.1U
(vin=3.5V~28V) R015 22U 22U 0.1U
GND

12V_M 2 7 GND 2
VIN GND (Vin=2.5V-6.5V) C021 R019
27K
C010 3 6 22P 150K
C011 GND
EN COMP GND FB EN 1 GND
0.1U 10U 5
4 5
SS VSENSE Vref=0.6V AN_SY8077
VREF=0.8V
TPS54331 GND
R066

R014 GND
C012 U002 R016
C013 68K
22K

5K1
C015 R017
GND 0.1U 0.01U f=570KHz NC/ 91K
C014
3300P
GND
GND R018
8K2

GND
GND

C C
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

5V TO 1V2 R021
12K
R022
120K
R023
150K

Vref=0.6V LDO 5V TO 3V3 LDO 3V3 TO 1V8


C029
R020 2U2 R068
12K GND
C030 R025 0R
0.22U 510R

C031
14

13

12

11

10

R026

4 4
1M 220P
R024

4 4
AS1117-1.8
AGND

EN

FB

VCC

BST

3R3
U005

GND/ADJ1
U006

GND/ADJ1
OUT 2
3V3

VIN 3
L006 AS1117-3.3

OUT 2
(Vin=5V-24V) 1V8

VIN 3
B 1 9 6R8 T
12V_M VIN U004 SW2 CORE_1V2 T B
C025 C026 C027 @max6A
15 MP8765 16 C033 C034 C035 C036 5V 3V3
10U 10U 0.1U SW3 SW4 NC/ C032 10U 10U 10U 3V3 1V8
0.1U
2 8 1000P
PGND SW1 C038 C039 C040
C041 C042 C043
MODE

VOUT

10U 0.1U 10U


NC1

NC2

10U 0.1U 10U


PG

NC/ R027 NC/ R028


GND 10R 10R
GND GND GND GND GND
3

GND GND GND GND

GND

Fixed Fsw=500KHZ
C037
0.1U Fixed OCP=8.5A
... DD-MM
... ... ...
SBU :
GND
... DD-MM ... ... ... TCLNO:
A A
... DD-MM ... ... ............. TCL Thomson Electronics Ltd.
... B Building, TCL Tower, Nanhai Road
Nanshan District, Shenzhen, Guangdong
... DD-MM
... ... ... DESIGNATION Tel +86-755-3331xxxx Fax +86-755-3331xxxx
Index-Lab DATE NAME DESCRIPTION Last modif DRAWN CHECKED PAGE:
........... ON: ON: DD-MM-YY
Last saved : 5-5-2008_15:52 BY: BY: ...... OF :
8 7 6 5 4 3 2 1

FORMAT DIN A2
8 7 6 5 4 3 2 1

F
TUNER F

4 4

U101 GND/ADJ1
GND
GND
OUT 2
VIN 3

AS1117-3.3 TUNER_3V3
T C118 C119
NC/ 15P NC/ 15P
TUNER_VCC
X100 Close to MTK SOC
5V TUNER_VCC
24M
L104
C100 C101 C102
270NH

1200P
C114 GND

C117 1200P
E 10U 0.1U 10U E

0.1U
C131
1200P NC/ 22P

18
17
16
15
14
13
GND GND GND GND L103 GND R106

C116
C115
1K

NC
VDDH2
GND3
VDDH
XTAL_O
XTAL_I
GND IFP (5)
270NH
TU100
GND
C103 C112 30P 19 RF_REF XOUT 12 C128 0.1U
R104 100R R108
1
1000P
C113 30P
20 RF_IP
21 GND4 U100
LIF_P
LIF_N
11
10
C127 0.1U R105 100R 680R SOC OPTION
S1 22 RF_IN SI2151-A10 VDDH1 TUNER_VCC

GND
9
23 ADDR GND2 R107
8 C129 C130
2 24 GPIO1 VDDL 7 10P
1K
3 D100 10P NEAR THE SHIELD OUTLINE
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

GND1 (5)

GPIO2
3

GND1
AGC2
AGC1
GND2 F100 1 2 GND

SDA
C125

SCL
4 C124 C126
GND3
5 270NH L102 1200P 1U
D GND4 0BAV99 1200P D
6 270NH C132

1
2
3
4
5
6
GND5 L100 GND
7 NC/ 22P
GND6
Read Add:C1H GND
GND GND
GND GND GND L101 Write Add:C0H
GND_AA 270NH GND
Close to SOC

GND
TUNER_VCC
R102 R103 RT18/RT19/RT20 decided by the MTK SOC
C104
C105

C106

C107
C108

C109

C110

C111

1K 10K
IF_AGC (5)

C123
0.01U

0.01U

0.01U
0.01U

0.01U

0.01U

0.01U

0.01U

C122
0.047U
4K7 R259 6800P
C R258 C120 C121 C
4K7
22P 22P GND
GND GND

R100 R101
220R 220R GND

SCL_TUNER(5)
SDA_TUNER(5)

B B

... DD-MM ... ... ... SBU :


... DD-MM ... ... ... TCLNO:
TCL Thomson Electronics Ltd. A
... DD-MM ... ... ... ............. B Building, TCL Tower, Nanhai Road
A Nanshan District, Shenzhen, Guangdong
DD-MM ... ... ...
... DESIGNATION Tel +86-755-3331xxxx Fax +86-755-3331xxxx
Index-Lab DATE NAME DESCRIPTION Last modif DRAWN CHECKED PAGE:
........... ON: ON: DD-MM-YY
Last saved : 5-5-2008_16:29 BY: BY: ...... OF :

8 7 6 5 4 3 2 1

FORMAT DIN A3
8 7 6 5 4 3 2 1

AV3_IN AVO_GND
T
AVOUT/Spdif out
CLOSE TO U001 SPDIF
Close to Connector T AVO_L CLOSE TO U001
AVO_V T Close to Connector
F R910 T F
C912 0.047U AV_V_IN+ (5)
100R R914
AV_GND
P904
AV_V_OUT 47R AVOUT_V
T CVBS 1
AV_V R907 C911
R 2 SPDIF_O R916 220R C916 SPDIFO
T
75R NC/47P 0.1U
GND 3
C910 1U AV_V_IN-
P903 6 AV_V (5)
L 4 AVOUT_L R911 470R AL2_ADAC
YELLOW C915
Close to Connector GND 5 AR2_ADAC
5 R913 C914

R915
R912 470R 47P 120R
T AV_L C969 120R 220P
AV_L R908 AV_L_IN C913
4 33K C909 1U (5) 47P
WHITE 470P
3 AV_R
E T GND GND E
R909 AV_R_IN GND
2 AV_R C908 1U (5)
33K
RED
GND
1

AV_V_OUT
NC/ NC/

AVOUT_L
SPDIF_O
GND

SPDIF_O
150P 150P
AV_V
AV_R
AV_V

AV_L

C906 C907
NC/

PESD5V0S1BL

PESD5V0S1BL
PESD5V0S1BL

NC/ PESD5V0S1BL
1

1
1

1
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

F904
F903
F901

F902

D902

D905
D903

D904
D GND D
2

2
2

2
GND
GND

C C

B B

...
...

...
DD-MM ...

DD-MM ...

DD-MM ...
...
...

...
...

...
...
SBU :
TCLNO:
.............
ADDRESS1
TCL A
ADDRESS2
A ADDRESS3
DD-MM ... ... ...
... DESIGNATION TELEPHONE
Index-Lab DATE NAME DESCRIPTION Last modif DRAWN CHECKED PAGE:
........... ON: ON: DD-MM-YY
Last saved : 4-14-2009_15:30 BY: BY: ...... OF :

8 7 6 5 4 3 2 1

FORMAT DIN A3
12 11 10 9 8 7 6 5 4 3 2 1

H
H

U901 D907 NC/


U1_DP 2 1
RT9711A
5 1 PESD5V0S1BL
5V VIN VOUT
D908 NC/
C918 USB1_D- U2_DP 2 1
R918 2
GND USB_5V GND PESD5V0S1BL
10U 10K
T T T T D909
4 3 NC/
GND USB1_D+ P916 U1_DM
EN/EN# NC USB_5V 1 2 1
VCC-1
GND PESD5V0S1BL
USB2_DM R919 2R2 U2_DM 2 D910
(5) DNEG-1 NC/
U2_DM 2 1
USB2_DP R920 2R2 U2_DP 3
(5) DPOS-1
PESD5V0S1BL
4 D911 NC/
F905 F906

INTERNET
GND-1
C919 C920 U_RX 2 1
C921 PESD5V0S1BL
C922
3P3 3P3 D912 NC/
10U 5
470U MNT-HOLE1 U_TX 2 1
16V Must be differential 100ohm
6 MNT-HOLE2
PESD5V0S1BL
G G
GND GND TXVP R927 2R2 TXP
TXVN TXN

USB2.0 Port GND

When use ESD Device, must delete 3.3pF on USB data line
GND
R928 2R2

1
D914

10
T900
11
P906

1
1 16 TX1P 12
D913 R931 R933
USB_5V GND 2 9 75R 75R TXC GND
2 15
13-ESD3V3-6PB TX1P 1

T T T T
GND

GND
3 8 TP
3 14 TX1N TX1N 2
USB2_D- RXD 4 7 TN
0.1 T T T TXD 4 13 RX1P 3
3V3SB RP
C923 USB2_D+ T P917 5 6 TS8121CLF TXC 4
9 5 12 TC

WIFI_PWR
R923 5

USB1D+
1

USB1D-
6

10
(5) UART_RX 470R U_RX 8 6 11 RX1P 6
R921 R922 ESD R932 R934 RX1N

T T
U1_DM 2 RN
4K7 4K7 (5) USB3_DM R924 2R2 NC/ 13-ESD5V5-O4B0P5 75R 75R RXC 7
7 R929 2R2 7 10 RXC
(5) USB3_DP R925 2R2 U1_DP 3 RXVP RXP
RX1N
RC
8 FOR WIFI CTL WIFI_SW
T T T T
WGND
T
UART_RX UART_TX U_TX 6 RXVN RXN 8 9
(5) C930
4 9
R926 F907 F909 5 R930 2R2 1000P
UART_TX 470R
C924 C925 10 5V 5V
1

F912
F911
10 USB0_DM R935 2R2
3P3 3P3 F908 MNT-HOLE1 C926 C927 C928 C929 2
F910
11 GND GND USB0_DP R936 2R2 F
T

F GNDU MNT-HOLE2 0.01U 0.1U 0.1U 0.01U 3


GND GND 4
GND WIFI_ON R937 100R

P406,R446,R447 are NC when MP (NO VGA PORT) 5


GND GND
1.Turn off the USB Uart factory setting GND 6
C931 C932 C933 C934
GND
2.Artwork strip mark:USB 2.0 0.01U 0.1U P907
3P3 3P3

USB2.0+RS232 Port GND


GND GND

H1_RX2+ R938 H1_RX2+_IN


(5) 4R7
MHL_SENSE
(5) 100R R939
E H1_RX2- R940 H1_RX2-_IN E
(5) 4R7 H2_RX2+_IN R979 H2_RX2+
4R7 (5)
1 10 H2_RX2-_IN R978 H2_RX2-
4R7 (5)
2 9 1 10
GND

GND

3 8 2 9
4 7

GND

GND
3 8
5 6 4 7
VBUS 5V
5 6
D925 D927 ESD
BAT54C H1_RX1+ R941 H1_RX1+_IN 13-ESD5V5-O4B0P5
(5) 4R7
1 2 P908 D930 ESD
H1_RX1- R942 H1_RX1-_IN H2_RX1+
3 (5) 4R7 13-ESD5V5-O4B0P5 H2_RX1+_IN R977
4R7 (5)
MHL+/H1_RX0+ MHL+/H1_RX0+_IN 1 P909 H2_RX1-
(5) 4R7 R943 RX2+ H2_RX1-_IN
4R7
R976 (5)
MHL-/H1_RX0- R944 MHL-/H1_RX0-_IN 2 GND1
(5) 4R7 1 H2_RX0+_IN H2_RX0+
RX2+ R975 (5)
R945 R946 4R7
3 2 H2_RX0-_IN R974 H2_RX0-
4K7 10K RX2- GND1 4R7 (5)
1 10
4 RX1+ 3
2 9 RX2- 1 10
5 4
GND2 RX1+
GND

GND

3 8 2 9
6 RX1- 5
D H1_SCL_IN R947 H1_SCL GND2 D
4 7

GND

GND
(5) 100R 3 8
7 6
R948 RX0+ RX1-
H1_SDA_IN H1_SDA 5 6 4 7
(5) 100R 8 GND3 7
RX0+ 5 6
D928 ESD 9 8
RX0- GND3
13-ESD5V5-O4B0P5
H1_CLK+ R949 H1_CLK+_IN 10 RXC+ 9
(5) 4R7 RX0- D929 ESD
13-ESD5V5-O4B0P5
11 10 H2_RXC+_IN R973 H2_CLK+
GND4 RXC+ 4R7 (5)
H1_CLK- R950 H1_CLK-_IN 12 RXC- 11
(5) 4R7 GND4 D920
13 2 1 H2_SCL
NC1 RXC- 12 H2_RXC-_IN R972 H2_CLK-
4R7 (5)
PESD5V0S1BL
D915 14 NC2 13
NC/ NC1 D921
2 1 MHL_SENSE H1_SCL 15 2 1 H2_SDA
DDCCLK NC2 14 H2_ARC
PESD5V0S1BL PESD5V0S1BL
H1_SDA 16 DDCDA 15 H2_SCL R971 H2_SCL_IN
D916 100R (5) D922 NC/
H1_SCL DDCCLK H2_SDA_IN
2 1 17
H2_SDA 100R (5) 2 1 H2_5V
GND5 DDCDA 16 R968 47K
PESD5V0S1BL R970 PESD5V0S1BL
VBUS 18 VCC 17 D923
D917
GND5 2 1 H2_HPD
2 1 H1_SDA H1_HPD 19 R969 47K
HPD VCC 18 H2_5V PESD5V0S1BL
PESD5V0S1BL D924 NC/
D918 NC/ 19 H2_HPD 2 1 H2_ARC
C GND HPD C
2 1 VBUS R964 PESD5V0S1BL
H2_5V
PESD5V0S1BL GND 47K
GND
D919 5V
R954 VBUS
2 1H1_HPD 10K R965
PESD5V0S1BL 5V 910R
S
U007
Q902 C935
GND G MV65XP 5 1 VBUS HDMI2_HPD R966 H2_HPD
0.1U IN OUT (5) 75R
D R961
2 C
D926 GND C937 C938
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

3 47K 3V3SB Q904


B
3V3SB 1 2 BAT54A 4
EN/EN# OC
3
0.1U
10U
R959
C939
NC/ 1U
NC/ BT3904
GND NC/ 360R R967
MHL_PWR_EN R957 ARC H_ARC H2_ARC C E 100K
(5) R963
100R G524 B Q903
C 47K
R953 Q901 R962 BT3904
B
20K R958 C936 GND R960 E
BT3904
C E 330K 0.047U NC/ 82R
R955
MHL_SENSE R952 Q900 820R NC/ 47K
B GND GND
47K
BT3904
E
GND GND
R956 H1_HPD
33R When AC power OFF and HDMI sourc is plugged in, HPD is Low
R951
300K
GND GND GND HDMI 1.4 Single mode-ARC When Power on or Standby and HDMI source is plugged in, HPD is High
B H1_HPD_CBUS For MTK5507, reading EDID when HPD is High

GND HDMI Port2


HDMI/MHL Prot1

...
...
DD-MM

DD-MM
...
...
...
...
...
...
SBU :
TCLNO:
ADDRESS1
TCL
A ... DD-MM ... ... ... ............. ADDRESS2 A
ADDRESS3
... DD-MM ... ... ... DESIGNATION TELEPHONE
Index-Lab DATE NAME DESCRIPTION Last modif DRAWN CHECKED PAGE:
........... ON: ON: DD-MM-YY
Last saved : 11-23-2010_14:02 BY: BY: ...... OF :
12 11 10 9 8 7 6 5 4 3 2 1

FORMAT DIN A1
12 11 10 9 8 7 6 5 4 3 2 1

H
H
SOC-POWER
CORE_1V2 CORE_1V2 SOC-HDMI
Y16
W17
MT5507

VCCK
U201

VCCK22
Y21
W22
SOC-AUDIO SOC-VEDIO SOC RESET
VCCK1 VCCK23
Y17 Y22
VCCK2 VCCK24
W18 AA22
VCCK3 VCCK25 MT5507 U201
Y18 AB22
VCCK4 VCCK26
P19 Y23
VCCK5 VCCK27 C19 A17 MHL+/H1_RX0+
R19 AA23 MT5507 U201 HDMI_CEC HDMI_0_RX_0 (4)
VCCK6 VCCK28 B17 MHL-/H1_RX0-
T19 AB23 HDMI_0_RX_0B (4)
VCCK7 VCCK29 MT5507 U201 H1_SCL_IN C16 A16 H1_RX1+
U19 AA24 (4) HDMI_0_SCL HDMI_0_RX_1 (4)
VCCK8 VCCK30 H2_SCL_IN D19 B16 H1_RX1-
V19 AB24 (4) HDMI_1_SCL HDMI_0_RX_1B (4)
VCCK9 VCCK31 A25 IFP D27 R27 U23 A15 H1_RX2+
W19 AR0_ADAC (2) HDMI_2_SCL HDMI_0_RX_2 (4)
VCCK10 C22 C25 ADCINP_DEMOD HSYNC B15 H1_RX2-

MTK
Y19 AIN_R0 AL0_ADAC (2) IFN D28 R28
HDMI_0_RX_2B (4)
VCCK11 C24 ADCINN_DEMOD VSYNC C17 H1_CLK+
P20 AIN_L0 N26 (4)
VCCK12 B23 B26 RP H1_SDA_IN HDMI_0_RX_C H1_CLK-
R20 AIN_R1 AR1_ADAC E27 N28 D16 D17
VCCK13 E23 A27 CORE_1V2 AVDD12_DEMOD GP (4) HDMI_0_SDA HDMI_0_RX_CB (4)
T20 AIN_L1 AL1_ADAC P28 H2_SDA_IN G19
VCCK14 D23 C219 0.1U BP (4) HDMI_1_SDA Reset at low level
U20 AIN_R2 N27 U24 A20 H2_RX0+
VCCK15 A22 COM HDMI_2_SDA HDMI_1_RX_0 (4)
V20 P27 B20 H2_RX0-
VCCK16 AV_R_IN B22
AIN_L2
B25 1U C966AR2_ADAC (3) SOG
T28
HDMI_1_RX_0B
A19 H2_RX1+
(4)
W20 (3) AIN_R3 AR2_ADAC GND HDMI_1_RX_1 (4)
G Y20
VCCK17
(3)
AV_L_IN C23
AIN_L3 AL2_ADAC
A26 AL2_ADAC VGA_SDA
VGA_SCL
T27 E18
HDMI_0_PWR5V HDMI_1_RX_1B
B19 H2_RX1-
(4)
When input is 12V,reset time is 80ms G
U21
VCCK18 1U C967 C18 H2_RX2+
VCCK19 HDMI_1_RX_2 (4)
V21 D18 H2_RX2- 12V_M
VCCK20 HDMI_1_RX_2B (4)
W21 IF_AGC F15 M25 C21 H2_CLK+
VCCK21 F21 (2) IF_AGC COM1 HDMI_1_RX_C (4)
AVDD33_ADAC 3V3 E14 L28 B21 H2_CLK- 3V3SB
RF_AGC PB1P HDMI_1_RX_CB (4)
C217 0.1U L27
PR1P H1_HPD_CBUS E17
M26 (4)
Y1P HDMI2_HPD HDMI_0_HPD_CBUS R211
SCL_TUNER E15 N25 F19 W26
E21 C218 10U OSCL1 SOY1 (4) HDMI_1_HPD HDMI_2_RX_0 NC/ 0R
3V3 AVDD33_AADC SDA_TUNER D15 T22 W25
OSDA1 HDMI_2_HPD HDMI_2_RX_0B
K26 V26 R207
AG27GND ARC COM0
K25
HDMI_2_RX_1
V25
ASPDIFI (4) PB0P HDMI_2_RX_1B 8K2
AH28 SPDIFO (3) AV_V_IN- H27 J26 V28
ASPDIFO0 (3) CVBS_COM PR0P HDMI_2_RX_2 When input is 12V reset vol:8.4V
D22
VMID_AADC AV_V_IN+ H28 K27 MHL_SENSE E16 V27 R213
AF27 I2S_BCLK (3) CVBS3P Y0P (4) MHL_SENSE HDMI_2_RX_2B
G21 AOBCK (7) J25 K28
HDMI_2_RX_C
Y28 10K
VCC3IO_A I2S_LRCK CVBS2P SOY0

GND GND
AD26 G28 C221 0.1U Y27
F22
3V3 C215 C216 AOLRCK (7) CVBS1P HDMI_2_RX_CB
VCC3IO_B AG28 I2S_MCLK G26 A14 E
AOMCLK (7) CVBS0P CORE_1V2 AVDD12_HDMI Q212 R214
AE27 G25 U26 BT3906 RESET_CON
1U 0.1U AOSDATA1 I2S_DATA SIF_COM CORE_1V2 AVDD12_HDMI1 10K
K19
AVSS33_CLN AOSDATA0
AF28
(7) G27 AVOUT_V C222 0.1U R205 C225 R206 B
VDACX_OUT (3) 33K
C202 C203 C204 3K9
C
H23 AVDD33_HDMI G20 1U
0.1U NC/ 0.1U 4U7 AVDD33_VIDEO_STB 3V3SB AVDD33_HDMI
Q211
C220 0.1U E
BT3906
GND GND R212
MCU_RESET
GND B 470R
GND T
GND J20 C
AVSS33_XTAL R208 R210 MCU_RESET
K20
AVSS33_DEMOD 100K 3K3

C226 C227
GND R209
F
F GND 47K 0.1U 0.01U

5V 3V3 AVDD33_HDMI
GND

S
GND
CORE_1V2 AO3414
Bottom SIDE Q210
C224
R203
1K 0.1U
C223
C205 C206 C207 C208 C209 C210 C211 C212 C213 C214
NC/ 0.01U
22U 10U 4U7 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U GND

R204
100K
GND

GND

E E

SOC-USB SOC-Ethernet
SOC-CI
SOC-EMMC
Or connect to the nearest 3V3

3V3 U201
MT5507
USB0_DP B12
U201 (4) USB_DP_P0
MT5507 USB0_DM A12
(4) USB_DM_P0 MT5507 U201

MT5507 U201 C13 AB27 TXVP


R215 R216 USB_DP_P1 TXVP_0 TXVN
D13 AB26
AB1 K12 MT5507 U201 USB_DM_P1 TXVN_0
DVSS DVSS43 4K7 4K7 AC25 RXVP
AG1 L12 T25 UART_TX RXVP_1 RXVN
DVSS1 DVSS44 U0TX (4) AC26
L2 M12 T24 UART_RX RXVN_1
AC2
DVSS2 DVSS45
N12 U0RX (4) D2 GPIO0 E13 USB2_DP D14
DVSS3 DVSS46 CI_A0 DEMOD_RST (4) USB_DP_P2
H3 P12 SYS_SDA D1 GPIO1 USB2_DM C14
DVSS4 DVSS47 (7) (8) AE26
C3
CI_A1
F13
(4) USB_DM_P2 AA25
J3 R12 SYS_SCL OSDA0 EMMC_CMD GPIO2 PHYLED1
AH26 J2 CI_A2 DEMOD_TSCLK
N3
DVSS5 DVSS48
T12
(7) (8) OSCL0 POWE# (7) C1 GPIO3 F12 AA26
N7 CI_A3 DEMOD_TSDATA0 PHYLED0
DVSS6 DVSS49 POOE# C2 GPIO4 F11
R3 U12 M7 CI_A4 DEMOD_TSSYNC
D DVSS7 DVSS50 POCE1# B1 G13 USB3_DP AD28 D
V3 V12 XTAL_I SPI_CSN GPIO5 (8)
GND

C228 10U B28 L7 CI_A5 DEMOD_TSVAL USB_DP_P3


DVSS8 DVSS51 XTALI POCE0# A1 GPIO6 USB3_DM AD27
Y3 W12 XTAL_O B27 P3 EMMC_D7 CI_A6 (8) USB_DM_P3 R227
DVSS9 DVSS52 C229 0.1U XTALO PDD7 (7) A2 GPIO7 D10 AB28
M4 K13 N1 EMMC_D6 CI_A7 CI_INT REXT 24K
DVSS10 DVSS53 PDD6 (7) C5 D12
AD4 L13 J22 N2 EMMC_D5 CI_A8 GPIO8 CI_TSCLK
DVSS11 DVSS54 3V3SB AVDD33_REG_STB PDD5 (7) B5 E11
AF4 M13 N4 EMMC_D4 CI_A9 GPIO9 CI_TSDATA0 AVDD33_ETH GND
DVSS12 DVSS55 PDD4 (7) D6 GPIO10 F4 F23 AB25
J5 N13 J1 M3 EMMC_D3 CI_A10 CI_TSSYNC 3V3 AVDD33_USB AVDD33_ETH
DVSS13 DVSS56 1V8 VCC3IO_EMMC PDD3 (7) A5 E12
P5 P13 L1 EMMC_D2 CI_A11 GPIO11 CI_TSVAL
DVSS14 DVSS57 PDD2 (7) A3 B2
AB5 R13 H24 N6 SPI_SDI CI_A12 GPIO12 CI_RST
DVSS15 DVSS58 3V3SB AVDD33_RGB_STB PDD1 D5 E10 C250
GND

E6 T13 K21 P6 SPI_SDO CI_A13 GPIO13 PVR_TSCLK


DVSS16 DVSS59 C230 AVSS33_RGB PDD0 E4 GPIO14 A11
F6 U13 N5 CI_A14 PVR_TSVAL
DVSS17 DVSS60 PARB# B4 GPIO15 F10 1U
G6 V13 J23 L3 EMMC_D1 CI_MCLKI PVR_TSSYNC
DVSS18 DVSS61 1U 3V3SB AVDD33_PLL_STB PACLE (7) H5 GPIO16 B11
K6 W13 C231 C232 0.1U K3 EMMC_D0 CI_MIVAL PVR_TSDATA0
DVSS19 DVSS62 PAALE (7) D4 GPIO17 C9 R225
AH6 AB13 U25 P4 EMMC_CLK CI_MISTRT PVR_TSDATA1
DVSS20 DVSS63 AVDD10_LDO EMMC_CLK (7) D3 GPIO18 3V3 0R
E7 AC13 P7 EMMC_RST CI_MDI0
DVSS21 DVSS64 0.1U EMMC_RST (7) E5 GPIO19 G1 GND NC/ R226
F7 AD13 GND CI_MDI1 SPI_CLK1 AVDD33_ETH
DVSS22 DVSS65 F5 GPIO20 C11 3V3SB 0R
G7 AF13 GND Y26 R26 POWER_ON_OUT CI_MDI2 SPI_CLK
DVSS23 DVSS66 AVDD10_ELDO OPWRSB (1) H6 D11 A_DIM
H7 G14 L25 MCU_RESET CI_MDI3 GPIO21 SPI_DATA
DVSS24 DVSS67 ORESET# H4 C10 T
U7 J14 GND CI_MDI4 GPIO22 SPI_CLE C251
DVSS25 DVSS68 A4
K14 L26 IR_IN CI_MDI5 GPIO23
DVSS69 C233 C234 OIRI B3
W7
DVSS26 DVSS70
L14 CI_MDI6 GPIO24
PWM_ADM 0.1U
R219 C4 GPIO25 AE25
AF7 M14 4U7 4U7 AH27 CI_MDI7 OPWM2 (1)
DVSS27 DVSS71 FSRC_WR 4K7 E1 GPIO26 AG26 DIM_PWM
E8 N14 CI_D0 OPWM1 (1)
DVSS28 DVSS72 E2 GPIO27 AF26
F8 P14 CI_D1 OPWM0
DVSS29 DVSS73 G3 GPIO28 T
G8
DVSS30 DVSS74
R14 GND CI_D2 GND
H8 T14 D9 GPIO29
DVSS31 DVSS75 B9
CI_D3
K4 DIMPWM
U8 U14 GPIO30
DVSS32 DVSS76 GND CI_D4 SD_D0
AA8 V14 B8 GPIO31 L5
DVSS33 DVSS77 CI_D5 SD_D1
E9 B7 GPIO32 L4
DVSS34 CI_D6 SD_D2
F9 W14 D7 GPIO33 L6
C DVSS35 DVSS78 E3
CI_D7 SD_D3
K5 C
G9 AD14 CI_MDO0 GPIO34 SD_CMD
DVSS36 DVSS79 F3 H1

GND
SOC Config
K9 AE14 CI_MDO1 GPIO35 SD_CLK
DVSS37 DVSS80 G2 C237 0.1U
L9 J15 CI_MDO2 GPIO36
DVSS38 DVSS81 A9 GPIO37 H2
M9 K15 CI_MDO3 VCC3IO_SD 3V3
DVSS39 DVSS82 A8 GPIO38
N9 L15 CI_MDO4
DVSS40 DVSS83 A7 GPIO39 R22 LED_OUT strapping Y1
AB9 M15 CI_MDO5 LED_PWM0
AH9
DVSS41 DVSS84
N15 C7 GPIO40 R24 LED_PWM1 strapping
DVSS42 DVSS85 CI_MDO6 LED_PWM1
K10 P15 C6 GPIO41
DVSS95 DVSS86 CI_MDO7 WIFI_ON
L10 R15 GPIO42
J6
(4) R222
DVSS96 DVSS87 J7 10K
T15 GPIO43
DVSS88 G11 LED_OUT
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

M10 U15 GPIO44


DVSS116 DVSS89 12_24_DETECT P26 H11
N10 V15 (1) OPCTRL0 GPIO45 R223
AF10
DVSS110 DVSS90
J16
RESET_CON P25 H12
DVSS118 DVSS91 OPCTRL1 GPIO46 10K
K11 K16 P24 G12
DVSS109 DVSS92 OPCTRL2 GPIO47 LED_PWM1
L11 L16 OPCTRL3 strapping N24
DVSS119 DVSS93 E_WP OPCTRL3
M24 R224
M11 M16 (7) OPCTRL4 R221

GND
DVSS102 DVSS94 M23 K24 10K
N11 OPCTRL5 ADIN0_SRV 10K
DVSS103 M22 L24 KEY_IN
P11 N16 OPCTRL6 ADIN1_SRV (1) OPCTRL3
DVSS99 DVSS100 K23 J24
R11 P16 OPCTRL7 ADIN2_SRV
DVSS98 DVSS106 PANEL_ON/OFF L23 E28 GND
T11 R16 (8) OPCTRL8 ADIN3_SRV
U11
DVSS115 DVSS104
T16
AMP_RESET E20 F25
DVSS107 DVSS113 OPCTRL9 ADIN4_SRV
V11 U16 (1) BL_ON D20 F26
DVSS108 DVSS105 OPCTRL10 ADIN5_SRV
W11 V16 (4) MHL_PWR_EN G18 H25
OPCTRL11 ADIN6_SRV R220

GND
DVSS101 DVSS114 F18 H26
AB11 W16 OPCTRL12 ADIN7_SRV 10K LED_OUT LED_PWM0 OPCTRL3
C12
DVSS112 DVSS111
AB16
STRAPPING
DVSS117 DVSS97 T
C15
DVSS166 Crystal oscillator port BLON
B J17 M18 ICE mode + 24M + serial boot 0 0 1
DVSS126 DVSS137 R217 C235
XTAL_I
GND

K17 N18
DVSS124 DVSS140 0R
L17 P18
DVSS127 DVSS144
M17 R18 33P ICE moce + 24M + ROM to 60bit ECC Nand boot 0
DVSS121 DVSS138 0 1
N17 T18
DVSS130 DVSS134
P17 U18
DVSS132 DVSS141
R17 V18 ICE moce + 24M + ROM to eMMC boot from
DVSS129 DVSS133
T17
DVSS128 DVSS142
AB18 R218 X202 eMMC pins(share pins w/s NAND) 0
U17 L19 NC/ 1M 24M 0 0
DVSS120 DVSS136
V17 M19
DVSS125 DVSS139
J18 N19
DVSS122 DVSS143
K18 AB19
DVSS123 DVSS145 C236
L18 L20
GND

DVSS131 DVSS135 XTAL_O


33P
M20 Y25
DVSS150 DVSS159
N20 AD25
DVSS147 DVSS163
AB20
E22
DVSS152
DVSS146
DVSS156
DVSS157
AF25
C26 ... DD-MM ... ... ... SBU :
P22 D26
DVSS153 DVSS165
AC22 E26
DVSS149 DVSS161
T23
AC23
AC24
DVSS151
DVSS154
DVSS158
DVSS164
T26
C27
A28
... DD-MM ... ... ... TCLNO:
DVSS155 DVSS162
D24 C28
DVSS148 DVSS160

... ............. TCL Thomson Electronics Ltd.


A GND GND DD-MM ... ... ... B Building, TCL Tower, Nanhai Road A

Nanshan District, Shenzhen, Guangdong


... DD-MM ... ... ... DESIGNATION Tel +86-755-3331xxxx Fax +86-755-3331xxxx
Index-Lab DATE NAME DESCRIPTION Last modif DRAWN CHECKED PAGE:
........... ON: ON: DD-MM-YY
Last saved : 5-5-2008_16:29 BY: BY: ...... OF :
12 11 10 9 8 7 6 5 4 3 2 1

FORMAT DIN A1
8 7 6 5 4 3 2 1

SOC-DDR DDR_1V5
DDR DDR_1V5

F MT5507 U201
F

G7
R9
R1
N9
N1

D9

H9
H2

D2
C9
C1
K8
K2

B2

E9

A1
A8
F1

G7
R9
R1
N9
N1

D9

H9
H2

D2
C9
C1
K8
K2

B2

E9

A1
A8
F1
RA0 AB4 AA3 RDQM0
RA0 RDQM0
RA1 AC9 Y2 RDQS0

VDD8
VDD7
VDD6
VDD5
VDD4
VDD3
VDD2
VDD1
VDD

VDDQ8
VDDQ7
VDDQ6
VDDQ5
VDDQ4
VDDQ3
VDDQ2
VDDQ
VDDQ1

VDD8
VDD7
VDD6
VDD5
VDD4
VDD3
VDD2
VDD1
VDD

VDDQ8
VDDQ7
VDDQ6
VDDQ5
VDDQ4
VDDQ3
VDDQ2
VDDQ
VDDQ1
RA1 RDQS0
RA2 AE3 Y1 RDQS0B
RA2 RDQS0#
RA3 AE6 U3 RDQ0
RA3 RDQ0
RA4 AD9 AE2 RDQ1
RA4 RDQ1 1_A0 N3 D3 RDQM1
RA5 AE4 T3 RDQ2 A0 DMU 2_A0 N3 D3 RDQM3
RA5 RDQ2 1_A1 P7 C7 RDQS1 A0 DMU
RA6 AC11 AE1 RDQ3 A1 DQSU 2_A1 P7 C7 RDQS3
RA7 RA6 RDQ3 RDQ4 1_A2 P3 B7 RDQS1B A1 DQSU
AD5 R2 A2 /DQSU 2_A2 P3 B7 RDQS3B
RA8 RA7 RDQ4 1_A3 N2 A2 /DQSU
AE9 AF2 RDQ5 A3 2_A3 N2
RA8 RDQ5 1_A4 P8 D7 RDQ8 A3
RA9 AE5 R1 RDQ6 A4 DQU0 2_A4 P8 D7 RDQ24
RA10 RA9 RDQ6 1_A5 P2 C3 RDQ9 A4 DQU0
AE7 AF1 RDQ7 A5 DQU1 2_A5 P2 C3 RDQ25
RA11 RA10 RDQ7 1_A6 R8 C8 RDQ10 A5 DQU1
AD11 W2 RDQM1 A6 DQU2 2_A6 R8 C8 RDQ26
RA12 RA11 RDQM1 1_A7 R2 C2 RDQ11 A6 DQU2
AE12 AB3 RDQS1 A7 DQU3 2_A7 R2 C2 RDQ27
RA13 RA12 RDQS1 1_A8 T8 A7 RDQ12 A7 DQU3
AC5 AB2 RDQS1B A8 DQU4 2_A8 T8 A7 RDQ28
RA14 RA13 RDQS1# 1_A9 R3 A2 RDQ13 A8 DQU4
AE10 AD2 RDQ8 A9 DQU5 2_A9 R3 A2 RDQ29
RA14 RDQ8 1_A10 L7 B8 A9 DQU5
RA15 AE11
RA15 RDQ9
U1 RDQ9
1_A11 R7
A10 U301 DQU6
A3
RDQ14
RDQ15
2_A10 L7
A10 U302 DQU6
B8 RDQ30
RBA0 AD7 AD3 RDQ10 A11 DQU7 2_A11 R7 A3 RDQ31
RBA1 RBA0 RDQ10 1_A12 N7 A11 DQU7
AE8 U2 RDQ11 A12 2_A12 N7
RBA2 RBA1 RDQ11 H5TQ4G63CFR-RDC A12
AC7 AC3 RDQ12
RBA2 RDQ12 1_BA0 M2 E7 RDQM0
RCS V4 V1 RDQ13 BA0 DML 2_BA0 M2 E7 RDQM2
RCS# RDQ13 1_BA1 N8 F3 RDQS0 BA0 DML
RCSD W4 AC1 RDQ14 BA1 DQSL 2_BA1 N8 H5TQ4G63AFR F3 RDQS2
RRAS RCSD# RDQ14 1_BA2 M3 G3 RDQS0B BA1 DQSL
AA5 V2 RDQ15 BA2 /DQSL 2_BA2 M3 G3 RDQS2B
RCAS RRAS# RDQ15 BA2 /DQSL
AA6 AF8 RDQM2
RWE RCAS# RDQM2 RDQS2 1_RCS L2 E3 RDQ0
AC4 AG7 /CS DQL0 2_RCSD L2 E3 RDQ16
E RWE# RDQS2 1_RAS# J3 F7 RDQ1 /CS DQL0 E
RODT W5 AH7 RDQS2B /RAS DQL1 2_RAS# J3 F7 RDQ17
RODT RDQS2# 1_CAS# K3 F2 RDQ2 /RAS DQL1
RCKE W6 AG3 RDQ16 /CAS DQL2 2_CAS# K3 F2 RDQ18
RRESET RCKE RDQ16 RDQ17 1_WE# L3 F8 RDQ3 2_WE# /CAS DQL2
U6 AG12 /WE DQL3 L3 F8 RDQ19
MCLK0 RRESET RDQ17 RODT K1 H3 RDQ4 /WE DQL3
AA4 AF3 RDQ18 ODT DQL4 RODT K1 H3 RDQ20
MCLK0B RCLK0 RDQ18 H8 RDQ5 ODT DQL4
Y4 AH12 RDQ19 DQL5 H8 RDQ21
RCLK0# RDQ19 A_VREFCA1 M8 G2 RDQ6 DQL5
DDRVREF_A1 U5 AG2 RDQ20 VREFCA DQL6 A_VREFCA2 M8 G2 RDQ22
DDRVREF_A1 RDQ20 H7 RDQ7 VREFCA DQL6
DDRVREF_A2 AE13 AG13 RDQ21 DQL7 H7 RDQ23
R230 ARTP DDRVREF_A2 RDQ21 J1 DQL7
W9 AH2 RDQ22 NC1 J1
120R ARTN W8
ARTP RDQ22
AH13 RDQ23 J9 H1 DDRVREF_A1 NC1
ARTN RDQ23 NC2 VREFDQ J9 H1 DDRVREF_A2
48R7 Y8 AG6 RDQM3 L1 L1
NC2 VREFDQ
R231 MEMTP RDQM3 NC3 RCLK0 NC3
Y9 AF9 RDQS3 L9 J7 L9 J7 RCLK0
MEMTN RDQS3 1_A15 NC4 CK NC4 CK
GND AC20 AG9 RDQS3B M7 K7 RCLK0B C309 2_A15 M7 K7 RCLK0B C345
TP_HPCPLL RDQS3# 1_A13 NC5 /CK NC5 /CK
AD20 AF12 RDQ24 T3 K9 RCKE 2_A13 T3 K9 RCKE
TN_HPCPLL RDQ24 NC6 CKE 0.1U NC6 CKE
AH4 RDQ25 1_A14 T7 T2 RRESET 2_A14 T7 T2 RRESET 0.1U
RDQ25 NC7 /RESET NC7 /RESET
AF11 RDQ26
RDQ26
AG4 RDQ27

VSSQ8
VSSQ7
VSSQ6
VSSQ5
VSSQ4
VSSQ3
VSSQ2

VSSQ1
RDQ27

VSS10

VSS11

VSSQ8
VSSQ7
VSSQ6
VSSQ5
VSSQ4
VSSQ3
VSSQ2

VSSQ1
VSSQ

VSS10

VSS11
R4 AG10 RDQ28

VSS9
VSS8
VSS7
VSS6
VSS5
VSS4
VSS3
VSS2
VSS1

VSSQ
VSS9
VSS8
VSS7
VSS6
VSS5
VSS4
VSS3
VSS2
VSS1
DDR_1V5

VSS
DDRV RDQ28

VSS
RDQ29

ZQ
T4 AF5

ZQ
DDRV1 RDQ29 GND GND
R5 AH10 RDQ30
DDRV2 RDQ30 RDQ31
T5 AF6

L8

T9
T1
P9
P1
M9
M1
J8
J2
G8
E1
A9
B3
G9
G1
F9
E8
E2
D8
D1
B9
B1

L8

T9
T1
P9
P1
M9
M1
J8
J2
G8
E1
A9
B3
G9
G1
F9
E8
E2
D8
D1
B9
B1
DDRV3 RDQ31
R6
DDRV4
T6
DDRV5

GND
R7 C238 1U Change PN
DDRV6
T7 U4
DDRV7 AVDD33_DDR 3V3 Change PN
R8 Y15 R310
DDRV8 AVDD12_DDR CORE_1V2 R309
V8
D DDRV9 D
R9 W15
DDRV10 AVSS12_DDR 240R 240R
T9 C239
DDRV11
U9
DDRV12 0.1U
V9
DDRV13
AB12
DDRV14 GND GND

T8 GND
DDRVA

DDR_1V5
TOP SIDE Bottom SIDE
For MCM Dram VREF
R311 22R R317 22R
NC/ 1_RAS# 1 8 RRAS RRAS 1 8 2_RAS#
DDR_1V5
C310 C311 C312 C313 C314 C315 C317 C316 C318 C319C320 C321 C322 C323 C324 C325C326 1_CAS# 2 7 RCAS
DDR_1V5 RCAS 2 7 2_CAS#
22U 10U 1U 0.1U 0.1U 0.1U 4U7 22U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 1U 0.1U
RODT 3 6 RODT RODT 3 6 RODT
Close to U001 U001 Bottom SIDE
NC/
1_WE# 4 5 RWE RWE 4 5 2_WE#
R301
1K R312 22R
GND 8 R318 22R
DDRVREF_A2 C301 C302 C303 1_A0 1 RA0 RA0 1 8 2_A0
C304 C305 C306 C307 C308
10U 1U 0.1U 0.1U 0.1U 1U 0.1U 10U 1_A5 2 7 RA5 RA5 2 7 2_A5
C NC/ C
R302 1_A2 3 6 RA2 RA2 3 6 2_A2
1K DDR_1V5
Bottom SIDE 1_A7 4 5 RA7 RA7 4 5 2_A7
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

GND TOP SIDE


R313 22R R319 22R
GND RRESET 1 8 RRESET RRESET 1 8 RRESET
NC/
C327 C328 C329 C330 C331C332 C333 C334 C335 C336 C337 C338 C339 C340 1_A13 2 7 RA13 RA13 2 7 2_A13
22U 10U 0.1U 0.1U 1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 0.1U 1U 22U 1_A9 3 6 RA9 RA9 3 6 2_A9
1_A3 4 5 RA3 RA3 4 5 2_A3
R314 22R R320 22R
GND 1_BA2 1 8 RBA2 RBA2 1 8 2_BA2
1_BA0 2 7 RBA0 RBA0 2 7 2_BA0
1_A10 3 6 RA10 RA10 3 6 2_A10
DDR REF Volt 1_BA1 4 5 RBA1 RBA1 4 5 2_BA1
R315 22R R321 22R
1_A1 1 8 RA1 RA1 1 8 2_A1
DDR_1V5 DDR_1V5
B 1_A4 2 7 RA4 RA4 2 7 2_A4 B
1_A14 3 6 RA14 RA14 3 6 2_A14
1_A8 4 5 RA8 RA8 4 5 2_A8
C341 C343 R316 22R 22R
R303 R305 1_A6 8 R322
Differential Clock 0.1U 0.1U 1 RA6 RA6 1 8 2_A6
1K 1K
Near U001 Near DDR 1_A11 2 7 RA11 RA11 2 7 2_A11
MCLK0 R232 RCLK0
0R GND GND R307 R308 1_A12 3 6
A_VREFCA1 A_VREFCA2 2_RCSD RCSD 1_RCS RCS RA12 RA12 3 6 2_A12
R234 47R 47R
1_A15 4 5 RA15 RA15 4 5 2_A15

100R R304 C342 R306 C344


MCLK0B R233 RCLK0B 1K
0.1U
1K
0.1U
0R

GND GND

RRESET R235 Near DRAM


C240 Support Asymmetry/Symmetry DRAM
0R
A 0.1U A
GND

8 7 6 5 4 3 2 1

FORMAT DIN A2
8 7 6 5 4 3 2 1

12V_AMP

F C612 C613 C614


2U2 2U2 2U2 AD82587D_12V F

POWER_ON R609 GND


10K
12V_AMP L602
22UH
C611
0.1U
C620

U601 0.1U
GND C615 C618
AMP_RESET R601 AD82587D
(5) 100R
0.1U 0.47U L+ L-
1 24 C621 T T
R602 C601 PD VDDLA GND
P601
4K7 2 23 0.1U
1000P ERROR NC2
L+
AOSDATA0 3 22 GND L603
SDATA LA 1
22UH L-

GND
GND AOLRCK 4 21
LRCIN GNDL 2
SYS_SDA R603 100R 5 20
(5) (2) SDA LB C624 C625 WHITE
E E
SYS_SCL R604 100R 6 19 C616 0.47U
(5) (2) SCL VDDLB

C603
C602
NC/0.1U NC/0.1U
7 18 C617 0.47U
RESET VDDRB R- R+
8 17 T T P602

NC/ 33P
NC/ 33P
VSS RB GND L604
I2S_DATA R605

GND
(5) 33R 9 16 22UH GND R- 2
VDD GNDR
I2S_LRCK R606 33R 10 15
(5) SA0 RA R+ 1
I2S_MCLK R607 33R GND AOMCLK 11 14 C622
(5) MCLK NC1
C626 C627 RED
I2S_BCLK R608 33R AOBCK 12 13 0.1U
(5) BCLK VDDRA
C619

C604 NC/ 15P

C605

C606

C607
NC/0.1U NC/0.1U
C610
0.47U

NC/ 15P

NC/ 15P

NC/ 15P
Close to SOC L601 120R 0.1U C623 GND
3V3
L605 0.1U GND
C608
C609 22UH
1U 0.1U GND
16V
GND

D GND D

EMMC 1V8

U303
C EMMC_CLK R323 EMC_CLK W6 J3 EMC_D4R329 EMMC_D4 3V3 EEP_POWER

EEPROM
(5) 47R CLK D4 47R (5) R333 C
EMMC_CMD R324 EMC_CMD W5 J4 EMC_D5R330 EMMC_D5
(5) 47R CMD D5 47R (5) 4K7 T
EMMC_D0 R325 EMC_D0 H3 J5 EMC_D6R331 EMMC_D6 (5)
(5) 47R D0 D6 47R
EMMC_D1 R326 EMC_D1 H4 J6 EMC_D7R332 EMMC_D7
(5) 47R D1 D7 47R (5)
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

EMMC_D2 R327 EMC_D2 H5 R5


(5) 47R D2 DS
EMMC_D3 R328 EMC_D3 J2 U5 EMMC_RST
(5) 47R D3 RESET (5)
K6 M6 C359 R334
1V8 VDD_IF4 VDD3 3V3 C346
W4
Y4
VDD_IF3 iNAND
VDD2
N5
T10
0.1U 4K7
VDD_IF2 VDD1 NC/ 0.1U
AA3 U9
VDD_IF VDD
AA5
VDD_IF1 U304
T5 M7
OPTION1 VSS1 1 8 GND
K4 P5 GND
Y2
VSS VSS2
R10 A0 VCC
VSS5 VSS3 2 7 R335 E_WP
Y5 U8
VSS6 VSS4 A1 WP 100R (5)
AA4 H6
AA6
VSS7 OPTION2
K2 3 6 R336 SYS_SCL
VSS8 CREG/VDDI GND A2 SCL 100R (5) (2)
Change PN 4 5 R337 SYS_SDA
THGBM5G6A2JBH2H GND SDA 100R (5) (2)
C347 C348
2U2 0.1U BL24C32
GND GND
T T T
SDA2 SCL2 E2P_WP
EMMC_3V3 GND
B T B

3V3

C349 C350 C351 C352 C353

10U 0.1U 0.1U 0.1U 0.1U

EMMC_1V8
T GND
1V8

C354 C355 C356 C357 C358

10U 1U 0.1U 0.1U 0.1U


... DD-MM
... ... ...
SBU :

GND
... DD-MM ... ... ... TCLNO:
A A
... DD-MM ... ... ............. TCL Thomson Electronics Ltd.
... B Building, TCL Tower, Nanhai Road
Nanshan District, Shenzhen, Guangdong
... DD-MM
... ... ... DESIGNATION Tel +86-755-3331xxxx Fax +86-755-3331xxxx
Index-Lab DATE NAME DESCRIPTION Last modif DRAWN CHECKED PAGE:
........... ON: ON: DD-MM-YY
Last saved : 5-5-2008_15:52 BY: BY: ...... OF :
8 7 6 5 4 3 2 1

FORMAT DIN A2
8 7 6 5 4 3 2 1

F F

SOC LVDS
MT5507 U201

AE18
TCON0
AF18 AF19 TXE0+
TCON1 AE0P
AD17 AE19 TXE0-
TCON2 AE0N TXE1+
AE15 AH19
TCON3 AE1P
E AD15
TCON4 AE1N
AG19 TXE1- E
AC19 AH18 TXE2+
TCON5 AE2P
AD18 AG18 TXE2- PANEL_VCC
TCON6 AE2N 1
AD19 AF17 TXEC+
TCON7 AECKP 2
AC17 AE17 TXEC- P910
TCON8 AECKN 3
AD16 AF16 TXE3+
TCON9 AE3P 4
AC15 AE16 TXE3-

GND
39 40
GND

TCON10 AE3N 5
AC18 AH16 TXE4+
TCON11 AE4P 6
NC/ NC/ AB17 AG16 TXE4- 37 38 TXO0-
TCON12 AE4N TXO0+ 7
C241 C242 C243 C244 AG15
AE5P 8
AH15 TXO1+ 35 36 TXO1-
AE5N 9
10U 1U 1U 0.1U 10 TXE4+
AF24 TXO0+ TXE4+

T T T T
AO0P TXO2+ 33 34 TXO2- 11 TXE4-
AE24 TXO0- TXE4-
AO0N 12 TXE3+
AG14 AF23 TXO1+ 31 32 TXE3+
CORE_1V2 AVDD12_LVDS AO1P TXOC+ TXOC- 13 TXE3-
AE23 TXO1- TXE3-
AO1N 14
G22 AH23 TXO2+ 29 30
3V3 AVDD33_LVDSA AO2P TXO3+ TXO3- 15 TXEC+
AG23 TXO2- TXEC+

T T
AO2N 16 TXEC-
AOCKP
AF22 TXOC+ TXO4+ 27 28 TXO4- 17 TXEC-
C245 AF14 AE22 TXOC- 18
REXT_VPLL AOCKN TXO3+ TXE2+
AF21 TXE2+

T T T T T T
AO3P 25 26 19 TXE2-
0.1U AE21 TXO3- TXE2-
AO3N 20 TXE1+
AO4P
AH21 TXO4+ 23 24 21 TXE1-
TXE1+
R236 AO4N
AG21 TXO4- 22 TXE0+ TXE1-
AF20 21 22 TXE0+
24K AO5P 23 TXE0-
GND AE20
24 TXE0-
AO5N
D TXE0+ 19 20 TXE0- 25 D
26 TXO4+
TXO4+

T T T T
TXE1+ 17 18 TXE1- 27 TXO4-
GND 28 TXO4-
TXO3+
TXE2+ 15 16 TXE2- 29 TXO3-
TXO3+
30 TXO3-
TXEC+ 13 14 TXEC- 31 TXOC+
TXOC+

T T
32 TXOC-
TXE3+ 11 12 TXE3- 33 TXOC-
34 TXO2+
TXO2+

T T T T T T
TXE4+ 9 10 TXE4- 35 TXO2-
36 TXO1+
TXO2-
7 8 37 TXO1-
TXO1+
38 TXO1-
TXO0+

GND

GND
5 6 39 TXO0-
TXO0+
40 TXO0-
3 4 41
42
C046 1 2 43
0.1U 44 GND
45
46
PANEL_VCC 47
48
49
50
C 51 C
T
P911
PANEL_VCC
THIS DRAWING CANNOT BE COMMUNICATED TO UNAUTHORIZED PERSONS COPIED UNLES S PERMITTED IN WRITING

Panel Power
PANEL_VCC
Q005
PMV65XP
D
S

12V
G
33K
R029

C Q004
PANEL_ON/OFF R030
(5) 4K7
B BT3904
B B
H :Power on E C045
R031

C044
3K3

L :Power off
0.1U 0.1U
R032
2K7

GND

GND

A A

8 7 6 5 4 3 2 1

FORMAT DIN A2
MBR10150 2
F81 ! BR ! 1 3 D850 +50VLED
HV VS
CN800 ! ZHV D850A R853A 33V
! ! ! T 0.1U C853A 4.7K

1M2 R81
3.15A D81

C851
C852
D82

3
2

1000P
250VAC L82 RL255 RL255 1 12 MUR460 T

CX81
!

R83

1
33R R850

CY81
Z50V

2
1M2
ZD850
N1

100V
47U
R821 C808

450V
0.1U C853B 33V

100V
47U
! D83 D84 3R3 2200P 33R R851 4.7K R853B
RL255 RL255
!

0.47U
1M2 R82
GND

1M2
2 11 C850 ZD851

R84

GND
1

1
NTC81 L81 33R R852
220P

1000P

100U
1R5

CY82

C81
! N4 N3 Z12V
! ! ! PGND T
T ! 2
D801 12VOUT 12V
FR207 VAL? 1 3
B801 3 10 MBR10150

10K
BR T D830

C833

0.1U
R801 R802 R803 NC
ZPGND PGND

C831

C832
300K 300K 300K
R818 ZGND

470U
VCC1 AOTF11C60 T

470U

470U

C834
C830

25V

25V
33R R830

25V

R832
C807 100P
R804 10R R817 22R Q801 1000P
4 9
0.1U

GND
C801

ZD801
22V
U801
510K E
1 6

R816
Q802

10K
GND GATE 33R R831 12V
C832A C833A
510K

BT3906 B HV C831A
R805

12V 470U 470U 470U


FB 2 5 VCC1 C
5 8 +50VLED 25V 25V

PGND
FB VDD 25V
N2

22K R836
PGND GND
3 4 R815 22R R838
C802

RT CS GND GND GND


10N

12VOUT NC
510K

RT7736 R814
R806

470R 6 7 ZPC1 R833

R819A
C806
100P
E1,E2 T

0.15R
1K R834

2.5V/2K=1.25mA
C835 R835
ZFB 2K 0.1U 10K
T PC820
ZVCC1 !
T HS1 4 1
PGND D802 1
0.1U C836
FR104 R812 10R 2 T801

2
ZPCGND

R839
R837
VCC1 VS 3

TL431
T

U830
4

39K
6K8
1
C803

PGND 3 2

3
0R R824
R807A

FB
8.2K

TLP781
C805A 10R T
1000P

10U R813
CY83 ZPC2
50V GND
+50VLED
NC PGND
R807B

! C851A C852A
8.2K

PGND
47U 47U
GND 100V 100V
PGND
12V-1
CN802 T
GND GND
4
PGND D770A
S2L40U 12V
3

LED Driver L770 2 ZLED+


D770
120UH SB5200 GND T
+50VLED B770 VAL? VLED+ 1
VLED+
T 12V
VCC ZD770 10V C783 C783A

1M R789
A0D256 1
330P

330P 22U GND-1 ZLED4


GND

R788

R770 390R 22U


12V 160V T
160V ZLED3 2
R798 0R
Q770

U770 1U T
C770 1U C775
C777

3
C776
10K R782

OVP
10R

LED1
GND

13 12 GND GND

220K R790B
12K R790A

BL_ON R771 VDD VCC LED1 LED2 LED3 R795 0R 4


R780 22R

1000P
390K R775 FSW 8 11 LED2

C778
FSW GATE GND R796 0R 5
10K 0R LED4
R776 330R 15 10 LED3
COMP CS R797 6
0R
100K

0.33R
0.1U

0.33R

0.33R

0.33R

C773 0.47U 3 14 R781 LED4

R791

R793
GND1 GND2

R792

R794
C779 330P

C780 330P

C781 330P

C782 330P
7
ISET 6 7 OVP T
100P C774
R772

GND ISET OVP 8


C771

GND VLED+
R783

R784

R785

R786

ZLED2 T

1M
PWMI 16 1 LED1

1M

1M

1M
PWMI FB1 ZLED1 9
EN 9 2 LED2
EN FB2 R779A VCC 10
GND LED4 5 4 LED3 10K
FB4 FB3 CN701
GND GND
GB98A C751 GND
R779B NC
DIM_PWM R773 PWMI FSW VCC R752
12K R753 R754
NC 12K 12K ISET
SCH 1
R774 100K

10K
C772

R750
PWM_ADM 10K
C SCH 1 V 1.0
B
R777 R778 Q771
100P

ISET BT3904 C752


E 1U
200R 6K8 R751 C750
100K 220P
MS08FP.SCH
GND GND

GND MS08FP

You might also like