You are on page 1of 697

THIN FILMS SCIENCE AND TECHNOLOGY

Advisory Editor: G. Siddall

Vol. Langmuir-Blodgett Films (Barlow, Editor)


1
Vol. Size Effects in Thin Films (Tellier and fosser)
2
Vol. Langmuir-Blodgett Films, 1982 (Roberts and Pitt, Editors)
3
Vol. 4
Passivity of Metals and Semiconductors (Froment, Editor)
Vol. Growth of Crystalline Semiconductor Materials on Crystal
5
Surfaces (Aleksandrov)
Vol. 6 Coatings on Glass (Pulker)
Vol. 7 Thin Films by Chemical Vapour Deposition (Morosanu)
THIN FILMS SCIENCE AND TECHNOLOGY, 7

Thin Films by
Chemical Vapour
Deposition

C .E. MOROSANU
Electronic Components Research and
Development Centre, 72996 Bucharest 30
Romania

ELSEVIER, Amsterdam — O x f o r d — N e w York—Tokyo 1990


Distribution of this book is being handled by the following publishers:
for the U.S.A and Canada
E L S E V I E R SCIENCE P U B L I S H E R S , Inc.
655 Avenue of the Americas
New York, NY 10010

for the East European Countries, China, Northern


Korea, Cuba, Vietnam and Mongolia
E D I T U R A TEHNICA
Pia-fa Scinteii nr. 1
R-71341 Bucuresti 33, Romania

for all remaining areas


E L S E V I E R SCIENCE P U B L I S H E R S
25, Sara Burgerhartstraat
P.O.Box 211, 1000 AE Amsterdam, The Netherlands

Library of Congress Cataloguing-in-Publication Data:

Morosanu, C.E.
Thin Films by Chemical Vapour Deposition
(Thin Films Science and Technology: vol. 7)
Rev., updated, and enl. translation of: Depunerea chimicä din vapori a straturilor subjiri
Includes bibliographical references and indexes.
ISBN 0-444-98801-7
1. Vapour plating. 2. Thin films. 3. Semiconductors.
I. Title. II. Series: Thin films science and technology: 7.
TS 695. M6713 1990
671.7*35 dc20

] $ B N 0-444-98801-7 (vol.7)
ISBN 0-444-41903-9 (series)
With 135 illustrations and 36 tables
© E D I T U R A TEHNICA, 1990
©Translation, C.E. MOROSANU, 1990

All rights reserved. No part of this publication may be reproduced, stored in a retrieval system,,
or transmitted in any form or by any means, electronic, mechanical, photocopying, recording, o r
otherwise without prior w r i t t e n permission of the copyright owner.

P R I N T E D IN ROMANIA
Preface

The explosive growth of the semiconductor industry has caused a rapid evolution
of thin-film materials that lend themselves to the fabrication of state-of-the-art
semiconductor devices. Beginning with the decade of the 60s, an old research tech-
nique named chemical vapour-phase deposition (CVD), which shows several
unique advantages, has developed into the most widely used technique for thin
film preparation in electronics technology.
In the last thirty years, tremendous advances have been made in the
science and technology of thin films prepared by means of CVD. The scope of
this book is to present, in a single volume, an up-to-date overview of the important
field of CVD processes which has never been completely reviewed, previously.
The topic of the present volume has been organized into three main parts, i.e.
fundamental considerations (Chapters 2—10), thin film preparation and charac-
terization (Chapters 11 — 15) and applications (Chapter 16). Thus an attempt is
made to provide a comprehensive treatment of both theoretical and practical
aspects of all classes of CVD thin films, i.e. semiconductors, insulators, metals,
superconductors, and magnetics.
It is hoped that the book will be useful to both beginning and advanced special-
ists as .well as to workers in related fields, thus contributing to the further deve-
lopment of CVD thin films.
C.E. Morosanu
Acknowledgements

I am grateful to the authors acknowledged in the figure captions and table headings, to the
publishers of Applied Physics Letters, Electronics, Electronics Letters, Hewlett-Packard Jour-
nal, IBM Journal of Research and Development, IEEE Transactions on Electron Devices, the
Japanese Journal of Applied Physics, the Journal of Applied Physics, the Journal of Crystal
Growth, the Journal of the Electrochemical Society, the Journal of Electronic Materials, the
Journal of Vacuum Science and Technology, Metallurgical Transactions of the AIME, Philips
Research Reports, Physics of Thin Films, Proceedings of the IEEE, RCA Review, Revue Rou-
maine de Chimie, Revue Technique Thomson-CSF, Scientific American, Semiconductor Inter-
national, Solar Energy Materials, Solid-State Electronics, Solid State Technology, and Thin
Solid Films and to the following book publishers—Academic Press, McGraw-Hill Book Co., North-
Holland Physics Publishing, Pergamon Press, Plenum Publishing Corp., Springer Verlag,
and Wiley for permission to copy figures and data for tables.
I have also been helped by numerous colleagues throughout the world who have sent me
most of their important published articles and whose work I have used.
I gratefully acknowledge the support of the Electronic Components Research and Develop-
ment Centre.
1
Evolution of CVD Films

1.1 Introductory Remarks

Thin films have been the topic of a large number of investigations during
the past quarter century since these films became technologically important
particularly in the field of semiconductor electronics [1 — 10].
Thin films can be prepared by using a variety of methods, among which
chemical vapour deposition (CVD) has received widespread acceptance [11 —
93]. CVD involves the formation of a solid film on a heated substrate sur-
face by means of a chemical reaction in a gas or in the vapour phase. This
process employs various gaseous, liquid and solid chemicals as sources
of the elements of which the thin film is to be made. In comparison with
most thin film preparation methods, CVD has a number of unique advan-
tages such as the versatility, adaptability, compatibility, quality, simplicity,
reproducibility, productivity and cheapness. For these reasons, CVD has
expanded continuously and developed into the most important method for
producing films for solid-state devices.
In the present book, an attempt has been made to cover all aspects
of CVD thin films. Both early and recent developments, especially of
materials used in the semiconductor industry (where the majority of high
quality CVD films are extensively applied), are surveyed. The material pre-
sented is organized in five parts, i.e. an introduction, fundamentals, techni-
ques, applications and conclusions. In the introductory part, a brief presenta-
tion of the historic development of CVD thin films is also included. The
second part begins with a comparison between CVD and other modern film
formation methods and then covers fundamental aspects of CVD films such as
thermodynamics, kinetics, thickness, nucleation, structure, analysis and
properties. Reactor systems and process techniques for preparing semicon-
ducting, insulating, conducting, superconducting and magnetic films are
then discussed. Next, the applications of CVD films primarily in the field
of microelectronics are discussed briefly. Finally, a brief concluding part,

19
INTRODUCTION

which also contains a presentation of the present status and future trends
in CVD films, is provided.
Numerous excellent general and specialized reviews are available on
theoretical and practical aspects of CVD thin films [11—22].
Many general aspects of the growth of thin films by means of CVD
have been summarized in books by Powell et al. (published in 1966) [11]
and Vossen and Kern (1978) [2]. General reviews available dealt with all
CVD thin film materials — e.g. Feist et al. (1969) [14], Campbell (1970)
[16], Haskell and Byrne (1972) [17] and Bryant (1977) [19] — or only the elec-
tronic CVD thin film materials —e.g., Chu(1969) [20], Amick and Kern (1970)
[92], Wang and Bracken (1972) [4821], Chu and Schmeltzer (1973) [21],
Tietjen (1973) [22], and Watts (1973) [52]. There are also two general bibliogra-
phies by Agajanian (1976) [41] and Hawkins (1981) [42] covering several as-
pects of CVD. Proceedings of international [23—31] or European [32—36]
conferences on CVD held generally bi-annually and tri-annually, respectively,
contain a collection of original papers describing almost all CVD topics.
Other symposia, such as Electrochemical Society Meetings [37] (held semi-
annually), Electronic Materials Conferences [39] (held annually), Interna-
tional Conferences on thin films [38] (held tri-annually), etc. [40] include
in their topics many papers on CVD films. Patent literature on CVD films
is also very rich. A large body of important patents can be retrieved from
various sources: Chemical Abstracts, RCA Rev., IBM J. Res. Dev., Solid
State Technol., the Official Gazette of the US Patent Office, etc.
An important specialized subject, i.e. epitaxial semiconductor films
[43—54], has also been partly covered in books by Mathews (1975) [4], and
Cullen and Wang (1978) [44]. There are also general reviews by Glang and
Wajda (1962) [49], Francombe and Johnson (1969) [51], Shaw (1975) [46],
Arizumi (1978) [48], and Pogge (1980) [45] and special reviews by Cave and
Czorny (1963) [2349), Doo and Ernst (1967) [2254), Gupta and Wang
(1968) [2324], Runyan (1969) [2325], Gupta (1971) [2326], Watts (1973)
[52], Barry (1976) [53], Hammond (1978) [2255], Bloem and Giling (1978)
[47], Bollen (1978) [2329], Pearce (1983) [2338] and Liaw and Rose (1986)
[2340] (for silicon growth); by Holonyak et al. (1962) [2577], Minden (1973)
[2585], Hollan (1978) [2587], Hollan et al. (1980) [54] and Ludowise (1985)
[2595] (for III - V material growth); by Hartmann (1975) [3225] (for II - VI
material growth), and by Hiscocks (1972) [3370] (for IV—VI material growth).
A bibliography on epitaxial films was presented by Grünbaum (1975)
[83]. There is also a bibliography on silicon epitaxial growth [2326]. Inter-
national Conferences on Semiconductor Silicon [55—59], Vapour Growth and
Epitaxy [60—65], MOVPE [66—68], GaAs and Related Compounds [69—80],
and II-VI compounds [81, 82] provide much information on recent advan-
ces in CVD epitaxial films.
Another specialized topic, i.e. insulating films, has been thus far
summarized less extensive [84—93]. A book by Milek (1971 — 1972) [84],
reviews by Amick et ah (1977) [100], Morosanu (1980) [89], and Kern (1982)
[90] as well as bibliographies by Agajanian (1977) [4034] and Morosanu
(1980) [93] have been published on some aspects of CVD insulating films.

20
EVOLUTION OF CVD FILMS

A detailed discussion of all aspects of CVD thin film technology is diffi-


cult to deal with in a single book in view of extremely abundant works publi-
shed on this subject. The information on various aspects of CVD films is
often dispersed in the technical literature. Numerous journals contain CVD
articles, e.g. J. Electrochem. Soc, Thin Solid Films, J. Cryst. Growth,
J. Electron. Mater., J. Vac. Sei. Technol., Phys. Thin Films, J. Appl. Phys.>
IBM J.Res. Dev., RCA Rev., Appl. Phys. Lett., Jpn. J. Appl. Phys.,
Philips Res. Rep., Solid State Technol., Semiconductor International, IEEE
Trans. Electron Dev., Proc. IEEE, Solid State Electron., and others.
It has been attempted to collect together the most relevant and useful data,
which frequently are presented in the form of tables. More detailed informa-
tion on any aspect of CVD films can be obtained by consulting the exten-
sive bibliography listed at the end of the book [1—5370].

1.2 Short History of CVD Thin Films

In this section an attempt is made to present an historical review of the


literature on CVD films. However, only the significant developments in
the evolution of these films during the past 30 years, i.e. 1960—1989,
are emphasized.
The first examples of CVD thin films were recognized as such in the
latter part of nineteenth century. These were of pyrolitic carbon (Sawyer
and Man, 1880 [94]) and metals (Aylsworth, 1896 [95], and de Lodyguine,
1897 [96]) used for coating the carbon filaments of incandescent lamps as
well as nickel (Mond, 1890—1891 [97]) obtained in high purity using chemical
transport by means of nickel carbonyl. In about the same period, chemists
began to study the formation of high-purity metallic thin films produced
by using chemical transport as a matter of both scientific and technological
interest. Little further progress was made until the 1930s, when the
deposition of refractory compounds (metal borides, carbides, nitrides, oxides
and suicides), pigments (silicon dioxide and titanium dioxide), and other
materials (sulphides, selenides, tellurides, and alloys) became of industrial
importance.
In the 1950s, CVD materials entered the field of electronics, for
example carbon films were used for coating graphite susceptors in the zone-
refining process of germanium or indium antimonide and bulk germanium
or silicon. In the same decade, when germanium was the sole semiconductor
material, homoepitaxial layers of Ge were first obtained.
In about 1960, two important developments in electronic technology
caused a tremendous expansion of interest in CVD thin films. The first of
these was the replacement of Ge with Si as the basic semiconductor mate-
rial for device fabrication and the second was the introduction of the so-called
planar technology, invented by Hoerni in 1959. The first major breakthrough
in the field of CVD films dates from 1960, when homoepitaxial films of silicon
of device quality were first obtained by Theuerer. These films soon achiev-
ed industrial importance, being applied in the manufacture of nearly all

21
INTRODUCTION

types of silicon semiconductor devices and integrated circuits. In the same


years, homoepitaxial films of III —V compounds (e.g. GaAs), heteroepitaxial
films on oxide substrate as well as heterojunctions, were first obtained.
Starting in the mid 1960s, other CVD films of electronic materials such as
dielectrics were intensively investigated. At the same time, the study began
of thin films of metals and conductive materials applicable to electronics
as well as of superconductors and magnetic materials applicable in related
fields. Technological needs resulted in the development of polycrystalline
semiconductor films (mainly of silicon in 1968 by Sarace et al.). Films of
doped amorphous semiconductors especially of <z-Si:H (developed later in
1975 by Spear), have been found to be very important in the field of opto-
electronics. In addition to preparation techniques, significant developments
have been achieved later in the fields of fundamentals and application of
CVD films.
A. The main emphasis during the evolution of CVD films has been on
their preparation techniques.
CVD films have been prepared primarily by using the conventional
method at atmospheric pressure and high temperature (HTCVD). However,
a few improved variants such as LTCVD, SP and MOCVD proved to be
useful in various situations. New variants such as PECVD, UVCVD,
LCVD and EBCVD soon appeared.
LTCVD, a CVD process operating under normal pressure at tem-
peratures below 500° C, was described in 1967 by Goldmith and Kern
for obtaining Si0 2 layers.
SP (spray pyrolysis), a CVD process occurring in the open air which
lends itself to a high degree of continuous, cheap and voluminous preparation
of thin films, was originally described for the preparation of Si0 2 films
and extended later to other semiconductor, metal and oxide films (Viguie
and Spitz, 1975).
MOCVD is an open-tube vapour-phase thin film growth process that
employs either organometallic plus inorganic compounds or only organome-
tallies as sources of the elements of which the thin film is to be made. This
process was first demonstrated by Manasevit in 1968 for the growth of III—V
compound semiconductor films. An important development was the prepara-
tion of GaAs films of device quality in 1977 by Dupuis and Dapkus.
LPCVD was reported by Sandor in 1962 and by Kern in 1965
for the deposition of undoped Si0 2 . This process was perfected in 1973 by
Tanikawa et al. who used an arrangement of closely packed vertical wafers
for obtaining uniform deposition. LPCVD has now become an industrial
process for obtaining polysilicon.
Early PECVD work was reported by Sterling and Swann in 1965 for
depositing a-Si, Si0 2 and Si3N4 films. This process also achieved industrial
acceptance in the preparation of Si3N4 films. In addition, it has been exten-
sively used for preparing doped a-Si layers, beginning with the works of
Chittick et al. in 1969 and Spear and LeComber in 1975.
The earliest works in the field of UVCVD appear to be the growth
of epitaxial silicon by Nishizawa in 1961 and Frieser in 1968 and silicon
nitride by van den Brekel and Severin in 1972. This process is presently

22
EVOLUTION OF CVD FILMS

in commercial use for the deposition of Si0 2 and Si3N4 films. LCVD and
EBCVD have been developed more recently by Lydtin and Wilden (1973)
and by Thompson et al. (1983), respectively.
Each of the above CVD techniques (with the exceptions of LCVD and
EBCVD) was performed industrially in specific reactors. HTCVD was carried
out mainly in RF heated vertical (Theuerer, 1961), horizontal (Emerson,
1967), and pancake (Emerson, 1967) cold-wall reactors. Later, several im-
provements appeared such as the radiant-heated horizontal reactor (Bean
and Runyan, 1968), the RF-heated barrel reactor (Ernst et al. 1965; Rosier
andBenzing, 1972), the radiant-heated barrel reactor (Lee et al. 1977; Ham-
mond, 1978) and the rotary disc reactor (Ban, 1978). Special types of LTCVD
reactors were developed by Kern in 1968 (the rotary plate vertical reactor
for batch processing) as well as by Benzing et al. (1973) and by Pacific Wes-
tern Systems, Inc. in the mid-1970s (continuous processing reactors using
either a slotted disperser plate or laminar flow nozzles for gas dispersion).
SP reactors adequate for continuous processing have been described more
recently (Gralenski, 1980). MOCVD processes do not necessitate a special
type of reactors. The single-wafer vertical reactor is the most suitable for
laboratory scale applications — Manasevit (1968), Dupuis and Dapkus (1975),
and Stringfellow and Horn (1979). LPCVD is best performed in horizontal
(Tanikawa et al., 1973) or vertical (Learn, 1985) resistance-heated systems,
but horizontal or barrel RF-heated reactors were also used.
PECVD uses three designs of industrial reactor developed by Reinberg
in 1974, Rosler et al. in 1976 (both are capacitively coupled reactors differ-
ing by the gas flow direction) and by Rosier and Engle in 1979 (an inducti-
vely-coupled reactor). The first commercially available photochemical reactor
was proposed by Peters et al. in 1980. Automated reactors have been
proposed by Benzing and Fisk (1975) and by Rosier and Benzing (1977).
Adequate wafers for epitaxial deposition of semiconductor films have
been obtained primarily by using saw wafering of single crystal ingots. These,
in turn, are grown in high purity using mainly two techniques: the Czoch-
ralski method (first used for semiconductor material by Teal and Little in
1950), and the float-zone method (first introduced by Theuerer in 1952).
Laser-recrystallized polysilicon layers also served as substrate in some
applications (Kamins et al., 1980).
Homoepitaxial growth of silicon, first obtained by Theuerer, was further
developed by introducing several improvements: the silane (Bhola and
Mayer, 1963; Joyce and Bradley, 1963), and dichlorosilane (Benzing et al.,
1971) processes, as well as low temperature (Richman et al., 1970; Gittler,
1972), low pressure (Bradshaw, 1967, Boss et. al., 1973) and selective (Joyce
and Bradley, 1962) growth. Homoepitaxial growth of device quality III—V
compound semiconductors dates from the mid-1960s.
The first successful growth of high-quality single-crystalline GaAs
layers using the AsCl3 VPE process was reported in 1965 by Knight et al.
In 1966 Tietjen and Amick published results on the hydride VPE growth of
single crystalline GaAs on GaAs substrates. OMVPE was first used to produce
single crystalline layers of GaAs in 1969 by Manasevit and Simpson. The
hydride and organometallic processes were then extended to nearly all binary

23
INTRODUCTION

(GaP, etc.) and ternary (GaAsP, AlGaAs, etc.) Ill—V compounds (Tietjen
et al., 1970 and Manasevit, 1971). New process technologies such as low
pressure (Lacombe et al., 1977), low temperature (Hallais et al., 1977) and
selective (Mehal et al., 1966; Rai-Choudhury, 1969) growth were also intro-
duced for homoepitaxial GaAs films. Homoepitaxial and heteroepitaxial
growth of II—VI compounds of quality as good as possible were obtained by
Yim and Stofko (1972) using the hydride process and by Manasevit and
Simpson (1971) using the organometallic process.
The interest in heteroepitaxial silicon films on refractory insulating
substrates such as sapphire, spinel and quartz dates from 1965 when Ma-
nasevit and Joyce demonstrated the feasibility of this growth. This
very promising system has been further developed by Dumin in 1967 and
Cullen et al. (1970—1985). Heteroepitaxial work using oxide substrates has
been extended to compound semiconductors, in particular III—V compounds,
by Manasevit in 1968 and later by Wang et al.
High performance CVD heterojunctions were first described in 1960
by Marinace (Ge on GaAs substrates). Two other major hetero junctions were
later synthesized: G a A s ^ P* on GaAs by Williams and Ruehrwein (1961),
by Burd (1969) and by Craford (1973) and Al^Ga^ As on GaAs by Dupuis
and Dapkus (1977).
The most important polycrystalline semiconductor film is of polysilicon
which was first synthesized in the late 1960s by Sarace et al. (1968), Faggin
and Klein (1970) and further developed by Kamins during the 1970s.
Amorphous silicon films useful for a variety of devices, prepared origi-
nally by Spear and LeComber in 1975, have been intensively investigated by
many authors (Carlson, Brodsky, Fritzsche, Knights, and others) in the last
ten years.
Pioneering research mainly on CVD passivation layers (Si0 2 , silicate
glasses, Si3N4, A1203) has been performed by Kern starting in 1967. Important
contributions in this field have been made by Chu, Doo and Rand in the
1960s and by Duffy, Gaind, Stein and Morosanu in the 1970s. Other less
used dielectric films have been introduced subsequently: polymers (Gregor,
1966; Segui et al., 1976), SIPOS (Aoki et. al, 1975), Si0 2 : Si films (Irene
et al., 1977).
•Among the CVD conductive films, three groups of materials, i.e. refrac-
tory metals, suicides and transparent conductors, have been investigated
the most completely. Refractory metals, such as Mo and W, were investigated
for LSI technology in the 1960s and early 1970s by Brown et al., and then
by Shaw and Amick, Melliar-Smith, and others. Later, refractory metal
suicides were investigated by Kehr (1977), Inoue et al. (1983), and Brors
et al. (1983). Transparent CVD conductors applicable to devices such as
Sn0 2 : Sb and ln 2 0 3 : Sn were obtained by Kane et al. in 1976.
Superconductive compounds such as Nb3Sn and Nb3Ge were first deposi-
ted by means of CVD b y Hanak et al. (1964) and Newkirk et al. (1976),
respectively.
Magnetic materials were first investigated by Mee et al. during the late
1960s (garnets and ferrites) and by Cech and Alessandrini in 1959 (simple
magnetic oxides).

24
EVOLUTION OF CVD FILMS

B. The fundamentals of CVD — the reactions, thermodynamics, kinetics,


thickness, nucleation, structure, analysis and properties — have been
studied less.
Chemical reactions by means of which CVD films are formed have also
included some relatively new types of process: the chemical transport reac-
tions examined theoretically by Schaefer in 1963; close-spaced transport
reactions originated by Nicoll in 1963; disproportionation reactions first
used in the preparation of CVD films by Wajda et al. in 1960; and combined
reactions introduced into the preparation of complicated compounds such as
binary and ternary III—V semiconductors and garnets, by Tietjen and
Amick, and Mee et al., in the 1960s.
The application of thermodynamics to the theoretical investigation
of CVD systems has been introduced mainly by Ban, Arizumi, Sirtl (the
nonlinear equation method) as well as by Eriksson, Spear, Bernard, and
Shaw (the optimization method) especially in 1970s.
Adequate kinetic investigations began with the work of Shaw (1974)
who studied, among other things, criteria for establishing types of control
for CVD processes. Advanced kinetic studies were carried out only after
the introduction of methods for in situ detection of gas species such as mass
spectrometry (Ban, 1971), absorption spectroscopy (Richman, 1963; Nishi-
zawa and Nihira, 1978), gas chromatography (Cachet et al., 1978) and Raman
spectroscopy (Sedgwick et al., 1975). Modelling of CVD reactions began with
the works of Jones and Shaw, Seto, Bloem, Korec, Hitchmann and Moro-
sanu in the late 1970s and early 1980s. Transport phenomena in CVD, im-
portant in the design of CVD reactors, were investigated by a number of
research workers: Takahashi et al. (1972), Everteijn (1974), Ban (1978) and
Berkman et al. (1978).
A major breakthrough in the measurement of thin film thickness was
the presentation of two in situ methods: the optical method (Dumin, 1967)
and the gravimetric method (Shaw, 1970).
Advanced nucleation studies of CVD systems were performed only rela-
tive recently by Nishizawa et al. (1972) and by Claassen and Bloem (1980).
Structural investigations of CVD films have been reported by many
workers. The various contributions in this area have been surveyed by
Runyan (1975) for crystalline semiconductors and by Kern (1973) for
amorphous dielectrics. Two important techniques for defect characteriza-
tion — the optical method based on the differential interference contrast,
invented by Nomarski in 1952 (Hallas and Patzner, 1964) and transmission
X-ray topography, developed by Lang in 1958 (Schwuttke, 1970; Rozgonyi
and Miller, 1976) — have also been used extensively in CVD. Several more
conventional methods have also been applied to CVD semiconductors:
X-ray diffractometry (Manasevit et al., 1965; Wang et al., 1969; Kamins
and Cass, 1973), electron diffraction (Joyce et al., 1965; Wang et al., 1969),
TEM (Booker and Stickler, 1962); Abrahams and Buiocchi, 1975), REM
(Manasevit et al., 1965; Bicknell et al. 1966), and optical absorption (Druminski
et al., 1976). Dielectrics were investigated using mainly the following methods:
SEM (Meek and Braun, 1972; Kern, 1973), phase and interference contrast
optical microscopy (Kern, 1973), electrophoretic decoration (Kern and Comiz-
zoli, 1977), electrostatic charging decoration (Kern and Comizzoli, 1977),

25
INTRODUCTION

electrochemical autography (McCloskey, 1967), electrolytic gas bubble


formation and electrolytic copper decoration (Besser and Meinhard, 1966).
Nearly all modern methods of thin film surface analysis were also intro-
duced into the field of CVD. These methods are:XRF (Kane et al., 1975;
Griletto, 1977); ESCA (Raider et al., 1976; Thomas and Goodman, 1979);
SSMS (Kane et al., 1975); EPMA (Colby, 1968); AES (Maguire and Augustus,
1972; Holloway and Stein, 1976); IMMA (DiLorenzo et al., 1971; Yamasaki
and Sugano, 1980); SIMS (Anderson et al., 1978; Carlson et al., 1979); RES
(Gyulai et al., 1970; Kamoshida and Mayer, 1972); and NRA (Lanford and
Rand, 1978; Peercy et al., 1979).
The characterization of CVD films first included the measurement of
properties of interest to device fabrication, in particular optical and electrical
properties. However, some important mechano-thermal and chemical pro-
perties were also studied. Generally, the investigation methods were similar
to those used for other film types, although the properties are different. The
most important properties investigated were: the strain and stress (Blanc,
1980); photoconduction (Tietjen et al., in the late 1960s); photovoltaic
effects (Carlson and Wronski, 1976); electroluminescence (Nuese et al., 1968;
Pankove et al., 1971; Craford and Groves, 1973); laser effect (Tietjen et al.,
in the late 1960s; Dupuis and Dapkus, in the late 1970s), electrical resistivity
and conductivity of semiconductors (Smits, 1958; Hilibrand and Gold,
1960; Brownson, 1964; Mazur and Dickey, 1966); interface electrical pro-
perties of insulators (Zaininger and Heiman, 1970); the Hall effect (Tietjen
and Weisberg, 1965; Dumin, 1967; Kamins, 1971); wet chemical and electro-
chemical etching (Robbins and Schwartz, 1959; Sirtl and Adler, 1961; Lang
and Stavish, 1963; Pliskin and Gnall, 1964; Abrahams and Buiocchi, 1965;
Finne and Klein, 1967; Secco D'Aragona, 1972; Tuck 1975; Wright Jenkins,
1977; Kern and Deckert, 1978, Elliott and Regnault, 1980); plasma etching
(Bersin, 1976; Jacob, 1976; Heinecke, 1975; Abe, 1975; Melliar-Smith and
Mogab, 1978); sputter etching (Tsui, 1967; Fritz, 1971); reactive sputter
etching (Lehmann and Widmer, 1978); reactive ion beam etching (Brown
et al., 1980); diffusion in CVD films (Kamins, 1974); diffusion from CVD
films (Barry and Olofsen, 1968); thermal oxidation of CVD films (Kamins,
1979; Irene et al., 1980).
C. Uses of CVD films constitute the most important part of their investi-
gation. Often, the methods of film preparation and characterization were
developed specifically in view of the applications. CVD films were developed
primarily owing to the evolution of solid state devices. It is also true that
the devices, in turn, benefited to a great extent from the availability of high-
quality CVD materials.
The invention of different silicon device structures such as the planar
double-diffused bipolar transistor (Aschner et al., 1959), the epitaxial diffused
bipolar transistor (Theuerer, 1960), the MOS transistor (Kahng and Atalla,
1960), the bipolar integrated circuit (Noyce, 1961), the MOS integrated
circuit (anonymous, early 1960s), and the CMOS integrated circuit (Wanlass
and Sah, 1963) resulted in further extension of CVD film applications. CVD
films were impleinented in the new advanced device and integrated circuit
structures proposed later, such as MNOS (Frohman-Bentchkowsky and
Lenzlinger, 1969) and buried polysilicon gate (Frohman-Bentchkowsky, 1971)

26
EVOLUTION OF CVD FILMS

non-volatile memory transistors; D-MOS transistors (Cauge et dl., 1971);


V-MOS transistors (Rogers and Meindl, 1974); charge transfer devices (bucket
brigade devices, Sangster, 1970; charge-coupled devices, Boyle and Smith,
1970); SOSMOS devices and circuits (Hsu and Scott, 1975); isoplanar
diffused bipolar transistors (Peltzer and Herndon, 1971); LOCOS transistors
(Appels et al., 1970); and integrated injection or merged transistor logic
circuits (Berger and Wiedmann as well as Hart and Slob in 1972) [4822].
In addition to microelectronic devices, many optical and microwave
devices, based in particular on GaAs and other III—V compounds, often
included CVD films in their structure. Representative developments in the
optoelectronics area are as follows: double-heterojunction laser diodes
containing Al^Ga^As /GaAs he tero junctions (Dupuis and Dapkus, 1977),
LEDs using GaAs^* P^/GaAs heterojunctions (Craford et al., 1969), and
GalnAs/GaAs (Susa et al., 1979) and GalnAsP/InP (Olsen and Kressel, 1979)
avalanche photodiodes; a-Si solar cells (Carlson and Wronski, 1976);
various optical fibres (Schultz et al., 1973; MacChesney et al., 1974; 'Küppers
et al., 1978); NEA photocathodes based on GaAs, GaAsP, GalnAs, GaAsSb
and InAsP epitaxial layers (Tietjen et al., 1970); and InGaP/InGaAs/GaAs
TE photocathodes (Saxena et al., 1980). Several microwave devices were also
reported to use CVD films, mainly III — V compounds: transferred-electron
oscillators invented by Gunn in 1963 (Enstrom et al., 1967—1972); avalanche
diode oscillators (IMPATT) invented by Read in 1954 (Enstrom et al.,
1967 — 1972), varactor diodes (Tietjen et al., 1966), and field effect transistors
(Enstrom et al., 1967—1972).
Miscellaneous devices, such as SAW and electro-ptic ode vices (Duffy etal.t
1971 — 1978), magnetic bubble memories (Bobeck, 1967), thermal printers
(Bean, 1981), X-ray lithography masks (Bean, 1981), photothermal conver-
ters (Seraphin, 1976), chemical sensors (Lundström et al., 1975), etc. can be
constructed by using CVD films. Artificially structured materials have
also been obtained by means of CVD techniques, especially MOCVD (Dupuis
et al., 1978).
2
Techniques of Preparing Thin Films

2.1 Introduction

A film (also called a layer or coating) can be defined as a near-surface region


whose properties are different from those of the bulk of the material. A thin
film is a film having a maximum thickness of 1 μιη or less. However, many-
films encountered in practical applications having thicknesses ranging from
a few hundred Angstroms to several microns (typically 0.01 — 10 μιη) are
generally considered as thin films. Because of the high technological impor-
tance of thin films in solid state electronics a wide variety of preparation
techniques is presently available [1 — 10, 98—102].
These techniques may be classified according to the film formation
environment: electrolysis (electroplating, electroless plating, and electrolytic
anodisation); vacuum (vacuum evaporation, ion beam deposition, molecular
beam epitaxy, hot-wall epitaxy, and ion implantation); plasma (sputter
deposition and ion plating); liquid phase (liquid-phase epitaxy); solid phase
(solid-phase epitaxy); and chemical vapour (substrate chemical vapour con-
version and chemical vapour deposition). The techniques for preparing
thin films have already been surveyed in a number of books and reviews.
The intent of this chapter is to present the basic principle of all important
techniques used to fabricate thin films. Typical apparatus and processing
parameters, the advantages and limitations, as well as practical examples
of representative applications in present-day solid-state technology of each
technique are given. In this way, the similarities and differences between
CVD and non-CVD methods will be better appreciated. However, the emphasis
is placed on chemical vapour deposition (CVD), which is considered to be
the major technique for preparing of most films used in the fabrication of
semiconductor devices and integrated circuits. A direct comparison between
CVD and non-CVD techniques is offered.

31
FUNDAMENTALS

2.2 Electrolytic Deposition Techniques

Electroplating. Electroplating is a method of obtaining thin layers in an elec-


trolytic solution under the action of the electric current, based on reducing
the metallic ions at the cathode by introducing external electrons [103].
An electroplating deposition system consists of a plating bath filled with an
electrolyte solution, a battery, and two electrodes (Fig. 2.1a). The anode is
often made of the metal to be deposited and the cathode of the metal to be
plated, while the electrolyte solution contains ions of the metal to be deposited.
The electroplating process is affected by the following factors which control
the deposition rate and the properties of electrodeposited film: the concentra-
tion and nature of the positive metal ions, the negatively charged ions, the
organic and inorganic additives and impurities, the current density, the shape
and surface of the cathode (substrate), and the temperature, agitation, pH,
viscosity and surface tension of the solution. This method offers the advan-%
tages of obtaining thin films at a relatively high deposition rate on substrates
of various configurations using relatively simple equipment. However, it
can only be applied to a limited number of materials, such as metals, which
can be deposited only on a conductive substrate, the thickness uniformity
being very low.
Electroplating is used in solid state technology for the fabrication of
thick copper films in order to increase the conductance of interconnections.
Electroless plating. Electroless plating consists of the continuous forma-
tion of a metallic layer as a result of chemical reduction occurring in a plating
solution, without employing an external current source [104—107].

* 5
(a) (b) (c)
Fig. 2.1 Equipment using chemical methods of film formation from solution:
a — electroplating apparatus: 1 — electrolytic cell; 2 — electrolyte; 3 — anode; 4 — cathode
being coated ; 5 — current source;
b — electroless plating apparatus: 1 — electroless plating bath; 2 — plating solution; 3 —
substrates having a catalytic surface immersed in the bath; 4 — substrate holder; 5 — heater;
■c — (wet) anodisation cell (after Dell'Oca and Barry [2236]; reprinted with permission from
SOLID-STATE ELECTRONICS, Copyright© 1972, Pergamon Journals Ltd.):
1 — thermostatted bath; 2 — electrolyte (e.g., K N 0 3 in ethylene glycol for Si 3 N 4 anodisation,
or tartaric acid solution for Al anodisation); 3 — stainless-steel cathode; 4 — mercury anode;
5 — wafer; 6 — vacuum; 7 — power supply; 8 — voltmeter; 9 — stirrer.

32
TECHNIQUES O F P R E P A R I N G T H I N F I L M S

Electroless plating is usually performed in a bath (Fig. 2Ab) containing


the following components: a metallic salt providing the ions required for
deposition, a reducing agent ensuring the reducing ability of the bath, a
complexing agent preventing metallic ion precipitation, a pH controlling
substance and a stabilizer reducing the homogeneous precipitation of the
bath components. For Ni electroless plating the bath components are NiS0 4 ,
NaH 2 P0 2 , sodium citrate, NaOH, and thiourea.
The important bath parameters are temperature, metallic and reducing
ion concentrations, and pH. Unlike electroplating, this technique enables
the preparation of denser, more uniform, and selective coatings on various
non-conducting (dielectric, semiconducting) or metallic substrates. However,
only a limited number of metals, alloys and semiconductor compounds can
be deposited on non-conducting substrates. Since direct deposition cannot
generally be obtained, activation of the substrate surface prior to deposition
by means of immersion in solutions containing noble metals is usually required.
Electroless plating has been applied mainly to Ni films on Si substrate.
Electrolytic anodisation. Electrolytic anodisation (anodic oxidation)
consists of producing an oxide coating by means of the electrochemical oxida-
tion of an anode which forms the substrate material. The electrolytic ano-
disation equipment consists of an electrolytic cell, in which a voltage is
applied between the anode and the cathode, which are immersed in a suitable
electrolyte solution (Fig. 2.1c). The main process parameters are the current
density, temperature and pH of the solution. This technique provides precise
control of the oxide thickness by employing cheap equipment; but the oxides
can only be formed on a limited number of substrates at room temperature
and are contaminated and not very dense, their insulating properties being
weak. Electrolytic anodisation is applied to growing anodic oxide layers
on the surface of III—V compound semiconductors [108, 109].

2.3 Vacuum Deposition Techniques

Vacuum evaporation. Vacuum evaporation consists of vaporizing the source


material by heating it resistively, inductively or with an electron gun in
vacuum, followed by its vapour recondensation as a thin film [110]. The
equipment includes an evaporation enclosure containing heated supports
on which the substrates are placed, an electron gun for heating the material
powder, vacuum and temperature measuring instruments, and a vacuum
pumping system (Fig. 22a). The main control parameters involved in evapo-
ration are the source and substrate temperature, the source-substrate sepa-
ration, and (in the case of reactive evaporation) the gas background pressure.
This method is advantageous because deposition occurs even at room tem-
perature on any kind of substrate. However, there are also some disadvantages
that makes this method unsuitable for the deposition of high quality semi-
conductors and dielectrics: growth occurs very far from thermodynamic
equilibrium; the substrate temperature is limited to 300—400°C owing to
re-evaporation; undesired impurities originating from the evaporant support

33
FUNDAMENTALS

or from the walls of the vacuum chamber are introduced in the layers;
crystalline defects are caused by radiation; non-uniform film thickness is
caused by substrate sputtering and charge trapping in the deposited films
produced by evaporant ionisation. Vacuum evaporation is specific to mate-
rials that are volatile at moderate temperatures, do not react with their
support or bell jar materials at the evaporation temperature and that are
not decomposed under the influence of evaporation conditions. In semicon-
ductor technology, this technique is applied to device metallization (Al, Au)
and to the fabrication of Ni—Cr resistors.
Ion beam deposition. Thin layer deposition by means of ion beams can
be obtained in two ways: the material can be deposited directly from an ion
beam containing the desired element or compound, or indirectly by bom-
barding the target with external ions [120—127]. The direct deposition
technique consists of the formation of a thin layer from the components
of a low-energy ion beam reaching the substrate. Compared with conventional
deposition methods such as vacuum evaporation, cathodic sputtering, and
ion plating, direct ion beam deposition results in films without foreign impu-
rities originating from the material source, equipment walls and gaseous
ambient. However, the deposition time is much longer, and the equipment
is more complex. The indirect technique is based on sputtering by using
an external inert ion beam for removing the target material followed by its
redeposition as a thin film on a substrate. A variant is ion beam reactive
sputtering, in which an ion beam reacts with the target, the thin layer being
either formed even on its surface or deposited on a substrate. The ion
beam apparatus contains an ion beam source, a target holder, a substrate
mount, and a vacuum chamber (Fig. 2.2b). The film deposition rate is mainly
related to the type and energy of the impinging ions, the target material and
temperature, the distance and angle of the substrate from the ion source
and of the substrate from the target, and the substrate temperature. The
main advantage of ion beam sputtering as compared to conventional sputtering
is that, owing to working under high vacuum conditions, unwanted interac-
tions between plasma and substrates can be avoided. However, disadvantages
such as the need for a high vacuum with a low growth rate have so far pre-
vented widespread use of this method. Ion beam sputtering has been applied
to the deposition of some epitaxial semiconductor (Si, GaAs) and dielectric
(Si3N4) films.
Molecular beam epitaxy (MBE). Molecular beam epitaxy is a process
involving interaction between a crystalline substrate surface and one or
more molecular beams, obtained by electron beam or thermal evaporation
of a selected source in an ultrahigh vacuum [128—133]. The MBE apparatus
consists of a stainless steel bell jar, several source effusion cells, a heated
substrate holder and an ion vacuum pump giving a typical pressure of ~ 1 X
10"l0 torr (Fig. 2.2c). The system may also be equipped with provisions
for the in-situ study of the structure and the composition of the layers
(LEED or RED and AES) and a quadrupole mass spectrometer for residual
gas analysis and analysis of the molecular beams. The condensation process
is mainly influenced by the nature of the effusing species, the incident mole-
cular beam energy and flux, the substrate temperature (up to 650°C), the
impurity doping beam flux, and the distance between the sources and the

34
TECHNIQUES OF P R E P A R I N G T H I N FILMS

substrate. Main advantages of this technique consist in their ability precisely


to control the thickness, crystallinity, composition and impurity level of the
elemental and compound epitaxial films, which are deposited monolayer
by monolayer at relatively low temperatures. The disadvantages are low
growth rate, limited crystal-thick layer capability,the requirement for an ultra-

\ \ / /
\\ / 7 <
r—vVlw—1,.

6
(a) (c)

Fig. 2.2 Equipment used in vacuum environment deposition techniques:


a — vacuum evaporation equipment: 1 — bell jar; 2 — substrate holder with heater; 3 —
substrate; 4 — source material; 5 — source heating filament; 6 — vacuum pump;
b — ion-bearr sputtering deposition apparatus (after Weissmantel [123]; reprinted with per-
mission from THIN SOLID FILMS, © 1976 Elsevier Sequoia S.A.): 1 — glass chamber;
2 — primary ion-beam source; 3 — secondary ion-beam source; 4 — target ; 5 — substrate
holder; 6 — substrates; 7 — to vacuum; 8 — inert or reactive ion beam; 9 — sputtered ma-
terial ; 10 — reactive ion beam;
c — MBE system (after Cho and Arthur [128]; reprinted with permission from PROGRESS
IN SOLID-STATE CHEMISTRY, (g) 1975 Pergamon Journals Ltd.): 1 - effusion cells
with individual heat shielding; 2 — thermocouples; 3 — liquid-nitrogen shroud; 4 — mecha-
nical shutter; 5 — molybdenum heating block; 6 — substrate; 7 — fluorescent screen; 8 —
view port; 9 — quadrupole mass spectrometer; 10 — Auger cylindrical analyser; 11 — ion
sputtering gun; 12 — electron diffraction gun; 13 — ultra-high vacuum chamber;
d — HWE system (after Lopez-Otero [134]; reprinted with permission from THIN SOLID
FILMS, Copyright© 1978 Elsevier Sequoia S.A.): 1 — quartz tube; 2 — substrate; 3 —source;
4 — substrate oven; 5 — wall oven; 6 — source oven;

35
FUNDAMENTALS

10

Έβ^ύ^Ο^^^όήI (e) ir
Fig. 22e
e — ion implantation system: 1 — source supplies; 2 — ion source; 3 — extraction a n d
focusing electrodes; 4 — accelerating column; 5 — diffusion p u m p ; 6 — quadrupole lens;
7 — mass analyser; 8 — bsam scanner; 9 — ion detectors; 10 — sample chamber; 11 —
wafer rack.

high vacuum, the fact that the process usually takes place under conditions
very far from thermodynamic equilibrium (which could be detrimental to
the epitaxial layers), and system expense. MBE has been applied especially
to the construction of various heterostructures, such as multilayers of
ALpGa^ As and GaAs that are thin enough (layer thickness as low as 10 A)
to exhibit quantum size effects.
Hot-wall epitaxy (RWE). Hot-wall epitaxy designates an epitaxial
layer deposition process employing vacuum sublimation of a heated source
followed by its condensation on a heated substrate, in a chamber using a
heated liner (hot wall) which encloses and directs the vapour from the source
to the substrate [134]. The equipment, shown schematically in Fig. 2.2d, is
contained in a vacuum and consists of a quartz tube in which the source
material is placed at the sealed bottom, while the substrate is placed on the
open end at the top. The substrate, the wall of the tube and the source are
resistively heated independently. The factors influencing the growth process
are the source, the wall and the substrate temperatures , the geometry of the
tube, the contamination of the source material, and the compensating sources,
eventually used together with the main source material. This technique allows
the preparation of thin layers having characteristics similar to those of the
bulk materials, by working in thermal equilibrium and using relatively
simple equipment. However, this technique is suitable only for sublimable
solids and is limited to small-scale applications. HWE has been very successful
in the preparation of epitaxial layers of II—VI and IV—VI compound semi-
conductors.
Ion implantation. Ion implantation represents a method of obtaining
thin layers which include atoms of the substrate [135—139]. It is a process
in which suitable ions are injected at a certain depth beneath the substrate
surface by bombarding it with high-energy accelerated ions. A thin layer
is formed on subsequent thermal annealing of the substrate which facilitates
the chemical combination of implanted and substrate atoms. The main parts
of all ion implantation systems include an ion source, an accelerating and
focusing column, a vacuum pump, a mass analyser, a beam scanner, an ion
detector, and the sample chamber; these are presented schematically in
Fig. 2.2£. The film thickness is controlled by the implantation voltage,
the ion mass, the ion dose and the annealing temperature. By using this
technique it is possible to obtain films with properties suitable for various

36
TECHNIQUES OF P R E P A R I N G T H I N FII.MS

applications, at room temperature. However, the crystalline lattice of the


substrate is considerably damaged and the film rather thin, owing to the
relatively shallow penetration of the ions. Ion implantation provides another
method of forming thin films which incorporate surface atoms such as Si0 2 ,
SiaN4, SiC, Ge3N4, Ge0 2 , Ge^OyN-j, etc.

2.4 Plasma Deposition Techniques

Sputter deposition. Sputter deposition, also named cathodic sputtering, is


based on the process of neutral atom release from a cathodic target, bom-
barded with positive ionized gas molecules which are accelerated by means
of an electric field, and their subsequent deposition on the substrate in order
to form a thin solid film. A schematic diagram (Fig. 2.3#) of the cathodic
sputtering deposition system shows a working enclosure provided with an
anode on which the grounded or biased and heated or cooled substrates are
positioned, a cathode with the sputtering material target, gas pressure
measuring instruments, devices for introducing different gases and d.c, or
preferable RF, high voltage sources. Depositions can be achieved in two
ways: by direct cathodic sputtering (when the material to be sputtered is
the same as the film obtained) and by reactive cathodic sputtering (when the

Ar
13

Fig. 2.3 Equipment used in plasma environment deposition techniques:


a — multifunctional diode-type cathodic sputtering system: 1— d.c. source; 2— R F genera-
tor ; 3 — t a r g e t ; 4 — shutter; 5 — substrate; 6 — substrate holder; 7 — ground contact; 8 — d.c.
bias; 9 — R F bias; 10 — bell jar chamber; 11 — to vaccum p u m p ; 12 — needle valve; 13 —
inert or reactive gas inlet.
b — R F ion plating system using electron-beam evaporation; 1 — vacuum chamber; 2 —
substrate holder (water cooled cathode); 3 — substrate; 4 — source; 5 — electron-beam gun;
6 — R F power; 7 — R F matching network; 8 — shutter; 9 — R F glow discharge ; 10 — to vacuum
pump.

37
FUNDAMENTALS

film results from the reaction between the target and certain gases introduced in
the inert atmosphere). The main factores affecting the deposition process are
the RF power, the target material, the substrate bias, the temperature, the
reactive gas concentration in the inert sputtering gas, the shape and size
of the electrodes, the chamber gas pressure and the presence of an auxiliary
magnetic field. The method is advantageous because deposition can be accom-
plished using unheated sources, the substrates can be cleaned by ion bom-
bardment before or during deposition and conformal coatings on irregular
surface substrates can be obtained. However, the deposition rate is relative
low, the film is contaminated from the target, deposition is only possible for
materials which are available as plates, the film structure is damaged as
a result of gas incorporation or bombardment with reactive species and
energetic radiation, and the equipment is expensive. Sputtering has been
successfully applied to deposit many films of resistors, conductors, insulators,
semiconductors, magnets and superconductors [111 — 119].
Ion plating. Ion plating is a combination of vacuum evaporation with
RF sputtering, being considered as evaporation in a glow discharge or eva-
poration with a biased substrate [140—142] . Similarly to sputtering, a gas
plasma discharge is set up between a cathode (the substrate) and an anode
(the source of the material to be deposited). Reactive ion plating uses in addi-
tion a reactive gas for preparing the compound films. In this process, the
substrate is subjected to a flux of energetic ions which is sufficient for its
sputter-etch cleaning before and during layer formation. Ion plating equip-
ment (Fig. 2.3&) consists of a combination of a resistively or electron-beam
heated evaporation system with a d.c. or RF plasma excited system. The
process depends on the nature of the evaporation source, the substrate and
reactive gas, the substrate temperature, the reactant gas pressure, the elec-
tron beam gun power, the RF power, the substrate voltage and the tempera-
ture. Although this technique includes the disadvantages inherent in each
of both methods, it is characterized by a higher deposition rate, similar to
that in vacuum evaporation, suitable substrate cleaning, good layer adhe-
sion and the ability to achieve coatings on tridimensional substrates, as in the
sputtering technique. A process of interest in solid-state technology is RF
reactive ion plating of Si3N4.

2.5 Liquid-Phase Deposition Techniques

Liquid-phase epitaxy. Liquid-phase epitaxy consists of precipitating a ma-


terial from a cooling solution onto a heated substrate situated beneath
[143—145]. The growth apparatus used in LPE is of two basic types: (a) the
tipping furnace in which contact between the solution and the sub-
strate is obtained by inclining the furnace (Fig. 2.4); and (b) the vertical
system, in which the substrate is dipped into the solution. The LPE process
depends on several factors, such as the purity of the gaseous ambients and
the solution materials, the type of dopant additives, the growth tempera-
ture and the cooling rate. This technique offers many advantages, such as

38
T E C H N I Q U E S OF P R E P A R I N G T H I N F I L M S

Fig. 2.4 L P E growth apparatus (tipping furnace sys- ,1


tem) (after Nelson [144]; reprinted with permission
from RCA Review): 1 — quartz t u b e ; 2 — furnace;
3 — graphite b o a t ; 4 — substrate; 5 — clamp; 6 —
solution; 7 — thermocouple.
4 6"■■■ "W-H,
7 u
**™

simplicity of equipment, high film deposition rates, easy incorporation in the


layer of many useful dopants, and the elimination of chemical hazards.
However, the reproducible preparation of some complex compounds is
difficult, material stoichiometry cannot be adjusted easily owing to the pre-
sence of the solvent, the layer homogeneity h sometimes difficult to control,
and the solvent adversely affects certain epitaxial layers. LPE has developed
rapidly into a useful technique for preparing many binary and ternary III—V
compounds and magnetic materials.

2.6 Solid-Phase Deposition Techniques


Solid-phase epitaxy (SPE). Solid-phase epitaxy is based on conversion by
thermal annealing at low temperature (400—600°C) of an amorphous layer
deposited by using another technique (CVD or vacuum evaporation) in a
layer having a monocrystalline structure similar to that of the substrate
[147, 148]. The principle of this technique is schematically illustrated in
Fig. 2.5. This technique provides a good thickness control of layers of high
purity and crystallographic quality which are obtained at low temperatures
using simple equipment. It is applicable to silicon and is compatible with
present-day solid-state technologies. However, an adequately high cleanliness
of the film-substrate interface which can be obtained by using UHV treat-
ment, an intermediate Pd layer or even by an HF rinse before Si film depo-
sition is usually required. An example is the SPE growth of Si from LPCVD
polycrystalline films amorphized by means of 28Si ion implantation, which
takes place by annealing in N2 at 550°C.

2.7. Chemical Vapour Conversion of Substrate


Substrate conversion by using chemical vapour could be considered a special
type of CVD process, in which the substrate is also participating in the hete-
rogeneous deposition reaction as one of the reactants [149—179] However,

Fig. 2.5 SPE growth equipment:


1 — quartz chamber; 2 — electric furnace; 9 M I I I I T T / / '
3 — wafer carrier; 4 — wafer (e.g. single-crys-
talline silicon); 5 — evaporated metal layer
(e.g. P d ) ; 6 — evaporated or CVD amorphous A· —3
film (e.g. α-Si); 7 — wafer load /unload c a p ;
8 — vacuum system. I H I I U I I I I

39
FUNDAMENTALS

this method of film formation is in fact not a deposition process but rather an
in-situ growth process. There are three main classes of substrate chemical
vapour conversions according to the type of energy used in the process:
thermal, plasma, and laser conversion. Substrate chemical vapour conversion,
as applied to silicon technology, offers an extremely important advantage,
namely the highest quality of grown Si0 2 , which is obtainable only through
this technique. However, grown Si0 2 films have several disadvantages in
planar semiconductor device processing over deposited Si0 2 films, e.g. some
negative effects can be maximized owing to consumption of some of the
silicon of the substrate (junction movement, pile-up or depletion of dopants
at the Si02-Si interface); thick Si0 2 films useful in MOSFET circuits cannot
be grown; these films are unable to serve as photolithographic masks for
films not etched in fluorides, such as silicon nitride; and grown oxides do
not lend themselves to in-siiu processing where two or more films are required
and one of these films is SiO«.

2.7.1 Thermal Conversion of Substrate

This technique comprises mainly thermal oxidation and thermal nitridation


of elemental (Si, Ge) and compound semiconductors.
Thermal oxidation. Thermal oxidation of silicon is the most appropriate
process in many modern fabrication technologies used for building integrated
circuits and discrete devices [149, 2078, 2079]. This process offers the advan-
tages of reproducibility, obtaining very high quality uniform dielectric layers
of silicon dioxide on a silicon wafer. Its disadvantages are that the high
temperature (1000—1200°C) may lead to film contamination, the maximum
oxide thickness obtained is about 1 μ, the duration of the process is conside-
rable ( ^ 1 h), the substrate thickness is modified, and the process is inapli-
cable to semiconductor compounds. The proces usually proceeds at normal
pressure, but at low or especially high pressure as well. Typical equipment
for thermal oxidation of Si is shown in Fig. 2.6a.
High-pressure oxidation. High pressure oxidation [2079] designates
silicon oxidation at pressures up to 25 atmospheres in a variety of ambients
(e.g. dry oxygen or steam environments) at temperatures between 500 and
1000°C. The main features of this process are acceleration of the oxidation
process, denser and higher refractive index oxide formation, lower tempera-
ture (< 1000°C) processing (thus ensuring less wafer warping), less p-n
junction delocalisation, fewer oxidation-induced stacking faults, and reduced
dopant segregation at the Si—Si02 interface. However, high-pressure oxida-
tion equipment is more complicated than atmospheric-pressure oxidation
equipment (Fig. 2.66).
Thermal nitridation. Thermal nitridation, i.e. thermal conversion of
substrate surface to nitride layers — was studied for both silicon and com-
pound semiconductors [150—154]. Thermal nitridation of silicon performed
in highly purified nitrogen and ammonia gases at temperatures ranging from
900 to 1300°C gives a film with a thickness less than 100 Ä. The thermal nitri-
dation process of silicon allows one to obtain a thinner insulator having

40
TECHNIQUES OF P R E P A R I N G T H I N F I L M S

good electrical interfacial and impurity masking properties, as required in


advanced LSI processing.
However, this process needs a relatively high temperature, and the film
thickness is limited. Apparatus for the thermal nitridation of a silicon sub-
strate is similar to that shown in Fig. 2.6a.

" 5 3 2

;c^ r^j-9 -w V±

a, N2 H2 HO
(a)

.·4=β-ώ

Fig. 2.6 Techniques and systems for chemical vapour substrate conversion:
a — normal pressure thermal oxidation of silicon in dry oxygen (0 2 ), wet oxygen ( 0 2 — H 2 0 ) ,
steam (H 2 0), pyrogenic steam (H 2 —0 2 ) and HC1-containing ambients ( H O —O a ,
HC1—H 2 —0 2 ): 1 — membrane filters; 2 — flowmeters; 3 — two-way valves; 4 — water
bubbler flask; 5 — three-way valve; 6 — v e n t ; 7 — quartz t u b e ; 8 — furnace; 9 — silicon
wafers; 10 — quartz cradle; 11 — exhaust;
b — high pressure oxidation in pyrogenic steam or oxygen (after Tsubouchi et al. [2143]; re-
printed with permission from J A P A N E S E J O U R N A L OF A P P L I E D P H Y S I C S ) : 1 - q u a r t z
t u b e ; 2 — furnace; 3 — water cooling pipe; 4 ~ stainless steel pressure chamber; 5 — Si
wafers; 6 — susceptor; 7 — susceptor push r o d ; 8 — cap push r o d ; 9 — exhaust line;
10 — cold t r a p ;
c — d.c. or R F plasma-enhanced anodic oxidation of GaAs (after Sugano [162]; reprinted with
permission from T H I N SOLID F I L M S , Copyright (g) 1980, Elsevier Sequoia S.A.): 1 — quartz
chamber consisting of a bell j a r ; 2 — d.c. anodisation source; 3 — high-frequency oscillator;
4 — cathode; 5 — anode; 6 — quartz shields; 7 — GaAs sample; 8 — heater; 9 — thermo-
couple; 10 — 0 2 gas inlet; 11 — needle valves; 12 — rotary p u m p ; 13 — Pirani gauge;
d — laser-enhanced oxidation of Si in dry 0 2 : 1 — argon or carbon dioxide laser; 2 — power
meter; 3 — beam scanner; 4 — lens; 5 — growth cell; 6 — quartz window; 7 — wafer pedestal;
5 — resistance h e a t e r ; 9 — temperature measurement and control; 10 — wafer; 11 — oxide
l a y e r ; 12 — oxidant gas (dry oxygen or steam) inlet; 13 — exhaust.

41
FUNDAMENTALS

2.7.2 Plasma Conversion of Substrate

Plasma anodisation [156—171] consists of forming a thin oxide film by pla-


cing the substrate under bias in the field of an oxygen or nitrogen plasma.
Plasma anodisation is used for the oxidation [156—163] and nitridation
[164-171] of elemental [156-161, 164-169] and compound [162, 163, 170,
171] semiconductors. There are two main advantages of this process over
thermal surface conversion, namely low substrate temperatures and fast
oxidation rates. Low substrate temperature (~300°C for GaAs, ^600°C for
silicon) prevents decomposition or evaporation of some constituents of com-
pound semiconductors and removes the generations of oxidation-induced sta-
cking faults and the redistribution of impurities in the silicon substrate. The
equipment used in anodisation is shown in Fig. 2.6c.

2.7.3 Laser Conversion of Substrate

Substrate surface conversion (oxidation or nitridation) can also be obtained


applying photochemical methods by using ultraviolet radiation or laser exci-
tation in the presence of an oxygen- or nitrogen-containing molecule [172—179].
This approach, investigated recently for the purpose of silicon and GaAs
passivation, offers several advantages, such as low sample temperature (as
opposed to thermal oxidation), clean and dry ambient (as opposed to ano-
dic oxidation), and the capability of producing localized film growth.
However, the oxidation rate is much lower and the equipment (Fig. 2.6d)
is more complicated. Photo-enhanced oxidation has been reported for Si
[172-177], GaAs [178], ZnTe, ZnSe, and (HgCd)Te using UV light as well
as visible or infrared laser irradiation of heated wafers in the presence of
0 2 , CO, NO, or H 2 0 molecules. Laser nitridation of Si has also been repor-
ted [179].

2.8 Chemical Vapour Deposition

Chemical vapour deposition (CVD), as its name implies, means the formation
of a stable film on a substrate, produced by the reaction of chemicals from the
gaseous state making use of an activation energy. The equipment for thin
film deposition using CVD generally contains the following units: gas or vola-
tile liquid sources; a gas distribution and mixing system; a reaction chamber;
a system for providing the activation energy for the reaction and for heating
the substrates; and a neutralization system for the exhaust gases (Fig. 2.7a).
The equipment design depends on the type of activation energy, the initial
aggregation state of the sources, the reactor operating principle, the substrate
heating type, the reactor configuration, and the wall temperature.
In general, the variables affecting the deposition rate and film properties
are the nature of the reactants and their purity, the amount of energy supplied,

42
TECHNIQUES OF P R E P A R I N G T H I N FILMS

RESISTANCE
INDUCTIVE '
OR RADIANT
HEATING

1
{CARRIER GAS GAS FLOW SCRUBBER
GAS SUPPLY CONTROL —w \REACTOR\ •^
[PURIFIER

t
TEMPERATURE
MEASUREMENT
AND CONTROL
.Fig. 2.7 Block diagram of set-up for atmospheric pressure CVD.

the substrate temperature, the ratio of reactants, the gas flow rates, the sys-
tem pressure, the geometry of the deposition chamber, and the substrate sur-
face preparation. The main advantages of this technique consist in producing
uniform, reproducible and adherent layers of all classes of materials without
defects and impurities at relatively high rates in simple and cheap commer-
cially available non-vacuum equipment. However, there are some disad-
vantages, among which are the use of comparatively high temperatures in
many processes and chemical hazards caused by toxic, explosive, inflamma-
ble or corrosive gases. CVD processes can be classified according to the
type of their activation energy, namely thermally-activated CVD, plasma-
enhanced CVD, photochemical CVD, laser-induced CVD and electron-beam
assisted CVD.

2.8.1 Thermally-Activated CVD

Thermally-activated CVD uses thermal energy to produce a gas-phase che-


mical reaction resulting in the formation of a thin film on a substrate. Depen-
ding on the pressure value in the reaction environment, there are two main
classes of thermal CVD: atmospheric-pressure CVD and low-pressure CVD.
Both atmospheric- and low-pressure CVD can be subdivided, in turn, into
high-temperature and low-temperature CVD, if the substrate temperature is
higher or lower than 500°C, respectively. If at least one of the reactants is
a metallo-organic compound, the techniques are usually called metallo-organic
CVD (MOCVD) or low-pressure metallo-organic CVD (LPMOCVD), as pro-
posed by Manasevit. An alternative nomenclature, namely organometallic
CVD (OMCVD) and low-pressure organometallic CVD (LPOMCVD) can also
be used. However, it is not preferred, although the term organometallic is rigo-
rously more correct.
I t may be considered as a special type of CVD process, a process in
which the substrate also participates in the film forming reaction as one of
the reactants, i.e. substrate thermal conversion b y means of chemical vapour.

43
FUNDAMENTALS

In fact, reaction with the substrate is not a deposition, but rather a growth
process. This method has therefore been treated separately.
By adopting an extended definition of CVD, we can include pyrolytic
spray processes. Indeed, spray pyrolysis resorts to a fine spray of a suitable
solution on a hot substrate in an open area in order to achieve the
desired coating. If the coating is obtained from a heterogeneous (at the
substrate surface) or homogeneous (in the gas phase) reaction of li-
quid droplets, this process is not a CVD process. Spray pyrolysis is a true
CVD process only when the precursors are reactant vapours as is the case
when the droplets are completely vaporized and a coating results from hetero-
geneous or homogeneous reactions.

2.8.1.1 Normal-Pressure Thermally-Activated CVD

In the atmospheric-pressure thermally-activated CVD method gaseous reac-


tants (or vapours obtained from the liquid or solid reagents) are diluted by
an inert gas (H2, N2, argon, or helium) and flow over substrates maintained
at high temperatures in a reactor where the total pressure is 1 atm.
High-temperature CVD. High-temperature CVD (HTCVD) proceeds under
normal pressure conditions and at temperatures higher than 500°C. In general,
temperature increase results in an enhanced film deposition rate, an improved
crystalline lattice, greater density, promotion of certain otherwise impossible
reactions, and the ability to perform in-situ substrate gas etching. This method
is useful only for substrates able to withstand heat treatment in the gaseous
ambient. In addition, the properties of some important films, such as Si0 2 ,
are not equivalent to those prepared using thermal oxidation, even though
the films are annealed at high temperatures. Also, high-temperature deposi-
tion produces stress, interdiffusion or melting of device structures, as well
as degradation of the substrate. HTCVD is the most versatile and widely used
technique for large scale preparation of most semiconducting, insulating, super-
conducting and magnetic films, in- epitaxial, polycrystalline or amorphous
form, usually starting from halide reactants. High-temperature reactors can
be RF induction-, resistance-, or radiant-heated and usually have a horizontal,
barrel, or vertical configuration (see Chap. 11). Thin films obtained by using
HTCVD are summarized in Table 2.1. HTCVD equipment is presented in
Fig. 11.3. In some situations HTCVD can be performed advantageously in
the presence of acoustic wave (ultrasonic) irradiation this variant being called
acoustic wave CVD (AWCVD) [180, 181].
Low-temperature CVD. Low-temperature CVD (LTCVD) occurs under
normal ρΓβ58μΓ6 conditions and at temperatures below and up to 500°C [182].
In general, temperature decrease is imposed by some substrates and/or by
device structures incorporating some type of metallization layer, e.g. a gold
or an aluminium metallization layer permitting temperatures up to 330°C or
475°C, respectively. This technique is used for depositing insulating films,
especially oxide and silicate glass films, based on hydride oxidation reac-
tions.
LTCVD is usually performed in resistance-heated hot-wall reactors of
three main types: horizontal, vertical, or continuous (disperser-, nozzle-, or

44
TECHNIQUES OF PREPARING THIN FILMS

TABLE 2.1

Present Status of Thin Films Achievable by Using CVD Techniques

z CVD films

0 1

3 LiNb03
4 Be, BeC, Be 3 N 2 , BeO, BeSi
5 B, B4C, BN, B 2 0 3 , ΒΟ^, ΒΡ, BAs
6 C, {0χΉ.ν)η
12 Mg, MgO, MgFe 2 0 4
13 AI, AlB, AIN, A1 2 0 3 , A l 2 0 3 - C r 2 0 3 , AIP, AlAs, AlSb, (AlGa)P, (AlGa)As, (AlGa)Sb,
(Alln)P
14 Si, SiB, SiC, Si0 2 , BSG, PSG, AISG, AsSG, LSG, ZSG, BPSG, GBSG,
A1PSG, A1BSG, LBSG, ZBSG, SiO^Nj,, SiO^NyH^ Si 3 N 4 , S i N , H y , SiGe
15 P 2 0 5 , P3N5
21 ScN, ScP, ScAs, Sc(AsP)
22 Ti, TiB 2 , TiC, TiCN, TiN, TiO a , TiSi, TiTa
23 V, VB 2 , VC, VN, V 2 0 3 , V 0 2 , V 2 0 5 , VSi
24 Cr, CrB2, Cr0 2 , Cr 2 0 3 , CrAl, CrSi, CrS, CrSe, CrTe
25 Mn, Mn0 2 , MnSi, MnFe 2 0 4
26 Fe, FeB, FeO, Fe 2 0 3 , F e 3 0 4 , FeFe 2 0 4 > FeSi, FeSn
27 Co, CoO, Co 3 0 4 , CoSi, CoFe 2 0 4
28 Ni, NiB, NiO, NiSi, NiCr, NiFe
29 Cu, CuB, CuO, CuInS 2 , CuInSe 2
30 Zn, ZnO, ZnS, ZnSe, ZnTe, Zn(SSe), (ZnCd)S, ZnSiP 2 , Zn 2 Si0 4 : Mn
ZnSiAs 2 , ZnGeAs 2 , ZnCr2S4, Z n S - G a P , Z n S e - G a P , Z n S e - G a A s
31 Ga, GaN, GaP, GaAs, GaSb, Ga(AsP), Ga(AsSb), (Galn)P, (Galn)As, (Galn)Sb,
(GaIn)(AsP)
32 Ge, GeC, Ge 3 N 4 , Ge0 2
33 As
39 YN, Y 3 Fe 5 0 1 2 , Y 3 Fe 5 _ a; Ga a: 0 12
40 Zr, ZrB 2 , ZrC, ZrN, Zr0 2 , ZrSi
41 Nb NbB, NbN, Nb 4 N 5 , NbC, Nb 2 0 5 , NbSi, Nb 3 Si, Nb 3 Ga, Nb 3 Ge, Nb 3 Sn
42 Mo MoB 2 , Mo2C, MoSi, MoSi 2 , MoW
44 Ru RuOo
45 Rh
46 Pd, PdSi
47 Ag, AgSi
48 Cd, CdO, CdS, CdSe, CdTe, Cd(SSe), C d S - I n P , CdCr2S4> Cd 2 Sn0 4 , (CdHg)Te
49 In,' InP, InAs, InSb, In(AsP), In(AsSb), l n 2 0 3
50 Sn, SnO a , SnO a : Sb, SnS, SnSe, SnTe, (SnPb)Se, (SnPb)Te
51 Sb
57 LaN
58 Ce, Ce 2 0 3
60 NdgFeg.^Ga^O^
62 Sm 3 Fe 5 _ a; Ga a; 0 12
63 Ga-O'3^12
-x
64 Gd 3 Fe 5 0 1 2 , G d g F e s ^ G a ^ a
65 Tb 3 Fe 5 0 1 2 , T b g F e ^ G a ^ a
66 DyN, DygFeg^Ga^O^
61 Ho 3 Fe 5 0 1 2 , HogFeg^Ga^Oia
68 ErN, Er 3 Fe 6 0 1 2 , E r g F e ^ G a ^ a
69 Tm 3 Fe 5 0 1 2 , Tm3Fe5_a;Gaa;01a
70 YbN, Yb 3 Fe 5 O i a , YbgFeg-^Ga^Oia
71 LuN, Lu 3 Fe 5 _a;Gaa;012

45
FO N D AMENTALS

TABLE 2.1 (continued)

0 1

72 Hf, HfB 2 , HfC, HfN, H f 0 2 , HfSi


73 Ta, T a B 2 , TaC, Ta 2 C, TaN, Ta 2 N, Ta 3 N 5 , Ta 2 O s , TaAl, TaAIN, TaSi TaNb, T a W
TaTi
74 W, W B , WC, W 2 C, W 0 3 , WSi 2 , W 5 Si 3 , WMo, WMoRe, W R e
15 Re
76' Os
77 Ir
78 Pt, PtSi
79 Au, AuSi,
80 HgTe
81 Tl
82 P b , P b O , P b 0 2 , P b T i 0 3 , PbFe 1 2 0 1 9 , P b S , PbSe, PbTe, Pb(SSe)
83 Bi
90 Th, Th 3 N 4 , T h 0 2 , ThSi

Note: The materials are ordered by increasing atomic number (Z) of the single elements,
or of the first element of compounds or alloys.

injector-type) reactors (see Chap. 11). The main parts of LTCVD equipment
are shown in Fig. 11.2.
MOCVD. MOCVD is based on the decomposition, usually at normal pres-
sure, of an organometallic compound in a flow of carrier gas, mainly with
the use of thermal energy, resulting in the formation of a thin film [183,
184, 2595]. This technique has advantages compared with both non-CVD
and CVD techniques of film formation. By employing all starting materials
in the vapour state in a simple cold-wall reactor having only one heated
temperature zone, this technique allows the economic and highly productive
deposition of uniform and adhesive films at low substrate temperatures,
as well as the elimination of autodoping and impurity incoporation from
the reactor walls. Disadvantages include unavailability of high purity reac-
tants, difficult handling of toxic, volatile and often pyrophoric OM reactants,
and non-equilibrium deposition processes. MOCVD enables semiconductor,
insulator, conductive and resistive layers to be prepared in amorphous,
poly crystalline or single crystalline forms, as used in electronic, optoelectronic,
microwave and solar energy devices. The main factors determining the film
deposition rate and properties are the nature of the OM reagent, the tempera-
tures of the substrate and the evaporator, the rate of OM transport, and the
impurities introduced into the system. The apparatus is very similar to that
used in LTCVD, containing in addition an evaporator in which the initial
liquid or solid OM is evaporated (Fig. 2.8).
Spray pyrolysis. This method is based on the pyrolysis of a fine mist of
an organic or aqueous solution of one or more metal salts on a heated sub-
strate, on which the reaction is also produced [185—203]. Spray pyrolysis
(hydrolysis) was mainly used to deposit some wide band gap semiconductors
(metallic oxides) which have a large range of application in solar energy on
glass substrates. A complete list of pyrolytic spray coatings is given in Table
2.2. The main process control parameters in spray pyrolysis are the starting

46
TECHNIQUES OF P R E P A R I N G T H I N FILMS

Fig. 2.8 Apparatus for OMCVD (rotating planetary


reactor), employing solid source materials (used, for
example, to deposit SnO a films) (after Vossen [4638];
reproduced by permission of Academic Press, Inc.):
1 — (argon) carrier gas; 2 — furnace ; 3 — source ; 4 — Ar-
heating t y p e ; 5 — secondary reactant (oxygen); 6 —
substrates; 7 — heater.

reagent composition, the substrate temperature, the angle of incidence of


the spray to the substrate, the amount of water in the starting reagents, the
ambient humidity, the impurity concentration and type, and the flow rate
of the gas and solution. The diagram of typical apparatus for spray pyrolysis is
given in Fig. 2.9. Spray pyrolysis, applied usually to metal chloride hydro-
lysis, is a high-efficiency process for depositing uniform films with suitable
electrical or optical properties on large area substrates. However, the method
has several disadvantages, namely the deleterious effect of atmospheric impu-
rities, the waste of starting reagents, the introduction of impurities from the
glass substrate which is attacked by HC1 liberated in the reaction, and subs-
trate cooling by the spray.
Although new improved CVD variants (LPCVD, PECVD, MOCVD,
UVCVD, LCVD, etc.) have been developed more recently, the conventional
APCVD (HTCVD and LTCVD) has remained, with few exceptions, the main
technique used in both developmental and industrial applications.
APCVD is presently used successfully in the fabrication of all types of
electronic and optoelectronic devices ranging from discrete mesa-type diodes
to complex integrated circuits.
The main application of MOCVD at the present time is to the realization
of AlzGa^As/GaAs heterojunction devices such as lasers, LEDs, solar
cells, FETs, photocathodes, photodetectors, and bioplar he tero junction tran-
sistors.

· · · · · © · · I
—Ezäzszr—
\l// «

Fig. 2.9 Apparatus for spray hydrolysis (after Vossen [4638]);


reproduced by permission of Academic Press, Inc.):
1 — high pressure air or 0 2 ; 2 — pressure regulator; 3 —
heaters; 4 — solution being sprayed (e.g. SnCl 4 ); 5 — mete-
ring valve; 6 — substrate.

47
FUNDAMENTALS

TABLE 2.2.
Examples of Coatings Deposited by Spray Pyrolysis [185, 186, 5217]

Coating Substrate
No. Substrate Reactants Solvent tempera- Refs.
material
ture (°C)

1 ZnO glass ZnCl 2 H20 4 0 0 - 5 0 0 [188, 189]


2 CdS:In glass CdCl 2 + H20
thiourea+InClg 325 [191-194]
3 CuInSe 2 glass CuCl + InCl 2 H20 260 [196, 198]
+ dimethylsele-
nourea
4 SnO a glass SnCl 4 H 2 0 + HC1 400-500 [185]
n —Si SnCl 4 ethylacetate 300-400 [200]
5 ln203 glass In(acac) 3 acetylacetone 470-520 [185]
6 In 2 0 3 :Sn(ITO) n-Si SnCl 4 + InCl 3 H20 400-500 [201]
7 Cd 2 Sn0 4 glass CdCl 2 + SnCl 4 H20 370-450 [187, 202]
8 Ti02 Si Ti(OC 2 H 5 ) 4 w-butylacetate 200-450 [203]
9 Fe203 glass Fe(acac) 3 butanol 400-550 [185]
10 Cr 2 0 3 glass Cr(acac) 3 butanul 520-560 [185]
11 v2o3 glass V(acac) 3 butanol 450-510 [185]
12 Pd glass Pd(acac) 3 butanol 300-350 [185]
13 Ru glass Ru(acac) 3 butanol 380-400 [185]

Other III—V semiconducting compounds, such as InP, Ga^In^As and


Ga1^Ina;Pi/As1_2/, have also been developed extensively for their use in FETs,
lasers, and solar cells.
MOCVD is also used in industrial production of photodetectors based
on II—VI semiconducting compounds such as Cd^Hg^Te.
MOCVD is capable to realize synthetic heterostructures containing either
ultrathin layers or atomically abrupt interfaces which are useful in special
devices. These include single quantum-well and multiple quantum-well hete-
rostructures for lasers, doping superlattices, modulation-doped superlattices,
strained-layer superlattices, and heterojunction superlattices for high-fre-
quency oscillators and two-dimensional electron gas structures for FETs.
Also, MOCVD has become a production technique for the fabrication of
FETs, laser diodes, and photocathodes [2595].
SP has been used successfully in production for a variety of photovoltaic,
solar-collector and glazed-window applications.

2.8.1.2 Low-Pressure CVD

LPCVD is a process of thin film deposition on heated substrates at high or


low temperatures in a reactor under reduced pressure, usually ^ 1 torr (0.01 —
100 torr [204—206]. LPCVD has the following advantages: suppression of
autodoping from the substrate and vapour phase, improvement in film thick-
ness and composition uniformity, control of the deposition rate only by
the surface reaction rate, decrease in defect number, improvement in step
coverage suitability for large-scale production. Its main disadvantages are
the lower deposition rates and the increased cost and maintenance.

48
TECHNIQUES OF PREPARING THIN FILMS

ii I WENT
\CARRIER GAS\ GAS SUPPLY 1 ^^
1 PURIFIER 1
I
1 CONTROL 1 ^-~GAS FLOW 1
1 UNIT \ w CONTROL 1
~TZ
\RESISTANCE
1
*
-^
|sc/?(yae£/? |
\0R RADIANT
REACTOR
^ ■ ^- PUMPING 1
j HEATING 1 W UNIT
Fig. 2.10 Basic components of set-up for low-pressure CVD (after Krullmann and Engl [2385];
reprinted with permission from IEEE TRANSACTIONS ON ELECTRON DEVICES,
© 1981 IEEE).

Low pressure CVD systems (Fig. 2.10) include mainly a resistance-hea-


ted horizontal tube or a cylindrical geometry RF-heated reactor (see
Chap. 11).
Film deposition rate and properties for a given reactant system are influ-
enced by the substrate temperature, the total pressure of the gases present,
the partial pressure of the reactant species, and the background pressure and
pumping rate of the vacuum system. For a number of important applications
in solid-state technology, such as the production of insulator and semicon-
ductor films for the actual generation of integrated circuits (very large scale
integration devices), this technique has replaced conventional APCVD. Thin
films prepared using LPCVD are summarized in Table 3.2.
LPMOCVD. LPMOCVD is a combination of LPCVD with MOCVD me-
thods. This technique was originally developed for the growth of submicron
monocrystalline silicon, gallium arsenide and other related III—V compounds
for the application of both microwave and optoelectronic devices. Present-
ly LPMOCVD has been extended to other classes of compounds, such as
metals or insulators. Compared with conventional CVD or MOCVD, this tech-
nique offers the following advantages: reduction of autodoping, elimination of
undesired secondary reactions, improvement in film uniformity due to opera-
tion at higher gas velocity in the diffusional growth regime, the possibility
of growth on large areas of semiconductor substrates, and improved conformal
coverage. LPMOCVD equipment consists of either a conventional horizontal
RF-heated reactor or a diffusion-type hot-wall tube furnace, and an associated
gas distribution scheme including MO evaporators (Fig. 11.4). LPMOCVD
films are also included in Table 2.3.

2.8.2 Plasma-Enhanced CVD

In this method, a glow discharge is produced in the gaseous reactant


mixture which is maintained at a pressure of 0.1 — 1 torr under an RF plasma
[207—221]. The specific complex reactions which take place between the dif-

49
FUNDAMENTALS

ferent species existing in the glow discharge plasma as well as the interaction
between the plasma and the substrate, lead to the formation of films on va-
rious wafers which are maintained in a wide temperature range from 25°C
to the higher temperatures used in conventional CVD processes.
The advantages of the method are the capability of producing conformal
films at comparatively low temperatures (typically 200—400°C), relative
insensitivity to wafer temperature, and the possibility of obtaining films with
amorphous structure on various heat-sensitive substrates. Its main disad-
vantages are low deposition rate and efficiency, difficult control of film com-
position and thickness uniformity, inability to handle solid or liquid react ants,
nonstoichiometric and inhomogeneous films, exposure of substrate and film
to radiation damage, complicated and expensive equipment, and limited use
on a production scale.
The film deposition rate depends on the R F energy, the mole fraction of
the reactants, the total pressure, the substrate temperature, the reaction geo-
metry and the nature of the substrate.
A PECVD system contains the following components: a deposition cham-
ber; an R F generator provided (eventually) with an impedance matching
network; a gas control panel; and a vacuum pump with a pressure measuring
device (Fig. 2.11). PECVD is usually performed in a vertical reaction cham-
ber or in a bell jar chamber (the diode system), the glow discharge plasma
being excited either inductively from the outside of the reaction chamber, or
capacitively within the deposition chamber, respectively.
Schematic diagrams of the three main types of R F glow discharge reactors
(the inductively-coupled vertical-tube reactor, the inductively-coupled verti-
cal parallel-plate reactor, and the capacitively-coupled horizontal parallel-
plate reactor) are given in Chap. 11. Films deposited to date using PECVD
are summarized in Table 3.3.
PEMOCVD. PEMOCVD is a plasma-promoted CVD process using MO
reagents. This technique has recently attracted considerable attention, as a
means to obtain amorphous films of semiconductor compounds which are of
potential interest for electronic or optoelectronic devices. It can also be used
to prepare metal oxides or metal films. This technique allows cheap deposition
of amorphous semiconductor compounds, starting from commercially avail-

I GAS STREAM RF POWER SUPPLY AND


[PREPARATION MATCHING NETWORK
ii yf 1'
DEPOSITION ^^ 1 MEASUREMENT AND CONTROL]
1
CHAMBER OF PROCESS PARAMETERS
"*~Ί
[SUBSTRATE
\ HEATING

1 REACTANTS AND\
[CARRIER GASES \ HAND
VACUUM SYSTEM
EXHAUST

Fig. 2.11 Block diagram of equipment for PECVD.

50
TECHNIQUES OF P R E P A R I N G T H I N F I L M S

able liquid organometallics at room temperature, at a convenient growth


rate, on heat-sensitive glassy, metallic, or polymeric substrates. However,
there is an increased probability of film contamination by side-products
of OM plasma decomposition, especially carbon or hydrogen.
Typical PEMOCVD systems are given in Fig. 11.5, whereas the list of
PEMOCVD films is included in Table 3.3. PECVD is extensively used in
production applications -to discrete and integrated devices using Si3N4 films
and photovoltaic cells based on a-Si films.

2.8.3 UV Radiation-Enhanced CVD

Photochemical-assisted vapour deposition (photo-CVD) is based on the


utilisation of ultraviolet light to promote the decomposition of reactant
gases at low pressure (0.3—1 torr) [222]. There are two basic photo-CVD pro-
cesses: Hg-sensitized photolysis and direct photolysis. Hg-sensitized pho-
tolysis uses mercury vapour for absorbing UV radiation at a wavelength
of 2537 Ä, followed by catalytical transfer of the energy to one (or both) of
the reactant gases, thus enhancing its chemical reactivity. Direct photolysis
is based on UV excitation of reactant gases without mercury gas sensitizing.
Both processes use the same photochemical reactor system, formed of the
following main parts: a reaction chamber having heated substrates illuminated
by an external UV lamp, a gas flow control scheme which can include an
Hg reservoir, a chemical-resistant pump, and an exhaust scrubber (Fig. 2.12).
The deposition rate and film properties depends primarily on intensity, reac-
tion chamber geometry, chamber pressure, pumping speed, and only
weakly on substrate temperature. The main advantages of this technique are
the very low temperature (50—200°C), the avoidance of radiation device
degradation, the minimization of the occurrence of typical high-temperature
negative effects in semiconductor processing (such as wafer warpage, defect

I I I I

1 Ϊ
1 ]NH3 \N02 \siHA ]N:
Pig. 2.12 UV radiation-enhanced CVD system (photo-CVD of SiO a and Si 3 N 4 ):
1 — gases; 2 — filters; 3 — purge valve; 4 — flowmeters; 5 — Hg reservoir; 6 — mercury
vaporizer; 7 — vent valve; 8 — reaction chamber ;9 — substrate; JO — substrate heater
(hot plate or I R lamps); 11 — transparent window; 12 — UV l a m p ; 13 — throtle valve;
14 — t r a p ; 15 — chemical p u m p ; 16 — exhaust diluent valve; 17 — exhaust scrubber. {

51
FUNDAMENTALS

generation, dopant diffusion, Al hillock formation, Al/Si interface deterio-


ration), excellent conformal coverage, the preparation of film with no ther-
mally induced mechanical stress, and the processing on some temperature
sensitive compound semiconductors (InP, etc.).
The major disadvantages of this technique are the relatively low deposition
rate (which in direct photolysis is lower than in the Hg-sensitized process
by about an order of magnitude), potential Hg contamination,nonuniformity
among wafers, and low throughput. This technique was applied to the depo-
sition of amorphous silicon and insulating films (Si0 2 , Si3N4, Si^O^Ng and
phosphosilicate glass) for devices such as solar cells and MOS.
Photochemical-assisted MOCVD. Metals of interest to the electronic
device can be deposited conveniently by using vapour-phase ultraviolet dis-
sociation of an organometallic source (e.g. a metal alkyl or carbonyl). The pro-
cess is usually accomplished by using direct photolysis, irradiating either with
ultraviolet (UV), or vacuum ultraviolet (VUV) lamps. In the latter case,
the VUV-CVD system includes a microwave-excited rare gas VUV lamp,
a vacuum chamber, a substrate, and an organometallic source of photo-active
vapour. Thin films, obtained to date, by UV radiation-enhanced CVD are
presented in Table 3.4.

2.8.4 Laser-Induced CVD

Laser-induced CVD (LCVD) can be achieved using either pyrolytic or photo-


lytic decomposition of gaseous phase molecules [232—235]. Pyrolytic LCVD
is based on local substrate heating by means of I R or visible laser light, which
is not absorbed by gaseous phase molecules. Photolytic LCVD is based on
electronic or vibrational excitation of the gaseous molecules by using a UV
or I R laser. LCVD allows high-rate one-step local deposition of insulating,
semiconducting, and metallic materials for the production of microstructures.
The deposition rate and physico-chemical properties of the layer obtained
depend on parameters such as laser irradiance, focus diameter and scanning
velocity, as well as substrate local temperature and system gas pressure.
A typical experimental set-up for LCVD contains an I R visible or UV laser,
a means for expanding and scanning the laser beam, a pyrometer for mea-
suring local substrate temperature, a microscope for film thickness and
deposition rate measurement, and a reactor containing the movable sub-
strate which is connected to a gas supply (Fig. 2.13).
Laser-induced MOCVD. Laser-induced gas-phase photolysis as well
as pyrolysis of metal alkyls or hexacarbonyls have been used for single-step

Fig. 2.13 Typical experimental set-up


for laser CVD:
Gas 1 —laser; 2—variable a t t e n u a t o r ; 3 —
power meter; 4 — lens; 5 — reactor;
6—transparent window; 7—pyrometer;
8 — substrate; 9 — localized deposited
film; 10 — gas supply; 11— gas outlet.

52
TECHNIQUES OF P R E P A R I N G T H I N F I L M S

formation of patterned metal deposits on various semiconducting or insulating


substrates. Compared with the electron-beam technique, LMOCVD enables
a reduction of film contamination, a decrease in the number of local film
defects, and processing in a flow of reactant and inert gases. The disadvan-
tages of this technique are the relatively short lifetime of continuous lasers,
and the limited variation of process parameters. Thin films obtained using
laser-induced reactions of both inorganic or metallo-organic compounds
are listed in Table 3.5.
LCVD is potentially very useful in the fabrication of integrated circuits
by direct deposition of dielectric and metal patterns.

2.8.5 Electron-Beam Assisted CVD

This deposition technique uses an electron beam to generate a spatially


confined plasma reaction in a small volume, deposition occuring on a heated
substrate (150—500°C) located directly beneath that region [223—231]. Elec-
tron-beam assisted CVD allows relatively high rate deposition of some dielec-
tric films (Si0 2 , Si3N4) at low (200—350°C) substrate temperatures with a
conformal coverage of uneven surfaces such as Al and poly-Si steps. The
reactants used are the same as in conventional APCVD (SiH4, N 2 0 and NH3),
the total chamber pressure being 0.1 — 1 torr. A typical experimental arran-
gement for electron beam-assisted CVD is depicted in Fig. 2.14.
Thin films obtained using electron-beam assisted CVD starting from
both inorganic and metallo-organic reactants are presented in Table 3.6.
Electron-beam assisted MOCVD. OM decomposition by electron beams
has been used for depositing films with a certain pattern. The electron beam
is directed to the substrate which is surrounded by OM vapour; under the
influence of electrons, decomposition of OM vapour near the substrate occurs
leading to film formation. The main advantage of this technique is the achie-
vement of high accuracy low-size microcircuils without needing masks. Limi-
tations consist of film contamination by secondary nonvolatile products of
OM decomposition reactions, process disturbances caused by film deposition
on various parts of the electrono-optic system and the potential for semicon-
ductor device degradation.
EBCVD has so far been used in multilayer devices to deposit dielectric
layers selectively and conformally [225].

LVi] ^. 2 .6

I
Fig. 2.14 Apparatus for electron-beam CVD: ΡΤΊΓΙ
1 «■* electron-beam source ; 2—system for magnet and electric
focusing and deflection of the electron b e a m ; 3 — substrate ;
4 — heater; 5 — OM or inorganic reactant vapours; 6 — de-
position chamber; 7 — vacuum pump. *'

53
FUNDAMENTALS

2.8.6 Ion-Beam Assisted CVD (IBCVD)


This version of CVD uses a focused beam of ions (e.g. Ga+) to induce de-
position from a suitable gaseous ambiant (Fig. 2.15). Similarly to LCVD and
EBCVD, IBCVD can be used as a nonlithographic technique for producing pat-
terned material with submicron resolution determined by the beam diameter.
So far, deposition of Al, Au, W, and C from A1(CH3)3, dimethyl gold hexa-
fluoroacetylacetonate (C7H7F602—Au), WF 6 , and hydrocarbons, respecti-
vely, have been reported.
IBCVD is a potentially high resolution (0.05 μιη) deposition technique,
but the deposits obtained at present contain a high percentage of impurities
-either C or O [236, 237].
The main application of IBCVD at the present time is the repair of defects
in photomasks by substituting deposited carbon for missing chrome [236].

2.9 Comparison between CVD and Other Thin Film Deposition


Techniques
The CVD and non-CVD techniques used for achieving thin films in solid-state
technology are compared in Table 2.3 taking into account aspects such as
source materials, deposition parameters, film structure and composition, and
typical films and applications. Each film preparation technique has its own
advantages and disadvantages. Therefore, the choice of a method depends
on the specific application, namely requirements for film properties, tempera-
ture limitations of the substrate, and compatibility of the process with prece-
ding and subsequent processing steps. However, among the numerous methods
described earlier, only vapour deposition lends itself to the need for the
miniaturization of today's electronics technology. Indeed, in electronics thin
film materials have been almost exclusively prepared by using physical
and chemical vapour deposition methods. These two main preparation
techniques, PVD (evaporation, sputtering, MBE, etc.) and CVD, although
they have some limitations, are both competitive and complementary.
Within the past 25 years, CVD has become the main technological method
for producing films for semiconductor devices, the older PVD playing only
a secondary role. Nevertheless, PVD methods, as well as other methods
(LPE, etc.) are being continuously improved to extend their use to new mate-
rials, processes and technologies encountered in solid-state electronics.

' » "L
5
:©=
32\L f ^4
Fig. 2.15 Schematic diagram of IBCVD system
(after Shedd et al. [236]; reproduced by permis-
sion of The American Institute of Physics):
1— focused ion beam (Ga+) source; 2—substrate;
N
2 3 — X — Y stage; 4 — reactant source; 5 — reac-
tant feed tubing; 6 — vacuum enclosure (P = 1 —
—4 x 10~4 ΤΌΓΓ) ; 7 — vacuum pumps.

54
TABLE 2.3
Comparison of the Main Techniques Used for Thin Film Formation [99, 100]

Typical
substrate Typical
Crystalline Sources Typical Typical
Deposition Source deposition
No. Substrates tempe- nature of of films electronics References
process material rate
rature deposit impurities formed applications
(°C) (μηι/min)
2 6
0 1 1 3 4 1 5 1 7 8 9 10

Electrolytic en vironment
1 Electro- Electro- Metals 25-70 0.01-100 Poly- Electrolyte Cu, Ni, Conducting [103]
plating lyte crystalline etc. layers

2 Electroless Solution of Metals or 25-70 ~0.1 Poly- Components Ni, etc. Conducting
plating metallic salt semiconduc- crystalline of t h e layers [104-107]
-f various tors plating b a t h
additives (Si, GaAs)

3 Electrolytic Substrate Metals or 25-70 Non-linear Amorphous Electrolyte A1 2 0 3 , Passivation [108, 109]
anodisation -f- electro- semiconduc- 0.01- Ta 2 O s , layers
lyte tors 0.1 μιη in Si02
1 min.

Vacuum envircmment
4 j Vacuum High-vapour Any 25-600 1-2 Amorphous, Filament/ Al, Au, Metalliza- [110]
evaporation pressure polycrystal- crucible, Ni-Cr tion or
solid line, or reactor resistive
monocrys- walls layers
talline

5 Ion-beam Solid Any 25-750 j 0.01-0.1 Amorphous, Ion beam Si, Supercon- [120-127]
deposition target polycrystal- target GaAs, ducting
line, or Si 3 N 4 layers
single-crys-
talline
en TABLE 2.3 (continued)

0 1 2 3 4 5 6 7 8 9 10

6 Molecular- High-vapour Any 5 5 0 - 1 0 5 0 0.01 Single- Effusion GaAs, Epitaxial [128-131]


beam epi- pressure crystalline cells A l j^ G a^ A s layers of
taxy solids semiconduc-
tors for
microwave
and opto-
electronic
devices
7 Hot-wall High-vapour Any 250-500 0.01-1 Single- Some III-V, Epitaxial [134]
epitaxy pressure crystalline solids, II-VI, layers of
solids reactor IV-VI semiconduc-
walls semicon- tors for
ductors optoelectro-
nic devices

8 Ion-implan- Substrate -f Any 25-400 — Amorphous Substrate Si 3 N 4 , Dielectric [135-139]


tation ion source surface SiO a ,SiC l a y e r s for
some SDs

Plasma environment
9 Sputter Low-vapour Any 25-250 0.001- Amorphous, Solid W, Mo, Conducting 1 [111-119]
deposition pressure -0.01 polycristal- sources, WSi 2 , and insulat-
solid line reactor MoSi2, ing layers for
walls, Si 3 N 4 some SDs
reactive and ICs;
gases supercon-
ducting
layers
10 Ion plating High-vapour A n y 25-200 0.01-1 Amorphous, Crucible, Si 3 N 4 Dielectric [140-142]
pressure polycrystal- reactor materials
solid line walls, solid for some
source, SDs
reactive
gases
Liquid environment
11 Liquid- 1 Supersatu- Any I 600-10001 0 . 1 - 1 1 Single- Solution AlyGa^^As Semicon- [143-146]
phase rated solu- substrate crystalline materials, R 3 Fe 5 0 1 2 ductors
epitaxy tion withstand- gaseous used in
ing growth ambient microwave
temperature field and
optoelec-
tronics ;
magnetic
materials

Solid environm ent


12 Solid-phase Solid Any 400-600 Single- Substrate Si Potentially [147, 148]
-
epitaxy crystalline surface useful for
obtaining
epitaxial Si

Chemical vapo ur environmen t


a. Converted s ubstrate
13 Thermal Substrate Any oxi- 8 0 0 - 1 2 0 0 Non-linear Amorphous, Substrate Si0 2 , Passivation [ 1 4 9 - 1 5 5 ]
conversion + gas dizable 1 μπι in 1 h polycrystal- surface, Si 3 N 4 layers, dif-
(oxidation) substrate line reactor fusion
walls masks, etch
masks in
fabrication
of, numerous
SDs a n d
ICs

14 Plasma Substrate Any oxi- 300-600 Non-linear Amorphous, Substrate Si02, Passivation [156-171]
conversion + gas dizable polycrystal- surface Si 3 N 4 , layers in
(oxidation) substrate line III-V solid-state
oxides technology
15 Laser Substrate Any oxi- 25-250 Non-linear Amorphous, Substrate Si0 2 , Passivation [ 1 7 2 - 1 7 9 ]
conversion + gas dizable polycrystal- j surface GaAs layers in SD
| (oxidation) [ substrate line 1 oxide i technology
g TABLE 2.3 (continued)

0 1 2 3 1 4 5 6 7 | 8 9 10

b. Unmodified 1 substrate
16 Atmospheric Gases, vola- I Any sub- 1 500-120 0.1-1 Single-crys- Gas source, Epi-Si, Epitaxial, [11-22, 4 4 -
pressure tile liquids, strate with- 2 5 0 - 5 0 0 talline, poly- reactor epi- GaAs heteroepita- 54, 8 4 - 9 2 ,
CVD high-vapour standing crystalline, walls, sus- G a A s ^ P t f , xial semi- 180-203,
pressure growth amorphous ceptor poly-Si, conductor 238-288]
solids temperature SiO a , layers;
Si304, polycrystal-
Nb 3 Sn line semi-
conductor
layers;
dielectric
layers for
SD and IC
technology

17 Low-pres- Gases, Any sub- 500-1200 0.01-0.1 Single-crys- Gas sources Epi-Si, Epitaxial, [183, 2 0 4 -
sure CVD volatile strate with- 2 5 0 - 5 0 0 talline, poly- epi-G&As, polycrystal- 206,293-
liquids standing crystalline, polyLSi, line and 311]
growth amorphous Si02, amorphous
temperature Si 3 N 4 dielectric
layers for
SD and IC
technology

18 Plasma- Gases, Any 25-250 0.1-1 Amorphous, Gas sources Si0 2 , Si 3 N 4 , Passivation [183, 2 0 7 -
enhanced volatile poly crystal- polymers layers 221, 289,
CVD liquids line, epita- for SD and 312-339]
xial IC techno-
logy

19 UV-radiation Gases, Any 5 0 - 2 0 0 0.005-0.015 Amorphous, Gas sources Si0 2 , Passivation [222, 3 4 0 -
enhanced volatile polycrystal- Si 3 N 4 , layers in 373]
CVD liquids line, single- polymers solid-state
-crystalline technology
20 Laser-indu- Gases, Any 25-250 0.1-2000 Amorphous, Gas sources Si0 2 , Passivation [232-235
ced CVD volatile . pol ycry stal- Si 3 N 4 , or metal 290,374-
liquids line, single- metals layers in 451]
crystalline solid-state
technology

21 Electron- Gases, vola- Any 1 5 0 - 5 0 0 0.05 Amorphous Gas sources Si0 2 , Passivation [223-231]
beam assist- tile liquids Si 3 N 4 layers in
ed CVD solid-state
technology

22 Ion-beam Gases, Any 25 3 Amorphous Gas sources Al, Au, Metal layers [236, 237]
assisted volatile w, c in solid-
CVD liquids state tech-
nology
3
Chemical Processes Used in CVD

3.1 Introduction

In general, any chemical reaction involving one or several vapour phase rea-
gents which results in a solid reaction product may be used for achieving the
deposition of a particular elemental or compound material. However, there
are many reactions of limited usefulness where the substrate reacts undesira-
bly with either some of the reactant species (or even with the deposit), the
substrate is melted or sublimated, or the deposit is contaminated by some reac-
tive or nonvolatile reaction products. Therefore, selection of a practical CVD
process for a certain material depends on both the substrate and the chemical
reaction.
The chemical processes used to achieve the chemical vapour deposition
of thin films [11, 12, 14, 45] can be classified according to the nature of the
chemical reaction as follows: (1) decomposition (pyrolysis); (2) reduction;
(3) oxidation; (4) hydrolysis; (5) disproportionation; (6) chemical transport;
(7) nitride formation; (8) carbide formation; (9) synthesis; and (10) combined
reactions. CVD processes can be divided according to the type of reaction
initiation energy: thermally-activated, plasma-promoted, photon-induced,
laser-induced, and electron-induced reactions. Classification according to
system pressure is as follows: normal-pressure, low-pressure, high-pressure
or vacuum reactions. Also, there are reactions with or without substrate
participation, reactions of inorganic or organometallic compounds, and reac-
tions of premixed or separate reagents.
Suitable compounds for CVD processes must fulfil requirements such as
stability at room temperature, sufficient volatility (i.e. they must be gases,
volatile liquids or sublimable solids), reaction temperature below the melting
point of the substrate, reaction products should be the desired element or
compound with easily removable volatile by-products, availability in electro-
nic grade quality (purity) on a commercial scale, reduced toxicity to facili-
tate applications on an industrial scale, and low cost. The main classes of

60
CHEMICAL P R O C E S S E S USED I N CVD

chemical compunds used in CVD reactions are simple inorganic compounds


such as hydrides, halides and halohydrides of metals or metalloids, as well
as organometallic compounds (metal compounds containing organic groups)
such as alkyl and aryl derivatives of metals, alkoxides, acetylacetonates, car-
bonyls, cyclopentadienyls, arens and arencarbonyls.
In this chapter are briefly described almost all the types of chemical
reaction used in CVD, namely pyrolysis, reduction, reaction with substrate,
polymerization, oxidation, hydrolysis, nitridation, carbidization, synthesis,
combined reactions, catalytic reactions, premixed gas reactions, organometal-
lic compound reactions, as well as low-pressure, high-pressure, high-vacuum,
plasma-promoted, photon-promoted, laser-promoted and electron-beam-
promoted reactions.

3.2 Description of Chemical Reactions Used in CVD

3.2.1 Thermally-Activated Reactions

These reactions are promoted by resistance-, RF-, or infrared-radiation hea-


ting. The majority of CVD processes are thermally activated. They are usually
performed at normal or low pressure. Thermally activated reactions are used
for preparing all types of films (semiconductors, insulators and dielectrics,
conductors, superconductors and magnetics) used in the main applications
in solid-state electronics of CVD such as semiconductor devices and integrated
circuits based on Si or GaAs, microwave devices, optoelectronic devices, sur-
face acoustic wave devices and magnetic and superconducting memories.
Thermal decomposition (pyrolysis). Pyrolysis is defined as a reaction in
which a vapour phase substance fed over a substrate heated at a sufficiently
high temperature is decomposed into its component elements, or into other
more stable compounds, the solid reaction product being deposited on the
substrate.
The general form of this reaction is
AB(g) = A(s) + B(g) (3.1)
The compounds used in pyrolysis are hydrides and OMs which are usually
decomposed at relatively low temperatures, and halohydrides and halides
which need relatively high decomposition temperatures. Usually, pyrolysis
reactions which have a positive enthalpy of reaction are performed in cold-
wall single-temperature-zone reactors.
The pyrolysis of silane and germane are well-known examples of a decom-
position reaction, the first being widely used in heteroepitaxial Si growth:
SiH4(g) — Si(s) + 2H2(g) (3.2)
GeH4(g) — Ge(s) + 2H2(g) (3.3)
Analogous reactions are those of methane (CH4), diborane (B2He), etc.

61
FUNDAMENTALS

Dual pyrolysis reactions have been used to form boron monophosphide


(BP), lower boron phosphide (B13P2), and silicon carbon (SiC):
B2He(g) + 2PH3(g) — > 2BP(s) + 6H2(g) (3.4)
13B2H6(g) + 4PH3(g) — > 2B13P2(s) + 45H2(g) (3.5)
3SiH4 + C3H8 + H 2 —► 3SiC + 1 lHa(g) (3.6)
The pyrolysis reaction is included in many combined reactions. Thus, the
growth of many of the III — V and II — VI compounds is based on a combina-
tion of group V o r group VI hydride decomposition and group III or group II
halide disproportionation (or reduction) reactions. Also, a combination of
C3H8 pyrolysis and SiCl4 reduction in an H 2 atmosphere has lead to the for-
mation of SiC films:
C^g + 3$iCl4 + 2H2 — > 3SiC + 12HC1 (3.7)
Furthermore, pyrolysis reactions are used to incorporate many dopants into
Si, Ge, e.g. B, P, As, and III — V materials, e.g. Si, Ge:
B2He ► 2B + 3H2 (3.8)
2PH3 ► P 2 + 3H2 (3.9)
2AsH3 > As2 + 3H2 (3.10)
S i H 4 — > S i + 2H2 (3.11)
GeH4 ► Ge + 2H2 (3.12)
ypical pyrolytic reactions based on organometallics are the following:
SiR 4 _Ä(g) — * SiC(s) + C(s) + Si(s) + H2(g) (3.13)
where R is either CH3, C2H5 or C3H7
Al(OC3H7)3 > A1203 + CH» + H 2 0 (3.14)
Ni(CO)4(g) —> Ni(s) + 4CO(g) (3.15)
Si(OC2H5)4 - - ^ * Si0 2 + 4C2H4 + 2H 2 0 (3.16)
A1(C4H9)3 > Al + 3C4H8 + 3/2 H 2 (3.17)
W(CO)6(g) —> W(s) + 6CO(g) (3.18)
Halohydride or halide decomposition is as follows:
SiH2Cl2 >Si + 2HCl (3.19)
2AuCl3 ► 2Au + 3C12 (3.20)
SnCl2 > Sn + Cl2 (3.21)
Pyrolytic reactions offer the following advantages: simplicity of the equip-
ment (due to the utilisation of only one reactant), reaction efficiency, lower
reaction temperatures in comparison with reduction or disproportionation

62
CHEMICAL PROCESSES USED I N CVD

reactions, less sensitivity to substrate surface conditions, less chemical attack


at the film-substrate interface, the possibility of operation in an inert-atmos-
phere, the availability of reactant species, and thickness and doping uniformity
of epitaxial layers. The major drawbacks of pyrolytic processes are gas phase
nucleation at high temperatures, non-reversibility of the reactions, limited
reactant purity, high cost of rectant gases, contamination of deposits (parti-
cularly for OMs), and defective epitaxial layers (mainly caused by the absence
of halide vapour etchant species).
Chemical reduction. In chemical reduction reactions, hydrogen is b o t h
the reducing agent and serves as carrier gas. Thin film is obtained as a result
of the combination at high temperatures of hydrogen with halide (F, Cly
Br or I) atoms or with oxygen atoms, leading to the formation of volatile
compounds such as hydracids (HF, HC1, HBr, HI) and H 2 0 , respectively.
The reduction process can be considered as a decomposition process favoured
by the presence of another reactant (hydrogen) which helps to remove one
or several of the decomposition products, thus leading to a decrease in the
deposition temperature as compared to that which would be required for
pyrolysis.
The general form of this reaction is:
2AX(g) + H 2 (g) *=+ 2A(s) + 2HX(g) (3.22)
The compounds used in chemical reduction are halides, halohydride, oxyhalo-
genides, as well as some organometallic compounds such as carbonyl-halogeni-
des. Reduction reactions, which have a positive entahlpy of reaction, are
performed in cold-wall one-temperature-zone reactors similar to those used
for decomposition reactions.
The most important example of a reduction reaction is the deposition
of silicon from silicon tetrachloride, which is the primary method of homoepi-
taxial silicon film growth. The overall reaction is as follows:
SiCl4(g) + 2H 2 (g) —■* Si(s) + 4HCl(g) (3.23)
However, other chlorosilane reaction products, such as SiCl2, SiCl 2 H 2 and
SiCl 3 H, usually occur due to the reaction of HC1 with solid Si. Homoepitaxial
silicon can also be obtained by analogous reduction reactions of other chloro-
silanes, such as trichlorosilane or dichlorosilane, or of other tetrahalides,
such as silicon tetrabromide or silicon tetraiodide:
SiHCl3(g) + H a (g) - ^ Si(s) + 3HCl(g) (3.24)
SiH2Cl2(g) > Si(s) + 2HCl(g) (3,25)
SiBr 4 (g) + 2H 2 (g) —► Si(s) + 4HBr(g) (3.26)
Sil 4 (g) + 2H 2 (g) - ^ Si(s) + 4HI(g) (3-27)
Homoepitaxial germanium film growth can be achieved by means of hydro-
gen reduction reaction of germanium tetrachloride:
GeCl4(g) + 2H 2 (g) > Ge(s) + 4HCl(g) (3.28)

.63
FUNDAMENTALS

Hydrogen reduction of a metallic fluoride, chloride, bromide or iodide is gene-


rally used to obtain many metallic films:
WFe(g) + 3H2(g) — W(s) + 6HF(g) (3.29)
600°C
2TaCl5(g) + 5H2(g) > 2 Ta(s) + 10HCl(g) (3.30)
Epitaxial compound semiconductor film growth can be obtained by simul-
taneous reduction of two reactant gases. Two examples are BP and ß-SiC
epitaxial growth:
BBr3(g) + PCl3(g) + 3H2(g) — > BP(s) + 3HCl(g) + 3HBr(g) (3.31)
SiCl4(g) + CCl4(g) + 4H2(g) — * SiC(s) + 8HCl(g) (3.32)
Hydrogen reduction of two halides is used to obtain many alloy and supercon-
ducting (Nb3Ge, Nb3Si, Nb3Sn) films:
SnCl2 + FeCl2 + 2H2 > FeSn + 4HC1 (3.33)
900°C
3NbCl5 + GeCl4 + 19/2H2 > Nb3Ge + 19HC1 (3.34)
3NbCl5 + SiCl4 + 19/2H2 ► Nb3Si + 19HC1 (3.35)
3NbCl5 + SnCl2 + 17/2H2 ► Nb3Sn + 17HC1 (3.36)
The hydrogen reduction is included in many combined reactions. For exam-
ple, in a H 2 environment, the halide transport reactions of III—V materials
proceed via a mechanism of the reduction of group III halide (obtained previ-
ously by means of a chemical transport reaction) instead of a disproportiona-
tion:
l/2H2(g) + GaCl(g) —> Ga(s) + HCl(g) (3.37)
Thus, in the presence of As vapour, GaAs growth takes place:
l/2H2(g) + GaCl(g) + l/4As4(g) — > GaAs(s) + HCl(g) (3.38)
As shown before, reduction reactions are often combined with decomposi-
tion reactions to give some compounds by starting from halides and hydri-
des T>f their elements. Chemical reduction reactions are advantageous for
the following reasons: they are reversible reactions, thus permitting in-situ
substrate vapour etching prior to epitaxial growth by easily shifting the
reaction equilibrium; it is possible to use high total gas flow rates of indivi-
dually controlled gaseous reactants, which assures large deposition capacity of
uniform films; they employ cold-wall one-temperature-zone reactors with
various configurations (geometries), heating and gas supply systems; thick-
ness and doping uniformity of the epitaxial layers; good reaction efficiency;
halide (chloride) sources are easily purified sources, thus the reduction pro-
cess is cheaper than that of decomposition based on hydrides. The major
drawbacks of the reduction method derive from its inability to grow epitaxial
layers at lower temperatures, the deleterious attack of some substrates such
as sapphire used in heteroepitaxial growth, and more difficult handling of
the liquid sources, such as chlorides.

64
CHEMICAL P R O C E S S E S USED I N CVD

Reaction with substrate. In most cases, the substrate plays only a passive
role in a CVD process. However, in some cases the substrate takes part in
the CVD reaction, especially at sufficiently high temperatures. For example,
the substrate can serve as a reductant for certain metal halogenide vapours,
being converted, in turn, into a volatile halogenide, the process leading
to metal deposition:
2WF6 + 3Si = 2W + 3SiF 4 (3.39)
Among the disadvantages of this type of film formation, the limited choice
of substrate materials and range of film substrate combinations, as well
as self-limitation of the deposited film thickness are the most important.
In many situations, the substrate reacts with the gaseous medium as a chemi-
cal constituent of the growing film. In a much broader meaning, this type
of reaction can be considered as a CVD process. However, the reaction with
substrate is not a deposition process, but rather an in-situ growth process.
Such processes are thermal oxidation/nitridation, plasma oxidation/nitrida-
tion, as well as photochemical and laser oxidation.
Chemical transport. Chemical transport represents the transfer of a re-
latively non-volatile solid substance (source) by reacting it with a transport
agent to form a volatile species, which subsequently undergoes the reverse
chemical reaction on the substrate placed in another part of the apparatus.
The general form of a chemical transport reaction is:

A(s) + B(g) «=± R(g) (3.40)

This method has been successfully applied to a large number of mate-


rials for growing epitaxial layers of semiconductors. There are three basic
types of chemical transport systems: fd^ closed tube (gas movement by
convection and diffusion) [238—247]); (b) open-tube (forced flow of gases)
[248—250]; and (c) close-spaced systems [251—283] (Fig. 3.1). In closed-tube
technique, the source and substrate are placed at differently-heated opposite
ends of a sealed tube containing the transport agent. In open-tube systems,
the transport agent flows continuously over the source preceding the substrate
in a tube placed in a temperature gradient. The close-spaced transport is
based on a very small separation between the source and the substrate wa-
fers, less than 0.1 of their diametres. Transport takes place between the
source and the substrate in the presence of a transport agent and a suitable
temperature gradient. Usually, chemical transport is performed by shifting
the reaction equilibrium in the source and substrate regions. Indeed, the
direction in which the reaction proceeds is controlled by the different tempe-
rature conditions at the source and substrate, since the equilibrium con-
stant of the reaction varies with temperature. If the solid material A to be
transported beginning at temperature TA is transported by a transporting
agent B, the substrate is placed at a temperature Ts in the substrate region.
The equilibrium will be shifted towards the right and as a consequence the
source will be volatilized, whereas in the substrate region the equilibrium
will be shifted towards the left and hence the deposition of substance A on
the substrate will occur.

65
FUNDAMENTALS

The transport agent, which is regenerated in the substrate region, will


repeat the process in a closed system, while in an open system it cannot be
reused. The relative magnitudes of TA and T% required for the transport pro-
cess depend on the variation in the equilibrium constant of the reaction with
temperature. When the equilibrium is shifted toward the formation of A as
the temperature is decreased (i.e. the heat of transport reaction AH is nega-
tive), then T A > T s is a necessary condition for the transport, and vice versa.
The rate of transport is proportional to the temperature diference between
the source and the substrate, and the concentration of the transport agent.
In the following, several examples of transport reactions of elements,
or compounds are presented. The transport agents are alkaline halogenides,
H 2 0, H 2 , halogens (I2), hydracids (HF, HC1, HBr, HI), or halogenides of one
element of the compound to be transported (e.g. AsCl3). The transport pro-
cess based on alkaline halogenides takes place as follows:
M(s) + 2NaCl(g) * = * MC12 (g) + 2Na(g) (M = Be, Ti, etc.) (3.41)
Another type of chemical transport reactions uses water as the transport
agent:
Ge(s) + H20(g) « GeO(g) + H2(g) (3.42)
The van Arkel-de Boer type transport system consists of the attack of a
metal by halogen vapour at a lower temperature, followed by halogenide
transport to a warmer substrate surface where decomposition and depo-
sition of the metal proceed:
2M(s) + X2(g) « 2MX(g) (3.43)
The chemical transport reactions used to deposit compound thin films
can be divided into two categories: reactions in which a compound serves
as the source, and reactions in which the source is composed of its compo-
nent elements (or their volatile combination). Transport reactions in which
the source is just the compound to be deposited can take place in a closed
system (Fig. 3.1a), as in the case of Si0 2 and A1203 films for example:
150°C
Si0 2 + 4HF «=± SiF 4 +2H 2 0 (3.44)
A1203 + 6HC1 *=± 2A1C13 + 3H 2 0 (3.45)
The above reactions can also take place in an open system; in this case,
it is necessary to feed the transport agent continuously, since it is lost in
the residual gases (Fig. 3.16).
Gallium arsenide (or other III—V compound semiconductors) films can
be achieved by means of transport reactions using GaAs as a source and
I 2 , HC1, H 2 0 etc. as transport agents:
700°C
4GaAs(s) + 2I2(g) *==± 4GaI(g) + As4(g) (3.46)
4GaAs(s) + 4HCl(g) « 4GaCl(g) + As4(g) + 2H2(g) (3.47)

66
CHEMICAL P R O C E S S E S U S E D I N CVD

•· · ·1
6
|_ 5 κ |
1 e^£> Θ 1
\E* ' mJ
LL) ^
EZ3 p» · · · |

(a) (b)
/
/

6^g
fUSSA

poo,
(c)
Fig. 3.1 Experimental systems used to deposit thin films by chemical transport reactions:
a — closed-tube system: 1 — source (e.g. S i 0 2 ) ; 2 — substrate; 3 — transport agent (e.g.HF);
4 — two-zone furnace {Τλ< Τ2); 5 — closed quartz t u b e ;
b — open-tube system: 1 — cylinder with transport gas (e.g.HCl); 2,3 — resistance heated
furnaces (T 2 < T 3 ); 4 — open-tube reactor; 5 — source (e.g. Si 3 N 4 ). 6 — substrate (Si);
c — close-spaced (closed-tube) system: 1 — quartz t u b e ; 2 — thermocouples; 3 — graphite
slabs; 4 - quartz spacer; 5 — source (e.g. GaAs); 6 — substrate (e.g. Ge); 7 — transport agent
(e.g. H 2 0 ) ; 5 — infrared heaters.

2GaP(s) + H20(g) , = * Ga20(g) + P2(g) + H 2 (g) (3.48)


looo-c
6 GaAs + 2AsCl3 +=± 6GaCl + 2As4 (3.49)
Close-spaced transport reactions can be performed in both closed-tube and
open-tube systems (Fig. 3.1c) using hydrogen, water or halogenides as trans-
porting agents:
For example:
ZnS + H 2 « = * Zn + H 2 S (3.50)
ZnSe + 2HC1 q = ± ZnCl 2 + H 2 Se (3.51)
ZnSe + I 2 5=fe Znl 2 + l/2Se 2 (3.52)
Zn + H 2 0 « = ± ZnO + H 2 (3.53)
NiFe 2 0 4 + 3C12 3 = * NiCl 2 + 2FeCl 2 + 2 0 2 (3.54)
Nil<e 2 0 4 + 8HC1 «=fc 2FeCl 3 + NiCl 2 + 4 H 2 0 (3.55)

67
FUNDAMENTALS

For the sources comprising elemental constituents (or volatile combinations


of these) of the compound material to be deposited, the following examples
are representative: GaAs deposition by transporting Ga with AsCl3, and
GaAs^^Px deposition by transporting the mixture GaAs + GaP with I 2
in closed system. GaAs deposition takes place according to the reactions:
6Ga + 2AsCl3 > 6GaCl + l/2As 4 (3.56)
(in the source region)
and
3GaCl 2Ga -f- GaCl 3 (3.57)
2Ga + l/2As 4 ► 2GaAs (3.58)
(in the substrate region)

CVT in closed tubes is advantageous owing to simplicity of the equipment,


the possibility of achieving growth near chemical equilibrium, and the avai-
lability of appropriate halide compounds with appropiate thermochemistries.
However, this method is not useful for commercial applications. CVT in
open tubes operates away from chemical equilibrium, but can be useful for
commercial applications. CVT in close-spaced arrangement, used for prepa-
ring epitaxial films of various semiconductor compounds, such as Si[253],
Ge[254], GaAs[255-263], GaP[252] GaAsP[255], ZnSe[264-266], C d S [ 2 6 7 -
272], CdSe[273, 274], CdTe[275-280], HgCdTe[281, 282], and CuInS 2 [283],
shows several important advandages. The apparatus is simple and compact,
the process takes place near chemical equilibrium (so that a high deposition
rate and efficiency can be obtained), and film growth is independent of tube
geometry and gas flow rate. A disadvantage is its use exclusively for small-
scale applications.
Thin films obtained by means of CVT are listed in Table 3.1.

TABLE 3.1
Thin Films Prepared by Chemical Vapour Transport Reactions

Source Substrate
Material Substrate Transport
No. Source temperature temperature Reference
agent
(°C) (°C)

0 1 2 3 4 5 6 7

A. CLOSED-TUBE CVT
1 Si Si Si h 1100 800-900 [284]
2 Ge Ge, GaAs Ge h 600-700 450-600 [48, 3540
3541]
3 Ge Ge Ge H20 900 828 [240]
4 BP Si BP i2 1100 900 [2814]
5 BAs GaAs BAs I2 740 680 [3617]
6 GaP GaP GaP 1 H20 1100 1000-1300 [241]
(C12,HC1)
7 GaAs GaAs GaAs Cl 2 650-750 550-750 [48]
(AsCl 3 )

68
CHEMICAL PROCESSES USED I N CVD

TABLE 3.1 (continued)


7
0 1 2 3 4 5 6 1
8 GaAs GaAs GaAs ZnCl 2 850 800 [2577]
(SnCl 2 )
9 GaAs GaAs, Ge GaAs I2 6 0 0 - 700 7 0 0 - 775 [48,245,246,
285-287]
10 GaSb GaSb GaSb Hgl 625-675 550-650 [48, 2897]
(I 2 ,SnCl 4 )
11 GaAsP GaAs GaAs +
+ GaP la 750 700 [3617]
12 (AlGa)Sb GaSb (AlGa)Sb la 680 625 [3173]
13 ZnS GaAs ZnS Ha 800-1100 900 [546]
14 ZnS,ZnSe, GaAs,Ge ZnS,ZnSe, la 450 600 [14]
ZnSSe ZnSSe
15 CdS GaAs CdS H2 800-1100 900 [546]
16 ZnTe ZnTe ZnTe la 725 625 [243, 3 3 0 2 -
-3305]
17 CdHgTe CdTe CdHgTe Hgl2 590 535 [242]
18 CuInS 2 GaP CuInS 2 la 780 650 [244]
19 Si02 Si SiOg HF 200-500 400-600 [247]

B. CLOSE-SPACED CVT

20 Si Si Si ] la 1100-1150 1300 [253]


21 Ge Ge Ge H20 — — [239, 3230]
22 GaP GaAs GaP H20 945 905 [252]
23 GaAs Ge GaAs HC1 650-700 475-550 [255]
24 GaAs Ge GaAs H20 850 825 [252, 255,256]
25 GaAs GaAs GaAs H20 750-850 700-800 [263]
26 GaAs GaAs GaAs ZnClg 840 800 [260, 2596]
27 ZnO α-Α1 2 0 3 ZnO HC1-H2 800-900 750-850 [3230, 3231]
28 ZnS,ZnSe GaAs ZnS.ZnSe H 2 950 420 [3266]
29 ZnSe Ge ZnSe HC1-H2 600-800 520-620 [14]
30 ZnSe,CdS, glass ZnSe,CdS, Ar 470-650 400-600 [279]
CdTe CdTe
31 CdS GaAs, CdS H 2 or I 2 740 680 [14, 3311]
GaP, Ta
32 CdTe CdS CdTe H2 600-680 480 [3317]
33 CdTe CdTe, CdTe H2, H e 550-650 500-610 [3320]
glass or Ar
34 (CdHg)Te CdTe (CdHg)Te H2 600 350 [3348]
35 NiFe204 MgO NiFe 2 0 4 HCl-Ar 1200-1250 1100-1150 [4811]
36 poly-Zn^ Si/mica Zn 3 P 2 Ar 675 550-600 [3793]
37 CuInS 2 A1 2 0 3 ;C C u I n S , Hg — 1 2 ; 650-700 675 [283]
Hg-HI

C. O P E N - T U B E CVT

38 Ge Ge Ge, GaAs H 2 0 ( H I , 800 850 [248, 2 5 3 5 -


Hg-Ig, - 2 5 3 7 , 3542]
ci 2 )
39 GaAs GaAs GaAs H C l - H g 1000 750-900 [2668]
40 GaAs, GaP GaAs, GaAs, H g - H g O 1050 1000 [249]
GaP GaP
41 GaAs GaAs Ga-j-As H C 1 - H 2 , 700, 400 750 [2665-2667]
H2
42 ZnO α-Α1 2 0 3 ZnO H2-N2 1000 650-850 [3239-3242]
43 ZnO a-Al 2 0 3 ZnO H20 850-900 975 [3253]

69
FUNDAMENTALS

TABLE 3.1 (continued)

0 1 2 3 4 1 5 6 7
44 ZnO α-Α1 2 0 3 ZnO NH3 875 740 [3243]
45 ZnS Si ZnS Ar, H 2 1170 500 [3258]
46 ZnS GaAs Zn H2S 530 825 [3263]
47 ZnS GaAs Zn + S H2 200, 550 800 [3264]
(H 2 S)
48 ZnS GaAs, ZnS H2 900 600-650 [3265]
CaF 2
49 ZnS GaP ZnS H2 900-950 820-900 [3267]
50 ZnSe ZnSe,GaAs ZnSe H2 900-950 600-830 [3265, 3284,
GaP,CaF 2 3286]
51 ZnSe GaAs Zn H 2 Se 530 890 [3263]
52 ZnSe GaAs,Ge ZnSe HBr-H2 840 515 [3288]
53 ZnSe GaAs, ZnSe HC1-H2- 600-740 570-610 [250, 3225,
ZnSe -Ar 3284, 3286]
54 CdS GaAs Cd H2S 580 690 [3263]
55 CdS CdTe CdS H2 890 480 [3310,3313]
56 CdSe α-Α1 2 0 3 , Cd H2Se-H2 500 700 [3315]
CdS
51 Zn(SSe) CaF 2 ZnS + H 2 (I 2 ) 450 600-650 [3265]
ZnSe
58 Zn(SSe) GaAs ZnSe-fZn H 2 S—H 2 950, 700 750 [3266]
59 (PbSn)Te (PbSn)Te (PbSn)Te H2 725 650 [3270]
60 Si02 Si SiO a HF 200-500 400-600 [247, 4057]
61 Si 3 N 4 Si Si 3 N 4 HCl(HBr) 2 0 0 - 5 0 0 500-800 [4312]
62 A1 2 0 3 Si A1 2 0 3 HC1 200-500 400-600 [4312]
63 Nb 2 O s Si |Nb 2 O s Cl 2 150 700-1000 [4247]
64 PbO glass (PbO 02-H20 900 120 [4247]
65 NiFe204 MgO NiFe 2 0 4 Cl 2 900-1000 600-860 [4813]
66 NiFe204 MgO NiO + Ar-HCl 950 800-850 [4812]
Fe203
67 NbgSn Hastelloy Nb 3 Sn HC1 900 1000 [4754]

All CVT variants have important applications.


CTVT is the main technique used in fundamental investigations of trans-
port processes.
The method of CSVT has been widely used in production for the fa-
brication of solar cells based on semiconducting thin films.
OTVT has lead to the development of two standard deposition tech-
niques, called chloride VPE and hydride VPE. These techniques are extensi-
vely used in production for the realization of a variety of heteroj unction
devices such as FETs, LEDs, lasers, solar cells, based on III—V semi-
conductor compounds, especially GaAs, InP, GaAs^Py, Ga^Ii^As, and
Ga^InsP^As^y. Chloride VPE and hydride VPE are also able of producing
artificial heteostructures such as quantum wells, superlattices and two-
dimensional electron gasses. These novel structures can be employed success-
fully in the fabrication of high-performance electronic and optoelectronic
devices such as HEMTs, microwave oscillators, and lasers.
Disproportionation. In this reaction, the reactant species dissociates
owing to its instability at a lower temperature forming another more sta-
ble higher-valence chemical species and yielding at the same time the elemen-
tal form of the reactant to be deposited [284—288].

70
CHEMICAL P R O C E S S E S U S E D I N CVD

Disproportionation reactions have the following general form:


2AB(g) ?=± A(s) + AB2(g) (3.59)
Depositions are made in a temperature-gradient hot-wall multizoned
(2, 3 or 4 zones) furnace. Closed-tube, open-tube, and close-spaced systems
can be used (Fig. 3.2). Typical disproportionation reactions are:
2SiI2(g) «=> Si(s) + Sil4(g) (3.60)
2GeI2(g) +=± Ge(s) + Gel4(g) (3.61)
3GaCl(g) +=t 2Ga(s) + GaCl3(g) (3.62)
In the last example, GaCl must be generated by a gallium transport reaction,
where Ga is transferred from the hotter to the colder zone after it has reacted
with a chloride such as AsCl3 or with HC1:
3Ga(s) + AsCl3(g) ► 3GaCl(g) + l/4As4(g) (3.63)
Ga(s) + HCl(g) —+ GaCl(g) + l/2H2(g) (3.64)

Fig. 3.2 Experimental systems for CVD b y disproportionation reactions:


a — closed-tube system for silicon epitaxy by means of disproportionation of silicon di-iodide
(Sil 2 is formed in the source region according to the reactions: Si + 2I 2 -> S i l 4 a n d Sil 4 +
Si—► 2SiI 2 ) (after Wajda et. al. [284], © 1960 by International Business Machines Corporation;
reprinted with permission): 1 — quartz reaction t u b e ; 2 — silicon substrate; 3 — quartz sup-
port rod; 4 — silicon source; 5 — iodine source; 6 — thermocouple; 7 — ceramic furnace t u b e ;
8 — asbestos w r a p ; 9 — heater winding; 20 — quartz wool;
b — open-tube system for Ge epitaxy b y means of disproportionation of G e l 2 : 1 — reactor;
2 — Ge source h e a t e r ; 3 — Ge substrate h e a t e r ; 4 — single-crystal Ge s u b s t r a t e ;
c — close-space (closed-tube) system for Si epitaxy b y means of disproportionation of S i l 2 :
1 — reaction chamber; 2 — induction coil; 3 — heating m a n t l e ; 4 — Si s u b s t r a t e ; 5 — quartz
spacer; 6 — source Si; 7 — quartz pedestal; 8 — iodine source vessel; 9 — thermostatted
b a t h ; 10 — glass valves; 11 — iodine t r a p ; 12 — Dewar flask; 13 — liquid N 2 ; 14 — vacuum.

71
FUNDAMENTALS

In the presence of As(g) generated in-situ, or by the introduction of AsH3


or As vapours, GaAs is deposited:
3GaCl(g) + l/2As4(g) > 2GaAs(s) + GaCl3(g) (3.65)
Disproportionation has the following advantages: reaction reversibility, in-
situ reactant formation, in-situ vapour etching, lower epitaxial temperature,
and large-scale applicability for high purity epitaxial GaAs and related com-
pounds. However, this technique suffers from important disadvantages,
namely: reactor complexity resulting from the multi-temperature zones re-
quirement ; low gas flow needed to generate a sufficient amount of transport
of the reactant species; film contamination stemming from hot walls and
high temperature source containers; poor reaction efficiency as readily ob-
served in reaction stoichiometry; low production capacity caused by low
growth rate; and the use of chlorides as disproportionation reactants.
Polymerization. Polymerization [289, 290] consists of linking together
monomer molecules from various organic substances by using an activated
process:
M B <=± (AB), (3.66)
The polymerization process takes place in the gaseous phase (or even on the
substrate) by activating the monomer, using thermal, RF glow-discharge,
UV, electron-beam or laser energy. The set-ups for polymerization are pre-
sented in Fig. 3.3.
Various insulating polymeric materials, starting from styrene, divinil
benzene, butadiene, methyl methacrylate, etc., have been obtained using
gas-phase polymerization reactions. For example, plasma polymerization of

3 2 3 6 5 4

y ^ i iff " s ^

(a) (b) (c)


Fig. 3.3 Schematic diagrams of typical systems for polymerization (after Gregor [4442]):
a — electron-beam polymerization system: 1 — electron g u n ; 2 — deflection coil; 3 — sub-
strate ; 4 — monomer source (e.g. epoxy resin);
b — ultraviolet irradiation polymerization system: 1 — mercury a r c ; 2 — quartz window;
3 — evacuated enclosure; 4 — substrate holder; 5 — substrate; 6— vacuum p u m p ; 7 — mo-
nomer inlet (e.g. acrolein);
c — glow discharge polymerization system: 1 — monomer vapour source (e.g. styrene); — 2
needle valve ; 3 — pyrex t u b e ; 4 — coils for magnetically fccusing the glow discharge; 5— sub-
strate ; 6 — holder; 7 — vacuum p u m p ; 8 — vacuum gauge.

72
CHEMICAL PROCESSES USED IN CVD

hexamethyldisiloxane leading to polymethylsiloxane films can be roughly


described by:
Si2(CH3)60 — > -(Si(CH 3 ) 2 0) n - (3.67)
whereas pyrolysis of ^-xylene produces a diradical, j^-xylylene, which forms
in turn by polymerization poly-_/>-xylylene:
C H 3 - C 6 H 4 - C H 3 - ^ . C H 2 - C e H 4 - C H 2 . - ^ * (CH 2 -C e H 4 -CH 2 )„ (3.68)
Oxidation. Oxidation is the chemical process by which a vapour phase
substance reacts with oxygen or with another oxidant, such as C0 2 , N 2 0,
NO, N0 2 , 0 3 , resulting in a solid reaction product (oxide) which is deposited
on the substrate. The oxidation reaction has the general form:
2AX(g) + 02(g) 5=± 2AO(s) + X2(g) (3-69)
The following volatile compounds are used to obtain thin films by means
of oxidation reactions: metal hydrides, halogenides, halohydrides, OMs, etc.
Oxidation reactions can take place at relatively low temperatures ( < 500°C)
or at relatively high temperatures ( > 500°C.) In the former case, the preferred
oxidant is oxygen, whereas in the latter case carbon dioxide, nitrogen oxides,
etc. are used in addition to oxygen. Deposition of some thin films is achieved
by concomitant oxidant of two or more volatile compounds. When the reac-
tion proceeds even at room temperature, at the contact between the volatile
substance and the oxidant (0 2 ), it is necessary to mix the components just
above the substrate. Since these reactions can be either endothermic or exo-
thermic, they are performed in cold-wall or hot-wall reactors, respectively.
The reaction efficiency varies between poor and good. Oxidation reactions
usually occur at normal or low pressure, and can be activated thermally,
by plasma, or by UV. These reactions are usually employed to obtain dielec-
tric films of oxides (Si0 2 , A1203), various silicate glasses as well as films
of semiconductors (ZnO), conductors (Sn0 2 , ln 2 0 3 ) or magnetics (garnets).
Also, they are used in combination with nitridation to obtain oxynitrides
(SiON, AlON, etc.), or with hydrolysis to deposit epitaxial garnet films.
Film doping is achieved by using concomitant oxidation of dopant. Typical
examples of oxidation reactions are the following:
SiH4 + 0 2 ► Si02(s) + 2H2 (3.70)
SiH4 + 20 2 ► Si02(s) + 2H 2 0 (3.71)
(1 - %)SiH4 + 2*PH3 + (1 + 3/2*)02 > (SiO^PA)* +
+ (2 + *)H2 (3.72)
(1 - *)SiH4 + %B2H6 + (1 + l/2*)0 2 > (Si0 2 ) w (B a O s ).+
+ (2 + x)U2 (3.73)
SiH2Cl2 + 2N 2 0 - ^ > Si0 2 + 2N2 + 2HC1 (3.74)
700 900 C
SiH4 + 4N 2 0 ~ ° > Si0 2 + 2H 2 0 + 4N2 (3.75)

73
FUNDAMENTALS

700-900 °C
SiH4 + 4NO »· Si0 2 + 2H 2 0 + 2N2 (3.76)
SiX4 + 0 2 > Si0 2 + 2X2 (3.77)
SiX4 + 2C0 2 > Si0 2 + 2CO + 2X2 (3.78)
SiX4 + 2N 2 0 — > Si0 2 + 2X2 + 2N2 (3.79)
2A1C13 + 3/20 2 — > A1203 + 3C12 (3.80)
2A1(C2H5)3 + 210 2 — > A1203 + 12C02 + 15H20 (3.81)
Sn(CH3)4 + 80 2 ► Sn0 2 + 4C02 + 6H 2 0 (3.82)
2In(CH3)3 + 1202 > ln 2 0 3 + 6C02 + 9H 2 0 (3.83)
Zn(C2H5)2 + 70 2 —50"50°°C > ZnO + 4C02 + 5H 2 0 (3.84)
Zn(C2H5)2 + C0 2 15 ;Ü;"; c > ZnO + CO + H 2 0 + Q H y (3.85)
FeX 2 + l/20 2 — > FeO + X 2 (3.86)
CoX2 + l/20 2 —► CoO + X 2 (3.87)
3RCl3(g) + (5 - *) FeCl2(g) + *GaCl(g) + 602(g) ^ (3.88)
^ F e ^ G a ^ s ) + 1/2(19 - *)Cl,(g)
(3 - *)TbCl3(g) + A;ErCl3(g) + 5FeCl2(g) + 602(g) =
Tb3.xErxFe5012(s) + 19/2Cl2(g) (3.89)
Fe(CO)5 + 30 2 = FeO + 5C0 2 (3.90)
The oxidation reactions are advantageous for obtaining amorphous films at
low temperature in high capacity equipment. However, for epitaxial films
higher growth temperatures are usually required.
Hydrolysis. Hydrolysis is a chemical process by which a vapour phase
compound reacts with externally introduced or in-situ formed (C02—H2,
H2—02) water vapour, leading to the formation of the solid reaction product,
usually an oxide. The general form of this reaction is:
AX(g) + H20(g) —-> AO(s) + HX(g) (3.91)
When using C0 2 + H2, H 2 + 0 2 or NO + H 2 as hydrolysing agents, water
is formed even during the hydrolysis process:
C0 2 + H 2 = H 2 0 + CO (3.92)
H 2 + 0 2 = H 2 0 + 1/20, (3.93)
2NO + 2H2 = 2H 2 0 + N2 (3!94)
Hydrolysis uses the same starting reactans and is applied for similar pur-
poses as oxidation. In order to obtain certain epitaxial films, namely garnets,
a concomitant hydrolysis-oxidation reaction is used. In many cases, reaction

74
CHEMICAL P R O C E S S E S USED I N CVD

between the volatile compound and water takes place immediately on contact;
thus, it is necessary to mix them just near the substrate. The equipment
used is a cold-wall or hot-wall reactor which has a complex configuration in
the case of garnet preparation. Typical examples of hydrolysis are:

SiX4 + 2H2 + 2C02 j ^ Si0 2 + 4HX + 2CO (3.95)


800°C
SiBr4 + 2C0 2 + 2H2 > Si0 2 + 4HBr + 2CO (3.96)
800°C
SiCl4 + 0 2 + 2H2 > Si0 2 + 4HC1 (3.97)
900
Al2Cle + 3C02 + 3H2 "H12;00°C> Al203(s) + 6HCl(g) + 3CO(g) (3.98)

2AlCl (g) + 3H 0(g) ^ > Al 0 (s) + 6HCl(g) (3.99)


3 2InCl + 2 3H 0 = ln 0 2 +3 6HC1 3.100)
3 2 2 3
450°C
SnCl4 + 2H 2 0 > Sn0 2 + 4HC1 3.101)

SiCl4 + 2H 2 0 - ^ * Si0 2 + 4HC1 3.102)


2P0C13 + 3H 2 0 —► P 2 0 5 + 6HC1 3.103)
SiH4 + 2H 2 0 — > Si0 2 + 4H2 3.104)
SiX4 + H20(g) —> Si02(s) + 4HX(g) (X = F, Cl, Br or I) 3.105)
SiX4 + 2H2 + 2NO — > Si0 2 + N2 + 4ΗΧ 3.106)
TiCl4 + 2C0 2 + 2H2 = Ti0 2 + 2CO + 4HC1 3.107)
2TaCl5 + 5H 2 + 0 2 ^2Ξ> 2TaO + 10HC1 3.108)
700°C
2TaCl5 + 5H2 + 5/20 2 »· Ta 2 0 5 + 10HC1 3.109)
PbCl2 + TiCl4 + H 2 0 + 0 2 ^ ^ » PbTi0 3 + 2HC1 + Cl2 3.110)
MBr2 + 3H20(g) + l/202(g) - ^ * M304(s) + 6HBr(g)
(M = Fe, Co, Ni) 3.111)
MgCl2 + 2FeCl2 + 3H20(g) + l/202(g) = MgFe204 + 6HCl(g) 3.112)
YC13 + 5FeCl2 + 19/2H20 + 5/40 2 = Y 3 Fe 5 0 12 + 19HC1 3.113)
Zn + H 2 0 = ZnO + H 2 3.114)
On the basis of the above-mentioned reactions, hydrolysis is used to obtain
both amorphous and epitaxial layers such as insulating or garnet materials,
respectively. Epitaxial depositions which require high temperatures and
prevention of premature reactions, show many drawbacks: special reactor

75
FUNDAMENTALS

configurations (many temperature zones, complex gas feed systems); non-


stoichiometric composition; very small reactor capacity; and poor reaction
efficiency in some cases.
Nitridation. Nitridation is the reaction between a volatile react ant spe-
cies and ammonia, nitrogen or another nitrogen-containing reactant species,
leading to the formation of a thin nitride film. The general form of this reac-
tion is:
AX(g) + NH 3 (g) — > AN(s) + HX(g) (3.115)
Ammonolysis is based on the reaction of volatile halogenides, hydrides, halo-
hydrides, OMs, etc. with NH 3 , N 2 , and hydrazine (N 2 H 4 ). Nitrides can also
be obtained by means of decomposition of some nitrogen-containing compounds,
such as amides. Ammonolysis reactions occur at normal or low pressure and
can be activated thermally, by an R F plasma or by ultraviolet light. These
reactions are employed to obtain dielectric films of nitrides (Si 3 N 4 ), oxynitri-
des (Si^O^N^ ΑΙ^Ο^Ν^, some III—V semiconducting compounds (BN, A1N,
GaN), metallic nitrides (TaN), and superconducting nitrides (NbN).
Typical examples of ammonolysis are:
3SiCl4 + 4NH 3 = Si3N4 + 12HC1 (3.116)
3SiBr 4 + 4NH 3 = Si3N4 + 12HBr (3.117)
3SiF 4 + 4NH 3 = Si3N4 + 12HF (3.118)
3SiH 4 + 4NH 3 = Si 3 N 4 + 12H2 (3.119)
3SiCl4 + 2N 2 H 4 + 2H 2 = Si3N4 + 12HC1 (3.120)
3SiH 4 + 2N 2 H 4 = Si 3 N 4 + 10H2 (3.121)
3SiH 2 Cl 2 + 4NH 3 = Si3N4 + 6HC1 + 6H 2 (3.122)
uv
3SiH 4 + 2N 2 H 4 -555^ Si3N4 + 10H2 (3.123)

3SiH 4 + 2N 2 *^> S i ^ H , + 6H 2 (3.124)

3SiCl4 -f 2N 2 ^ ^ > SiJST,, + 6C12 (3.125)

3SiI 4 + 4N ^ ^ > SUN!,, + 6I 2 (3.126)


AlCl,(g) + NH 3 (g) = AlN(s) + 3HCl(s) (3.127)
AlBr3(g) + NH 3 (g) = AlBr 3 · NH 3 (g) — » AlN(s) + 3HBr(g) (3.128)
BC13 + NH 3 ► BN + 3HC1 (3.129)
B 2 H e + NH 3 > 2BN + 6H 2 (3.130)
GaCl + NH 3 > G a N + H 2 + HCl (3.131)
900-1300°C
3TaCl 5 + 5NH 3 — > Ta 3 N 5 + 15HC1 (3.132)

76
CHEMICAL PROCESSES USED I N CVD

2TaCl5 + 5 H 2 + 1/2N2 -8°° 10°0°C > Ta2N + 10HC1 (3.133)


2TaCl5 + 5H2 + N2 ^ 0 0 " 1 5 0 0 ^, 2TaN+ 10HC1 (3.134)
NbCl5 + NH3 + H 2 > NbN + 5HC1 (3.135)
Carbidization. Carbidization is a CVD process resulting in the formation
of a carbide thin film. The general form of this reaction is:
AX4(g) + CH4(g) — > AC + 4HX(g) (3.136)
Carbidization is based on the reaction of volatile halogenides or hydrides
with a carbon-containing compound, such as a hydrocarbon. These reactions
occur at normal or low pressure and can be activated either thermally or by
an RF glow discharge. They are employed to obtain some group IV semicon-
ductors (SiC) in either epitaxial or amorphous form and metallic carbides.
Typical examples of carbidization are:
SiCl4 + 2H 2 + C ^ > SiC + 4HC1 (3.137)
SiCl4 + CC14 + 4H2 1 - ^ > SiC '+ 8HC1 (3.138)
3SiCl4 + C3H8 + 2H2 1^^> 3SiC + 12HC1 (3.139)
3SiH4 + C3H8 l^^> 3SiC + 10H2 (3.140)
TiCl4 + CH4 > TiC + 4HC1 (3.141)
Synthesis. Synthesis implies the reaction between two or more volatile
compounds to form several compounds, one of which will precipitate as de-
posit on the substrate and the other, being in the gaseous state, will be removed
from the system. The most used reactions of this type are those between a
hydride and a halogenide, an OM and a hydride, as well as reactions between
two different halogenides, organometallic compounds or hydrides.
The general form of these reactions is:
AH(g) + BX(g) = AB(g) + HX(g) (3.142).
or
AR(g) + BH(g) = AB(s) + RH(g) (3.143)
or
AR2(g) + BH2(g) = AB(s) + 2HR(g) (3.144)
Deposition of goup III—V and II—VI semiconductor thin films from organo-
metallic compounds and hydrides or from two different OMs proceeds as
follows:
Ga(CH3)3(g) + AsH3 — > GaAs + 3CH4(g) (3.145)
Cd(CH3)2 + H2Se(g) — > CdSe(s) + 2CH4(g) (3.146)
(C2H5)3 In + (CH3)3Ga + AsH3 + 3/2H2 — > GalnAs + 3CH4 +
+ 3C2He (3.147)

77
FUNDAMENTALS

(1 - *) (CH3)3Ga + *(CH3)3A1 + AsH3 - ^ - > Ga^Al^As + 3CH4 (3.148)


Ga(CH3)3 + PH 3 -^* GaP + 3CH4(g) (3.149)
Zn(CH3)2 + H 2 S >ZnS + 2CH4 (3.150)
Zn(CH3)2 + H2Se > ZnSe + 2CH4 (3.151)
A1(CH3)3 + Sb(CH3)3 + 3H2 = AlSb + 6CH4 (3.152)
Cd(CH3)2 + Te(C2H5)2 + 4H2 - ^ * CdTe + 6CH4 (3.153)
Ga(C2H5)3 + P(C2H5)3 + 3H2 — * GaP(s) + 6C2H6 (3.154)
Other examples of synthesis reactions are the following:
BC13 + PH 3 ^ ^ » BP + 3HC1 (3.155)
1000°C
BBr 3 + PC13 + 3H2 » BP + 3HBr + 3HC1 (3.156)
B2He + PH 3 = 2BP + 6H2 (3.157)
13B2He + 4PH3 = 2B13P2 + 45H2 (3.158)
5Ga + 2PC13 > 2GaP + 3GaCl2 (3.159)
or
2Ga + PC13 > GaP + GaCl3 (3.160)
650°C
Zn + SiH4 + 2AsH3 * ZnSiAs2 + 5H2 (3.161)
Zn + H2S >ZnS + H 2 (3.162)
Zn + H2Se > ZnSe + H 2 (3.163)

TiCl4 + Β2Ηβ - ^ ^ * TiB 2 + 4HC1 + H 2 (3.164)

TaCl5 + B2H6 - ~ TaB 2 + 5HC1 + 1/2H2 (3.165)


Combined reactions. Many deposition processes involve a sequence of
several different reactions. For example, the deposition of group III—V
compound semiconductors via the hydride method occurs using three
sequential reactions: chemical vapour transport of the group III metal M
plus decomposition of the group V hydride plus synthesis:
M(l) + HCl(g) > MCl(g) + l/2H2(g) (M = Ga, In or Al) (3.166)

LH3 > (1 - *)LH3 + (1 - a)l/4*L4(g) + a 1 *L2(g) + ~ *H2(g) (3.167)


L· L·
(L = As or P, x = degree of decomposition, a = the ratio of dimers
M2 to tetramers M4)
12MCl(g) + 4LH3(g) + 2L2(g) + L4(g) > 12ML(s) + 12HCl(g) (3.168)

78
CHEMICAL PROCESSES USED IN CVD

Catalyst-stimulated reactions. The chemical processes of thin film depo-


sition can be stimulated by means of adequate catalysts in order to enhance
their rate and to lower their minimum temperature of reaction. This pheno-
menon can be explained by catalytic activation of gaseous reactants. Reactive
species are maintained a relatively long time in a chemically activated state,
a time sufficient to travel from the catalyst location to the place where the
substrate on which the heterogeneous deposition occurs is situated. An exam-
ple of deposition reaction stimulated by a Pt homogeneous catalyst which
exerts its influence on the gaseous phase is Si3N4 film formation [291]:
3SiH 4 + 4NH 3 ^ * Si3N4 + 12H2 (3.169)
Premixed gas reactions. Premixed gas reactions are those processes in
which all volatile reactants are introduced into the reactor as a single homo-
geneous mixture, bottled previously in a gas cylinder [292].
Usually, CVD systems use separate gaseous reactants which are mixed
in a mixing chamber, before or just inside the reactor. The use of a mixture
instead of separate react ant gases provides many important advantages.
The reactants being premixed, further precautions for ensuring a complete
mixture in the equipment are not necessary. In addition, the film thickness
can be controlled more accurately, because only a single gas flow has to be
adjusted. Also, the film characteristics are more reproducible. Use of premixed
gases is indicated to decrease the corrosive nature of some gaseous reactants
by their dilution with an inert gas (HC1, NH 3 , F 2 , Cl2, H F , etc.), to provide
direct delivery of some less volatile organometallic liquids such as Zn(C 2 H 5 ) 2 ,
Sb(CH 3 ) 3 , etc., to remove the flammability of some reactants such as SiH 4 ,
GeH 4 , etc., to lower the oxidizing action of some reactants such as 0 2 , C0 2 „
and to improve some deposition or etching processes as is the case with
S i H 4 - C 0 2 , S i H 4 - N H 3 > S i H 4 - P H 3 - A r , S i H 4 - B 2 H 6 - A r , 0 2 - H C l as well
as CF 4 —0 2 , SF 6 —H 2 , H 2 S—N 2 , respectively. However, bottling of multi-
component gas mixtures is possible only within the limit of certain physical
and chemical properties; within these limits any gas combinations having
nearly any concentrations can be prepared. The most encountered limita-
tions are insufficient partial pressure, chemical reactions, flammable compo-
sitions, and cylinder wall reactions.
Low-pressure reactions. Low-pressure reactions are chemical processes
performed at a system pressure lower than 1 atmosphere [300—311]. The
principle of low pressure reaction is, in essence, similar to that of normal
pressure reactions. There is, however, a major difference in the rate-determin-
ing steps: normal pressure reactions are controlled by both mass transfer
and surface chemical reactions rates, whereas low-pressure reactions are
controlled only by the rate of chemical reactions. This is due to the fact that
there is more than an order of magnitude increase of the gas-phase transfer
of reactants and by-products to the substrate surface in the latter case.
Consequently, in low-pressure reactions only surface reaction variables,,
such as reactant concentration and temperature, have to be considered in
order to obtain the desired thickness uniformity. Low-pressure reactions
using both inorganic and metallo-organic reactants have been used to pre-
pare almost all classes of CVD films, in the epitaxial, polycrystalline or amor-
phous states (Table 3.2).

7fr
FUNDAMENTALS

TABLE 3.2
Thin Films Prepared by Using LPCVD Reactions

No. Film Reactants References

0 1 2 3

1 epi-Si SiH 4 (SiH 2 Cl 2 , SiCl4, S1HC1 3 )-H 2 [205, 206, 3 0 0 - 3 0 2 , 2 3 8 5 -


2390]
2 epi-SiC C3H.8 — SiH 4 —H2 [2568-2579]
3 epi-GaAs Ga(CH 3 ) 3 [Ga(C 2 H 5 ) 3 ]-AsH 3 -H 2 ; [305,306,309,2765-2773]
Ga-HCl-AsH3-H2 [2718]
4 epi~lnl> In(C2H5)3-PH3-H2 [307, 309, 2 9 4 1 - 2 9 5 1 ]
5 epi-{A\Ga,)As A1(CH3)3 - Ga(CH 3 ) 3 - AsH 3 - H 2 [309, 2 9 9 2 - 2 9 9 6 ]
6 ^'-(Galn)P Ga(C2H5)3-In(C2H5)3-PH3-H2 [3153-3158]
7 epi-(Gain) As Ga(C 2 H 5 ) 3 - In(C 2 H 5 ) 3 - AsH 3 - H 2 [309, 3129-3134]
8 epi-(Alln)F , A1(CH3)3 - In(C 2 H 5 ) 3 - P H 3 - H 2 [3157]
9 ^'-(GaIn)(AsP) Ga(C 2 H 5 ) 3 - In(C 2 H 5 ) 3 - AsH 3 - [309, 3193-3203]
—PH 3 —H 2
10 ^"-(AlGaln)P A1(CH3)3[TEA1] - Ga(C2H5)3 - [3208-3214]
-In(C2H5)3-PH3-H2
11 epi-ZnSe Zn(C2H5)2-H2Se-H2 [3290-3293]
12 epi-ZnSSe Zn(CH3,) 2 -H 2 S-H 2 Se [3367]
13 poly-Si S i H 4 - N 2 - B 2 H 6 ( P H 3 , AsH 3 ); [182, 2 0 4 - 2 0 6 , 303, 4075]
SiH2Cl2-B2H6(PH3)-N2 [206]
14 SIPOS SiH4-N20-N2 [205, 206]
15 BN B 2 H 6 (BC1 3 )^NH 3 [2804]-
16 poly-Zn(SSe) Zn-H2S-H2Se [3799]
17 a-Si SiH 4 (SiH 2 Cl 2 )-B 2 H 6 (PH 3 ) [206, 3899, 3900]
18 a-Si:B SiH 4 — B 2 H 6 — H 2 [3999]
19 Si02 Si(OC 2 H 5 ) 4 [182, 631]
Si(OC2H5)4-02 [304]
SiH4-N20-N2 [ 2 0 4 - 2 0 6 , 4078]
SiH4-02-N2 [182, 206, 4077, 4079]
SiH4-C02-N2 [206]
SiH2Cl2-N20(C02, 02) [182, 2 0 4 - 2 0 6 , 303, 4074,
4076]
20 BSG SiH4-B2H6-02-N2 [206]
Si(OC 3 H 5 ) 4 - Β ( Ο 0 3 Η 7 ) θ - 0 2 - N 2 [4074]
21 PSG TEOS-PH3-O2 [4142, 4143]
Si(OC 3 H 7 ) 4 -PO(OCH 3 ) 3 - O a - N 2 [4074]
Si(OC2H5)4-PO(OCH3)3-02-N2 [304, 550]
SiH4-02-PH3-Na [205,206,4127,4128,4138]
SiHaCla-Oa-PHs [4079]
22 AsSG SiH4-AsH3-02-N2 [205, 206]
23 BPSG SiH4-PH3-B2H6-02 [4182,4183]
SiH4-BCl3-PH3-02 [4185]
TEOS-B2He-PH3-02 [4186]
(TEOS-TMB-TMP-O2)
24 A1 2 0 3 AlCl3-NO-H2; [4075]
Al(OC 3 H 7 ) 3 -N 2 |[4222, 4223]
Al(C2H5)3-02 [205, 206]
25 Si 3 N 4 SiH4-NH3-N2 [182, 2 0 4 - 2 0 6 , 4075,
4313]
S1H2CI2-NH3 [182, 2 0 4 - 2 0 6 , 303, 4313-
-4315]
SiF4(SiCl4)-NH3 [4316]
26 isi^Oy^ DCS(SiH4)-N20-NH3 [£4416, 4417]

80
CHEMICAL PROCESSES USED I N CVD

TABLE 3.2 (continued)

0 1 2 3
27 Al Al(i-C4H9)3-Ar [4491-4496]
TMA [4494]
A1C1 [4495]
28 Mo MoF6-H2 [4552]
29 W WFe-H2 [206, 4518-4540]
30 Sn02 SnCl4-02-N2 [206]
31 MoSi 2 MoCl5-SiH4-H2
32 TaSi 2 TaCl5-SiH4-H2 [4739]
33 TiSi 2 TiCl4-SiH4-H2 [4736]
34 WSi 2 WFe-SiH4-H2 [4743-4749]

High-pressure thermally-activated reactions. High-pressure reactions are


chemical processes taking place at a pressure higher than one atmosphere,
thus allowing pressure to be substituted for temperature in growing films.
High-pressure reactions proceed at a higher rate and a lower temperature
than the corresponding atmospheric pressure reactions. Until now, these
reactions have been studied only for conversion of silicon substrate by using
an adequate oxidant (H 2 0, H 2 + 0 2 , 02) in a Si0 2 film:

Si + 2H 2 0 J , ; ^ > Si0 2 + 2H2 (3.170)

Si + H2 + 0 2 \~ZZ^ Si02 + H2 (3.171)


Si + 0 2 ^ ^ S i 0 2 (3.172)
High-vacuum pyrolysis reactions. High-vacuum pyrolysis [293—299] is
a CVD process based on reactant decomposition at a base pressure (10~7 torr)
much lower than the residual gas pressure found in LPCVD (^10~ 3 torr).
High vacuum pyrolysis has been used to prepare some heteroepitaxial layers,
such as Ge on GaAs from GeH4 at 525°C. It offers several advantages over
both LCPVD and vacuum evaporation technique, such as a relatively low
substrate temperature, the elimination of halogen vapour back-etching when
using halogenide reactions, reduced deposit contamination, and process
monitorin'g by means of a residual gas analyser.
Acoustic wave stimulated reactions. Acoustic wave ultrasonic irradiation
[180, 181] has been found to influence the deposition rate, the film texture,
and the preferred orientation of some transition metal nitrides, carbides,
and borides (e.g. TiN, TiC, TiC—TiN, TiB2) obtained by means of CVD reac-
tions.
An experimental apparatus used for acoustic wave CVD (AWCVD) of
TiN and TiC films from TiCl4—N2—H2 and TiCl4—CH4—H2 systems is
presented in Fig. 3.4.
The main effects of directing the ultrasonics onto the CVD substrate
either through the vapour phase or directly are the enhancement of deposi-
tion rate, the decrease of crystallite size and the increase in the adherence
and toughness of the deposited film, compared with conventional CVD. The

81
FUNDAMENTALS

Fig. 3.4 Schematic diagram of AWCVD apparatus


(after Sugiyama et al. [181], reprinted with permis-
sion from THIN SOLID FILMS, (§) 1984 Elsevier
Sequoia S.A.):
1 — substrate; 2 — reaction gas mixture; 3 —
waste gas; 4 — thermocouple; 5 — speaker; 6 —
amplifier; 7 — oscillator; 8 — argon gas; 9 —
cooling water; 10 — SiC heater; 11 — thermo-
gravimetric balance; 12 — nitrogen gas.

2^Z±

effects can be attributed to perturbation of the diffusion boundary layer by


the acoustic irradiation.
OM compound reactions. Organometallic reactions are chemical processes
starting from metal-containing organic chemical compounds. They are sti-
mulated thermally at normal or low pressure, as well as by RF glow discharge,
ultraviolet light, and laser or electron beam. From a chemical standpoint,
there are many types of OM reactions used in electronics: decomposition
(including spray pyrolysis); hydrogen reduction; polymerization; oxidation;
hydrolysis; synthesis; and combined reactions.
The main classes of OM compounds used are the following: metal car-
bonyls, carbonyl-hydrides, and carbonyl halogenides; formates and oxalates;
alkyl and aryl compounds; dicyclopentadienyl complexes; acetylacetonate
complexes; haloalkyl compounds; alcoxides; and other compounds.
Organometallic reactions are used extensively in chemical vapour de-
position and doping of III—V, II—VI and IV—-VI compound semiconducting
films. In addition, many dielectric, metallic, transparent and conducting,
conducting and metal compound films can be successfully prepared using
these reactions. Typical product applications include silicon and GaAs semi-
conductor devices and integrated circuits, microwave devices, electrolumi-
nescent devices, photoconductive devices, optical fibres, laser, solar cells,
and surface acoustic wave devices. These reactions are advantageous because
a wide variety of high-purity organometallic liquids, gases or solids that are
convenient to handle are available and high quality coatings of controlled
thickness and with the desired electrical properties can be obtained at low
processing temperatures. However, in specific cases high purity OMs are

82
CHEMICAL PROCESSES USED IN CVD

not available, chemical hazards are increased due to high pyrophoricity


of these compounds, coatings may be contaminated with carbon, and reac-
tions take place under non-equilibrium conditions.

3.2.2 Plasma-Promoted Reactions

Plasma-promoted reactions are those reactions in which an electrodeless RF-in-


duced glow-discharge supplies most of the energy which initiates the reaction
and ensures a suitable rate of deposition [312—339]. Highly reactive chemical
species are generated from gaseous reactants by using an RF glow discharge
and interact, forming a solid film product and volatile gaseous by-products.
Glow or cold discharge in a special type of luminous electrical discharge
appears as a result of interaction between a gas and an externally applied
electromagnetic field. There are direct current (d.c), low-frequency, high-fre-
quency (radiofrequency) or microwave-frequency discharges. The radio-
frequency (1 MHz) energy is the most used energy to promote thin film de-
position because it enables the electrodeless discharge technique to be applied.
When a suitable gas source is excited by RF glow-discharge energy, the
breaking down of existing bonds occurs and creates positive ions and elec-
trons, as well as excited or non-excited atoms and molecules. Therefore, new
molecules can be formed by breaking down existing bonds and forming new
bonds using complex interaction of free radicals, metastable ions or transient
neutral species. The process is characterized by the non-equilibrium state of
the plasma, namely the temperature of molecules, atoms or radicals is only
a few hundreds of degrees whereas the electron temperature is two orders
higher.
The interaction between molecules and these high-energy electrons leads
to the occurrence of reactive species that normally would be formed only
at high temperature. It turns out that high temperature reactions unusable
in many situations can be conveniently realized at low temperatures. Other-
wise impossible reactions can be performed. Generally, plasma film deposition
uses the same vapour sources as those encountered in normal pressure CVD,
the difference being that the decomposition takes place mainly by means
of the discharge aided, in some cases, by substrate heating.
Plasma-promoted reactions have made it possible to obtain semiconduc-
tors, insulators, organic polymers and transparent and conductive films
that are usually amorphous materials (Table 3.3). A list of applications of
plasma-deposited films includes the production of amorphous Si and other
semiconductor films for cheap solar cells, films for optical waveguide fibres,
optical antireflective coatings for solar cells and photothermal absorbers,
as well as dielectric, insulator, diffusion mask or photolithographic mask
coating used in the technology and manufacture of integrated circuits. Hy-
drides and halides are the most common and convenient gaseous (or, at least,
volatile) reactants for PECVD, but halides are not extensively used because
of the corrosiveness of some of the species generated during the discharge.

83
FUNDAMENTALS

T A B L E 3.3

Thin Films Prepared by Using PECVD Reactions

No. Film Reactant References

Ö 1 2 3

1 epi-Si SiH 4 (SiH 2 Cl 2 ) - B 2 H 6 ( P H 3 ) - H a ( N a ) [206, 312, 2391-2397]


2 epi-Ge GeH 4 [2530]
3 epi-SiC SiH 4 — C 3 H 8—H2 [2572, 2573]
4 epi-GaAs Ga(CH3)3-AsH3 [313, 314, 2775-2777]
5 poly-Si SiH 4 (SiH 2 Cl 2 ) - B 2 H 6 ( P H 3 ) [206, 3674-3676]
6 SIPOS SiH4-N20 [206, 4117]
7 poly-GaN Ga(CH3)-NH3 [315, 316]
8 ZnO Zn(C2H5)2-02(C02) [3252, 3253]
9 ZnSe DEZn-H2Se-H2 [3297, 3298]
10 a-Si SiH 4 (SiH 2 Cl 2 )-B 2 H 6 (PH 3 ) [206,220,221, 3829-3852]
SiF 4 (Si 2 F e , S i H 2 F 2 ) - B 2 H 6 ( P H 3 ) - H 2 [3853-3867]
SiCl 4 (SiH 2 Cl 2 )-H 2 [3868-3872]
11 a-Ge GeH 4 [319, 3990-3997]
12 a-SiC SiH4-C2H4(CH4) [322, 4006-4022]
13 a-GeC GeH4-C2H2(CH4) [4002, 4003, 4006]
14 a-Si —Ge SiH4-GeH4 [4000, 4001]
15 a-Si-Ge-B SiH4-GeH4-B2H6 [4004, 4005]
16 a-B ^Hg—H2 [3965, 3966]
17 a-C C 2 H 2 (C 4 H 10 , C 6 H 6 ) [3967-3989]
18 a-P PH3 [214, 4023]
19 a-As AsH 3 [321, 3996, 4024]
20 a-Si-B SiH 4 — B 2 H 6 [3998, 3999]
21 a-GaP Ga(CH3)3-PH3 [315]
22 a-GeSe GeCl 4 ~Se 2 Cl 2 [4029]
23 SiO a S i H 4 ( S i H 2 C l 2 ) - N 2 0 ( C 0 2 ; 02) [206, 326, 327, 329, 330,
4080-4087]
SiCl4-02 [4653, 5055]
Si(OC2H5)4-02 [323-325]
24 BSG SiH 4 — B 2 H 6 — 0 2 [206]
25 PSG SlH4-PH3-02 [206, 4141]
SiH4-PH3-N20 [4127, 4139, 4130]
26 AsSG SiH4-AsH3-02 [206]
27 BPSG SiH 4 - N 2 0 ( 0 2 ) - B 2 H 6 - P H 3 [4139, 4183, 4187]
28 A1 2 0 3 TMA-N20 [4225]
Al(C2H5)3-Oa [206]
AlCl3-02 [4224]
29 Si 3 N 4 SiH4(SiH2Cl2)-NH3 [206, 3 2 9 - 3 3 6 , 2277, 4081,
4318, 4322, 4323, 4326,
4334, 4343, 4346]
SiH4-N2 [4318-4320, 4329-4338]
SiBr4-N2 [210]
Sil4-N2 [328]
SiF4(SiF2)-N2-H2 [4339, 4330]
30 Si ic 0 1/ N 2 SiH4-N20-NH3 [213, 4418-4426]
31 A1N A1C13-N2-H2 [2842, 2843]
32 GaN Ga-HCl-NH3-N2 [2868]
33 BN BBr3-NH3; [217, 2796-2799]
B2H6-NH3
34 Ge02 Ge(OC2H5)4-02 [4265]
GeCl4-02 [4653]
35 AlP^Oy TMA-PH3-02 [4266]

84
CHEMICAL PROCESSES USED I N CVD

T A B L E 3.3 (continued)

0 1 2 4

36 ΙηΡ^Ο,, TEI-PH3-02 [4267]


37 Polystyrene styrene [4455, 4456]
38 Poly-paraxilylene />-xylene [4454]
(parylene)
39 Polyvinylidene- 1,1-difluoroethylene [4457]
fluoride
40 Polymethylsiloxane methylsiloxane [4459-4468]
41 W WF6-H2 [206, 217, 339, 4 5 1 5 - 4 5 1 7 ,
4541, 4542]
42 Mo Mo(CO) 5 ; M o F 6 - H 2 [217, 339, 4541, 4542]
43 Ni Ni(CO) 4 [339]
44 Zn DEZ [4612]
45 Sn02 SnCl4-02 [206, 4645, 4653]
Sn(CH)4-02 [338, 4645]
Sn(C 4 H 9 ) 2 (CH 3 COO) 2 -0 2 [4265]
46 ln203 TMIn-02 [4653]
47 B203 B(OC2H5)3-02 [4265]
48 S i 0 2 - G e 0 2 - B 2 0 3 - SiCl4 - GeCl 4 - BC13 - POCl 3 - 0 2 [5055]
-P2O5
49 1T i 0 2 TiCl4-Oa [217, 339, 4254, 4653]
Ti(OC3H7)4-02 [4265]
TiCl4-C02 [339]
50 Fe203 Fe(CO) 5 [4265]
51 Mo03 Mo(CO)6-02 [4719]
52 WO, W(CO)6[WF6]-02 [4719]
53 P3N5 PH3-N2 [337]
54 MoSi 2 MoCl5-SiH4-H2 [217, 4541, 4542, 4740)
55 TaSi 2 TaCl5-SiH4-H2 [4542]
56 TiSi 2 TiCl4-SiH4-H2 [4542, 4734, 4737]
51 WSi 2 WF6-SiH4-H2 [217, 4541, 4542, 4750]
58 TiC TiCl4-CH4 [215]
59 BCN ^2^6 —CH4 —H2 [4712]
60 TiCN TiCl4-CH4-NH3 [4710]
61 TiB 2 TiCl4-B2H6(BCl3)-H2 [4689]

Chemical reactions promoted by an electric discharge through suitable


reactive gases are advantageous firstly because they are performed at low
substrate temperature, thus avoiding degradation of the substrate or the
device structure. These reactions are less efficient, unreproducible and often
lead to films that are nonstoichiometric, contaminated, nonhomogeneous,
radiation-damaged or even non-uniform (over a large area).
In the following, examples are given of plasma reactions for depositing
silicon nitride — the most used plasma deposition process — and other films
(Si02, Si, SiC, ZnO). Films obtained from hydrides contain an appreciable
H content, those from halides contain halogen, and those from halohy-
dride contain both H and X. Films obtained from OMs may also contain
undesired impurities (C, O, etc.):
3SiH4 + 4NH3 ► S i ^ H , + *H 2 (3.173)
3SiH4 + 2N2 > Si,N,Hf + *H 2 (3.174)

85
FUNDAMENTALS

3SiI 4 + 4N ► Si3N4 + 6I2 (3.175)


SiH4 + 2N 2 0 ► Si0 2 + 2N2 + 2H2 (3.176)
SiCl4 + 0 2 ► Si0 2 + 2C12 (3.177)
SiH4 ► Si + 2H2 (3.178)
SiH4 + CF4 > SiC + 4HF (3.179)
2SiH4 + C2H2 ► 2SiC + 5H2 (3.180)
SiH4 + CH4 > SiC + 4H2 (3.181)
P laS
Zn(C2H5)2 + C0 2 15 0 . 3To°c *Zn0 + C0
+ C
A (3.182)

3.2.3 UV-Radiation-Enhanced Reactions

Photon-promoted (photolytic) reactions are those reactions which are trig-


gered and sustained by ultraviolet radiation of a specific wavelength [340—
373].
Photolytic reactions can be obtained either directly by using UV excitation
of the reactant gases, or indirectly by exciting mercury vapour atoms, which
subsequently transmit their energy to the reactant gases. Photon-promoted
reactions (Table 3.4) are used especially for depositing Si0 2 and Si3N4 films
at 50-200°C:
SiH4 + 2N 2 0 - £ - * Si0 2 + 2N2 + 2H2 (3.183)

3SiH4 + 4NH3 - | U Si3N4 + 12H2 (3.184)

3SiH4 + 2N2H4 - ^ Si3N4 + 10H2 (3.185)


Using UV radiation of a high enough energy, or vacuum UV radiation,
the above reactions can be performed directly. Other direct photolysis reac-
tions are hydrogen reduction of disilicon hexachloride (Si2Cle), as well as
decomposition of metallo-organic compounds:
Si 2 Cl 6 + 3H2 - ^ » 2Si + 6HC1 (3.186)

A1(CH3)3 + - ^ ^ U Al + C3H8 + 1/2H2 (3.187)


Photochemical reactions provide the ability to deposit films at very low
temperatures. These films (especially Si0 2 and Si3N4) have excellent charac-
teristics. Photochemical reactions are applied to surface passivation of mono-
lithic ICs, hybrid ICs, optoelectronic and microwave devices; dielectric or
insulating layers for MOS devices and multilevel interconnection of LSI/VLSI
devices; masking for ion implantation, oxidation, impurity diffusion, etc.;
antireflective coatings for optoelectronic devices; and photomask planariza-
tion.

86
CHEMICAL PROCESSES USED IN CVD

T A B L E 3.4

Thin Films Prepared by Using UV-Radiation-Promoted Reactions

No. Film Reactants References

1 epi-Si SiCl4-H2 [341]


Si2Cl6— H 2 [340]
SiH 2 Cl 2 —H 2 [359]
Si 2 H e —H 2 [358, 360]
SiH 2 F 2 —H 2 [360]
2 a-Si SiH4-B2H6(PH3) [345, 362, 363]
Si2H6(Si3H8)-B2H6(PH3) [344, 3 4 6 - 3 4 8 , 361, 364]
3 a-SiC Si 2 H 6 -C 2 H 2 [Si(CH 3 )2H2] - B 2 H 6 [347, 365]
4 a-Si-Ge SiF4-GeF4-H2 [4001]
5 GaAs TMGa(TEGa)--AsH 3 [366, 367]
6 ZnSe DMZn-H2Se-H2 [343]
7 CdTe DMCd-DETe-H2 [371, 372]
8 HgTe Hg-DETe-H2 [370, 372]
9 CdHgTe DMCd-Hg-H2 [342, 3 7 0 - 3 7 2 ]
10 SiO a SiH4-N20; [222, 349, 340, 2278]
Si 2 H 6 — 0 2 [368]
11 PSG SiH4-N20-PH3 [222]
SiH4-02-PH3
12 Si 3 N 4 SiH4-N2H4 [351, 252]
SiH4-NH3 [369, 2278]
13 Si^OyNz SiH4-N20-NH3 [222, 353]
14 Polybutadiene butadiene [4442]
15 Polymethyl- methylmethacrylate [4442]
methacrylate
16 Polyacrolein acrolein [4442]
17 Polydivinylbenzene divinylbenzene [4442]
18 Al TMA [354]
19 Cd DMCd [355]
20 Cu Cu hexafluoropentanedionate [356]
21 Fe Fe(CO) 5 [357]
22 1 Sn |T M T - H 2 1 [373]

3.2.4 Laser-Promoted Reactions

Laser-promoted chemical reactions are those reactions activated thermally


(laser pyrolysis) or photolytically (laser photolysis) by means of a visible
(or IR) or a UV (or IR) laser, respectively [374—451].
Investigations have been performed on laser pyrolysis at visible wave-
lengths for the deposition of C from C2H2, C2H4 and CH4, Si from SiH4, Cd
from Cd(CH3)2, Ni from Ni(CO)4 and so on. Similar investigations on laser
photolysis using a UV light have included deposition of refractory metals
(chromium, molybdenum and tungsten), starting from their respective hexa-
carbonyls, and deposition of metals (Cd, Zn, Bi, or Al) or semiconductors
(B, Ge) from their alkyls (Mn(CH3)m). Similarly, infrared laser photolysis
leads to Si deposition from SiH4.

87
FUNDAMENTALS

Laser pyrolysis or photolysis allows localized deposition of various metals


at a high rate. Therefore, it may have a broad range of applications to direct
production of interconnects, ohmic contacts or coatings as required by micro-
structures used in semiconductor technology. A list of laser-enhanced reactions
investigated to date is given in Table 3.5.

TABLE 3.5
Thin Films Prepared by Using Laser-Assisted Reactions (LCVD) [233, 235]

No. Film Reactants Laser Substrate References

0 1 2 | 3 4 5

1 epi-Si SiH 4 KrF Si [405, 406]


2 epi-Ge GeH 4 Ge [406]
3 epi-Ga.As Cl 8 GaAs(C 6 H 5 ) 3 ; KrF, KrCl, GaAs, Si [383, 384, 4 1 7 - 4 2 1 ,
Ga(CH3)3-AsH3 XeF, XeCl 5338]
4 epi-lnP TMIn-TMP; ArF quartz, [385, 422]
(CH 3 ) 3 In:P(CH 3 ) 3 -P(CH 3 ) 3 GaAs, InP
5 GaAsP TMGa-AsH3-PH3 [421]
6 ZnO DMZn-NaO [423]
7 HgCdTe DMHg - DMCd - DMTe ArF CdTe [386, 424]
8 poly-Si SiH 4 ; cw C0 2 , Ar+, glass [374-378, 407]
SiCl 4 KrF
9 poly-Ge GeH 4 ; fr. doubled quartz [375, 392]
Ge(CH 3 ) 4 Ar+
10 a-Si SiH4-H2; Si2H6-He cw C 0 2 BSG, Al, Si [ 3 7 9 - 3 8 2 , 4 0 8 -
-415]
11 a-B B(CH 3 ) 3 fr. doubled quartz [392]
Ar+, ArF
12 a-C C 2 H 2 —H 2 Ar+
glass [374, 401, 402, 449]
13 Si02 SiH4-N20 Si
ArF [387, 425]
14 A1 2 0 3 TMA—N 2 0 Si
KrF, ArF [388, 389]
15 Si 3 N 4 SiH4-NH3 Si [233]
16 SixOyNz SiH4—N20-NH3 Si [233]
17 A\xOyNg TMA—NH3-02 ArF Si [426]
18 PMMA MMA(methylmethacrylate) Ar+ Si, SiO a , Al [428]
19 Al A1(CH 3 ) 3 ; A1I 3 ; TIBA fr. doubled Si, quartz [388, 3 9 0 - 3 9 2 ,
Ar+ KrF,ArF 429-431]
20 Au Me 2 Au(acac) XeCl, ArF, [432, 433]
KrF
21 Bi Bi(CH 3 ) 3 fr. doubled quartz [392]
Ar+, ArF
22 Cd Cd(CH 3 ) 2 fr. doubled quartz [392, 398, 4 3 4 - 4 3 7 }
Ar+, ArF,
cw Kr+, cw
Ar+
23 Cr Cr(CO) e ; Ar+, Cu+ Si, quartz, [393, 394, 438, 440,
Cr(C e H e ) 2 ; glass 450]
Cr0 2 Cl 2
24 Cu Cu hexafluoropentanedio- fr. doubled [356, 4572]
nate Ar+,ArF,KrF
25 Fe Fe(CO) 5 fr. doubled Pyrex, Si [396, 397,441]
Ar+
26 Ga Ga(CH 3 ) 3 [233]
27 In In(CH 3 ) 3 ; [3911
In monoiodate

88
CHEMICAL P R O C E S S E S USED I N CVD

TABLE 3.5 (continued)

0 1 2 3 4 5

28 Mo Mo(CO) 6 ; Ar+, Cr+ glass [440, 442]


MoF 6 [233]
Mo(C 6 H 6 ) 6 [450]
29 Ni Ni(CO) 6 cw C 0 2 , quartz [443-445]
cw Kr+
30 P t Pt(PF3)4 [233]
31 Sn Sn(CH 3 ) 4 [398]
32 Ti TiCl 4 fr. doubled LiNb03 [399]
Ar+
33 Tl Tl iodide [391]
34 w W(CO) 6 ; ArF, fr. dou- Si, S i 0 2 / Si, [ 3 9 3 - 3 9 7 , 440,
WF6 bled Ar-»- Cu+ Pyrex 446, 447]
35 Zn Zn(CH 3 ) 2 fr. doubled quartz [392, 400]
Ar+, A r F
36 l n 2 0 3 (CH3)3In:P(CH3)3- ArF quartz, [385]
-P(CH3)3-02(H20) GaAs, I n P
37 Cr 2 0 3 , CrO a Cr02Cl2-02-H2 Ar+ [438]
38 GeO a — S i 0 2 GeH4-SiH4-N20 ArF [451]
39 Ti02 TiCl4-C02-H2 cw C 0 2 quartz [443]
40 SiC SiH4-CH4 SiC [374, 416]
41 TiC TiCl4-CH4 cw C 0 2 stainless [443]
steel
42 PN X PH3-NH3 [427]
43 TiSi 2 TiCl4-SiH4 cw C0 2 , A r F [403, 404]

3.2.5 Electron-Beam-Promoted Reactions

Electron-beam-promoted reactions are chemical processes occurring in a plasma


generated by high energy electrons passing through suitable reactive gases
[223—231]. These reactions have been performed, for example, for obtaining
dielectric layers of Si0 2 [223] and Si3N4 [224, 225]:
e-beam
SiH4 + 2N 2 0 350.c > Si0 2 + 2H2 + 2N2 (3.188)

3SiH4 + 4NH3 - £ — · Si3N4 + 12H2 (3.189)


Electron-beam plasma reactions (Table 3.6) are also used to deposit polymeric
and metallic films by means of monomer polymerization and OM decomposi-
tion, respectively. These reactions allow the low temperature deposition of
films having a certain pattern. The main applications in semiconductor
technology of electron-beam deposited Si0 2 and Si3N4 may be to cover pattern-
ed aluminium and polysilicon steps conformally.


FUNDAMENTALS

TABLE 3.6
Thin Films Prepared by Using EBCVD and IBCVD Reactions

No. Film Reactants References

EBCVD
1 Si SiH 4 [227]
.2 SiO a SiH4-N20 [223, 225]
3 Si 3 N 4 SiH4-NH3 [224, 225]
4 Polystyrene styrene [4442]
5 Polybutadiene butadiene [4442]
6 Polymethylmethacrylate methylmethacrylate [4442]
7 Polydivinylbenzene divinylbenzene [4442]
8 Epoxy polymers epoxy resin [4442]
9 Polysilicone silicone oil [4442]
10 Fe Fe(CO) 5 [229, 230]
11 Pb Pb(CH 3 ) 4 [228, 4587]
12 W WF, [231]
13 C( diamond) CH 4 —H a [226]
IBCVD
14 Al TMA [23η
15 An (C 7 H 7 F,O a )Au [236]
16 W WF, [237]
17 c CH 4 , C 2 H 2 [236]
4
Thermodynamics of CVD

4.1 General Remarks


A thermodynamic analysis should be the first step in considering any CVD
system. Equilibrium thermodynamic calculations not only lead to a better
understanding of CVD chemical processes, but also allow optimizing the
experimental variables for preparing a desired product. In general, theo-
retical investigations of CVD systems by means of chemical thermodynamics
are particularly important in practical applications as they allow the pre-
diction of the behaviour of the process under various experimental con-
ditions. Therefore, thermodynamic analysis has been successfully employed
in the study of various systems for the CVD of semiconductors, dielectrics,
conductors and magnetics which are of importance for solid state techno-
logy [452, 453].
A chemical thermodynamic study of CVD will provide both qualitative
and quantitative data about the process. Indeed, under given experimental
conditions (starting concentrations of reactants, system temperature and
pressure) it is possible to predict theoretically both the feasibility of the
process and the nature (as well as the amount) of the solid and gaseous species.
However, investigation of a CVD reaction by chemical thermodynamics
have two important limitations: the inability to determine the exact deposi-
tion rate of the CVD process, this being determined primarily by system
chemical kinetics; applicability only in chemical equilibrium conditions,
whereas the vast majority of practical CVD processes proceed in non-equi-
librium conditions. Furthermore, the accuracy of data obtained about the
optimum conditions to deposit a thin solid film can be affected by uncer-
tainties of thermodynamic data, the departure from equilibrium—especially
in open flow reactors or when producing amorphous materials, and the exist-
ence of non-stoichiometric phases.
The application of thermodynamics to many CVD reactions leading to
the deposition of a single solid phase has been reviewed in several publica-
tions. This chapter illustrates the main uses of thermochemical calculations
in understanding and developing the CVD of thin solid films from both simple
and complex chemical reactions.

91
FUNDAMENTALS

4.2 Feasibility of a CVD Process

Before considering the use of a CVD reaction, it must first be determined if


the reaction is thermodynamically possible. This can be performed, based on
reliable thermodynamic data [454—459], in two ways: (1) the reaction is
more favoured when the Gibbs free energy change exhibits greater (negative)
values; (2) the reaction is possible if the calculated concentrations (partial
pressures) of the reactants under conditions of chemical equilibrium are less
than their specified initial concentrations.
For chemical reactions occurring at constant T and P, the sign of the
Gibbs free energy of reaction AGR determines whether the reaction is thermo-
dynamically feasible. A negative AGR indicates that the reaction may occur,
while a positive AGR indicates that the reaction should not occur. If several
possible reactions are all thermodynamically feasible, the reaction with
the most negative AGR would be expected to dominate, since it leads to the
most stable products. However, since equilibrium thermodynamics neglects
the kinetics of the reactions, the calculations do not always reliably predict
which reaction will predominate in a given situation.
The Gibbs free energy of a reaction is related to the enthalpy, AHR, and
entropy, ASR, of the reaction and to the absolute temperature by using the
equation:
AGR = AHR - TASR (4.1)
Values for AHR can readily be calculated from published values of the enthal-
pies of formation AH{ using the equation:
AHR = ΣΑΗ£ (products) - ΣΔ# £ (reactants) (4.2)
The standard heat of formation, i.e. AH® at 25°C, is by convention equal
to zero for all elements. Similarly, values for ASR can be calculated, values of
AS{ being tabulated for nearly all the substances and temperatures encoun-
tered in CVD processes. Thus, the free energy of a particular chemical reaction
AGR can be calculated as follows:
AGR = ΣΑ&ί (products) - ZAGf (reactants) (4.3)
From changes in the Gibbs free energy it is possible to calculate the
equilibrium constant KT of the reaction, which is related to the partial pres
sures (Pf) in the system:
log KT = - ^L· (4.4)
4.6 T
n
J[ Pi(products)
KT = *— (4.5)
12 P^reactants)
i= l
In the above equations, it is convenient to use the quantities AG°, which are
the values of AG when all reactants and products are in their standard states.

92
THERMODYNAMICS OF CVD

The value of the equilibrium constant of a reaction at a temperature T (KT)


can constitute a criterion for predicting the feasibility of a CVD process:
the thermodynairiically more favoured reactions will have higher equilibrium
constants.
Further, we present a simple thermodynamic evaluation of the feasi-
bility of the deposition of silicon nitride and silicon oxynitride layers, using
SiH 2 Cl 2 as the starting material.
The Si3N4 layers may be obtained from SiH 2 Cl 2 through its reaction
with NIL or N 9 :

3SiH 2 Cl 2 + 2N 2 Si 3 N 4 + 6HC1 (4.6)


3SiH 2 Cl 2 + 4NH 3 = Si3N4 + 6HC1 + 6H 2 (4.7)
Variations with temperature in the Gibbs free-energy changes for both these
deposition reactions, calculated from existing thermochemical data, are
given in Fig. 4.1. Within the usual temperature range (1000—1400 K), both
reactions are thermodynamically possible, but it should be noted that the
reaction with NH 3 is more favoured than that with N 2 , i.e. the Gibbs free
energy change for the SiH 2 Cl 2 — NH 3 systems exhibits greater (negative)
values.
A similar thermodynamic study for forming thin films of silicon oxy-
nitride (mixtures of Si 3 N 4 and Si0 2 ), by the concomitant oxidation (with
0 2 , N 2 0 and C0 2 ) and nitridation of SiH 2 Cl 2 , has been carried out in the same
temperature range by calculating the equilibrium constants from the changes
in Gibbs free energy according to the relation (4.4). The thermodynamically
more favoured reactions will present higher equilibrium constants. The plots
(Fig. 4.2) show that the oxidation reactions with 0 2 and N 2 0 are thermo-
dynamically more favoured than the nitridation reactions. In contrast, for

Degrees (Kl
1000 800700 600 500

^Nfi^aighMg)

-tta^co^^V
900 1100 1300 1500 20 Λ
-+- Temperature (KJ »~ Heverse absolute temperature 1IT-10

Fig. 4.1 Variations with temperature in Fig. 4.2 Variations with temperature in the equi"
the Gibbs free-energy changes for the librium constants for the oxidation and nitridation
formation of Si 3 N 4 from SiH 2 Cl 2 and of SiH 2 Cl 2 (after Morosanu and Segal [488]; re-
N H 3 or N 2 (after Morosanu and Segal printed with permission from T H I N SOLID
[488]; reprinted with permission from F I L M S , © 1982 Elsevier Sequoia S.A.).
T H I N SOLID F I L M S , (g) 1982 Elsevier
Sequoia S.A.).

93
FUNDAMENTALS

oxidation with C0 2 , the nitridation is more favoured than the oxidation.


Thus, for the deposition of the Si 3 N 4 —-Si0 2 mixture it is necessary to use the
correct ratio of the concentration of the oxidant and NH 3 . When 0 2 (or
N 2 0) is used as the oxidizing agent, this ratio has to be much less than unity
and when C 0 2 is used, the optimal value is much greater than unity; other-
wise Si0 2 layers or almost pure Si 3 N 4 layers, respectively, will be obtained
instead of the desired oxynitride layers.
In many cases, when values for entropies of formation (ASf) are not
tabulated (and these values are generally less reliable than the AH{ values),
the feasibility of a CVD process can be predicted by using only the values
of enthalpies of formation. Indeed, from the Van't Hoff expression
dln£/dT= Δ#κ/Γ2 (4.8)

we can see that if AHR > 0, the deposition reaction is endothermic and pro-
ceeds from left to right with increasing temperature. If AH < 0 , the deposi-
tion reaction is exothermic and deposition takes place with decreasing t e m -
perature. An example of an endothermic reaction is the hydrogen reduction
of SiCl4, which will take place on a heated substrate in a cold wall reactor:
SiCl4 + 2H 2 = Si + 4HC1 (4.9)

On the contrary, an exothermic reaction such as the GaAs formation reaction


must take place in a hot wall reactor; moreover GaCl, which is unstable at
room temperature, has to be generated in situ at high temperature by reacting
a volatile halide with liquid gallium, or by reacting solid GaAs with HC1:

4GaCl(g) + As4(g) + 2H 2 (g) * = ± 4GaAs(s) + 4HCl(g) (4.10)

In general, there are numerous gas and solid compounds in a CVD


system. Usually, CVD systems contain only two phases — the vapour and
the solid—the number of constituents varying from two in the Si—H system
(e.g. the deposition of Si from SiH 4 ) to five in the In— Ga—As—H—Cl
system (e.g. the deposition of I n ^ G a ^ A s via the hydride method). In some
cases, more than one condensed phase is present, as for example in the case
of Si-rich Si 3 N 4 or Si0 2 films. In such multicomponent and multiphase
systems, the results of equilibrium calculations can be conveniently expressed
as CVD phase diagrams which obey the Gibbs phase rule:

F = G—P + 2 (4.11)

where F is the number of degrees of freedom, C is the number of compo-


nents, and P is the number of phases at equilibrium. These diagrams provide
phase stability data, the feasibility of obtaining a desired phase, as well as
equilibrium yields.

94
THERMODYNAMICS OF CVD

4.3 Techniques for Equilibrium Calculation in CVD Systems *

There are two ways of calculating thermodynamic equilibrium (i.e. the


equilibrium partial pressures of the system species) in multicomponent CVD
systems: the non-linear equation method [460] and the free energy minimi-
zation method [461—467].
Non-linear equation method. The non-linear equation method is based on
solving a set of independent equations expressing quantitative relations
between the partial pressures of the present species whose number should
be equal to the number of all gaseous species in the system. Usually, these
relations are expressions giving (1) the equilibrium constants for the assumed
chemical reactions in terms of the partial pressures of the respective species; (2)
the value of the system pressure ( = 1 atm) as the sum of all partial pressures ;
and (3) a composition variable (namely the ratio of two gaseous constituents
of the systems, the value of which is determined by the composition of the
reactants-diluent gas input mixture), as a function of the partial pressures
of the corresponding species. From the equilibrium partial pressures obtained
at various temperatures and for various composition variables, the amount
of solid deposit can be determined, which is given by the difference between
the input and vapour phase equilibrium amount of solid film constituent.
This method of calculation has been applied to many CVD systems [468—489] ,„
such as the Si—Cl—H system [468—474]. However, the solutions of non-
linear equations obtained based mainly on equilibrium constants for domi-
nant reaction equilibria at the bulk composition of interest cannot be generaliz-
ed to be applicable to different systems or to different bulk compositions
within the same system.
Equilibrium thermodynamical calculations require the assumption
of all vapour phase species existing at specified conditions in a CVD system.
This assumption, which is usually based only on known similar systems or
thermodynamic data, has proved to be incorrect in many cases. For this rea-
son, the gas phase composition of a CVD system has been evaluated by direct
reliable experimental analysis using the following methods: mass spectro-
metry, I R absorption spectroscopy, gas chromatography, and Raman spec-
troscopy, which will be discussed in Section 5.5. The results obtained by
using mass spectrometric analysis of CVD elemental and compound semi-
conductor films are in some cases contrary to expectations: the absence of
GaCl 3 or InCl 3 higher chlorides and the presence of As^P y or As^Sbj, mixed
species.
Further, the application of the non-linear equation method for the Si—H —
Cl—N—Ar system (i.e. the deposition of Si 3 N 4 by ammonolysis of dichloro-
silane) is given as an example [488].
The application of thermodynamic calculations to the SiH 2 Cl 2 —NH 3 —
Ar system permits the prediction of the gas phase composition, the expected
deposition yield and the efficiency of Si 3 N 4 deposition under various experi-
mental conditions (temperature, partial pressures of the components, etc.).

* Portions of this subsection have been reprinted after Morosanu a n d Segal [488]
from T H I N SOLID FILMS with permission of the publisher, Else vier Sequoia S.A.

9S
FUNDAMENTALS

The SiH2Cl2—NH3—Ar system is analysed thermodynamically by


calculating the equilibrium concentrations (partial pressures) of the reactants
from the equilibrium constants of the reactions involved. It is necessary to
limit the number of possible gaseous species and to consider only their reac-
tions for which expressions of the equilibrium constants as functions of the
change in Gibbs free energy and the temperature are established. Another
expression that reveals the composition of the system is the ratio of the
number of chlorine atoms to the number of argon atoms. This ratio is a
constant, since neither chlorine atoms nor argon atoms are added to or taken
away from the system, and its value is determined by the partial pressure
of SiH2Cl2 in the mixture initially introduced into the reactor. Finally, another
relation shows that the total pressure in the system, equal to the sum of the
partial pressures of all the components, is 1 atm. The calculations finally give
the overall ranges of the deposition parameters (temperature, pressure
and initial concentration of reactants) within which the reaction is feasible.
For the SiH2Cl2—NH3—Ar system, the main gaseous species involved
are SiH2Cl2, SiCl2, HC1, H2, NH3, and Ar. Other possible species, such as
SiH4, Si2Cl6, and SiClH3, are present only in negligible amounts. Moreover,
because of the relatively low concentration of chlorine atoms in the reaction
mixture, the presence of SiCl4 and SiHCl3 may also be neglected.
In order to calculate the partial equilibrium pressures of the six species
mentioned, a set of six independent equations must be established to express
the relations between the partial pressures of these species. Four equations
are obtained from the equilibrium constants for reactions between various
molecular species, the fifth from the chlorine-to-argon ratio and the sixth
arises from the fact that the total pressure in the system is 1 atm:
Si(s) + 2HCl(g) ö SiH2Cl2(g) (4.12)
PsiH c
K1= * 's (4.13)
-PHCI 2
Si(s) + 2HCl(g) <=± SiCl2(g) + H2(g) (4.14)
■PSJCI 2 PH 2
K9 = (4.15)
■PHCI 2

Si3N4(s) + 6HCl(g) + 6H2(g) <=* 3SiH2Cl2(g) + 4NH3(g) (4.16)


2
tr _ -PsiH 2 Cl a -PNH34
3 6
(4.17)
PHci iW
Si3N *(s) + 6H 2 (g) « = * 3Si(s) + 4NHj,(g) (4.18)
PNH 3 4
(4.19)

C l _ 2PsiH2ci2 + 2PSici2 + PHCI


(4.20)
Ar~~ ^Ar

PsiH 2 ci 2 + Psici 2 + -PHCI + P N H 3 + P H 2 + P A r = 1 (4.21)

96
THERMODYNAMICS OF CVD

Fig. 4.3 Gibbs free-energy changes for the formation of


SiH 2 Cl 2 , SiCl2 and Si 3 N 4 in the S i H 2 C l 2 - N H 3 — Ar sys-
tem in the temperature interval 800—1600 K (after Moro-
sanu and Segal [488]; reprinted with permission from T H I N
SOLID FILMS, © 1982 Elsevier Sequoia S.A.).

-200-
L00 609 800 WO WO 1600
*» Temperature (KJ

The equilibrium constants Kv K2, Kz, and X"4 for various temperatures
may be determined from diagram of the Gibbs free-energy changes for the
four reactions in the temperature range of interest (Fig. 4.3). The free energy
changes for the reactions have been calculated from previously reported
thermochemical data.
When the equilibrium constants at a given temperature (usually in the
range 1000 — 1200 K) are calculated and it is taken into account that the chlo-
rine-to-argon ratio is usually 10""3, 10~2, 10_1 or 1, the partial equilibrium
pressures of all six species can be determined by solving the system of six
equations with six unknowns.
The partial equilibrium pressures may also be used to evaluate the rate
of Si3N4 production. For this purpose the following relations, which
express the law of mass conservation of silicon within the system, will
be used:
MF
<Zsi3N4 = -i-— (PL· - Pffl) (4.22)
3i?r n
*Si 3 N 4 d's
S13N4
7si3N4 9
(4.23)
6 x 10 M MS
MF_
^si3N4 = ^-22 X 107 (P°si - Psi)
iRTr
- 6 . 1 x 107P (P°si - Psl) (4.24)
-1
where: isi3N4 is the rate of deposition of Si 3 N 4 (g s" ); <#si3N4 is the
rate of deposition of Si 3 N 4 (Ä min* 1 ); M is the molecular weight of Si3N4
(140); R is the gas constant (0.0821 a t m K" 1 mole" 1 ); .F is the flow rate (1 s" 1 );
T0 is the absolute temperature (300 K ) ; Ph is the hypothetical partial
pressure of silicon at the reactor inlet ( a t m ) ; P s i is the hypothetical partial
pressure of silicon at equilibrium; /si3N4 is the flux of material toward
the interface (mole cm - " s" 1 ); and 5 is the substrate surface area (cm 2 ). P |
and P,sl are given b y the relations:
Psi = PsiH2Cl2 + PsiCl2 (4.25)
Psi = PsiH2Cl2 (4.26)

97
FUNDAMENTALS

The thermodynamic calculations may also be used to determine the


theoretical efficiency of the deposition process. The efficiency η is defined
by the relation:
[si, -[sq. = ! _Igri £ _ >
[Si], [Si],
where [Si], and [Si] e are given b y :
[Si], = P°siH2c,2 (4.28)
[Si]. = PSiH2ci2 + Psi.ci2 (4.29)
Comparison between the calculated deposition rates and efficiences of Si 3 N 4
and those determined experimentally shows good agreement between the
two sets of values. The measured and calculated deposition rates were of the
same order of magnitude, although the experimental rates were generally
lower than the theoretical rates. This indicates the probable existence of
some complex intermediates in the reaction. Some difference between the calcu-
lation and the experiments is also expected because the Si 3 N 4 deposit is
always silicon rich and, particularly at low temperatures, tends to be amor-
phous. The non-stoichiometry or the amorphous structure increases the free
energy of the Si 3 N 4 phase.
Free energy minimization method. It is known that equilibrium is reached
when the Gibbs free energy reaches a minimum. At equilibrium the composi-
tion of the system is characterized by the equilibrium concentrations of
reactants and products. I t turns out that for calculating an equilibrium
composition, i.e. the non-negative set of mole numbers (Nt) which gives
the lowest possible value of the total free energy of the system and satisfies
the mass balance constraints, it is necessary to solve the following expression:
RT l n =
G = £>,μ, =2><Μ + *«)
i i

=
t > ! A + Krln [(j!r) i> ] + §" il '" I4·30)
taking into account the mass balance relations:.
m s
Σ «&»J + £ αΜ= h> U = 1A .... 1) (4.31)
*=1

where G is the Gibbs free energy of the system, n\ and n\ are the mole number
of a gaseous or solid species, respectively, μ^ and μ% are the chemical
potentials of gaseous or solid species, respectively, Nff and P are the total
number of moles in the gas phase and the total pressure, respectively, ai} re-
presents the number of atoms of the / - t h element in a molecule of the i-th spe-

98
THERMODYNAMICS OF CVD

ties, bj is the total number of moles of the element, m is the total number of
elements, and at are the activities which for ideal gaseous species are equal
to the partial pressures pt = | — | P and for pure solids are equal to unity.
The solution of the above equation has been given by Eriksson, who
described a computer program (SOLGAS) for obtaining the equilibrium com-
positions of gaseous and solid phases at given P, T and starting reactant
concentrations.
The method based on minimization of the free energy of a system does
not require any assumptions about reactions which take place in the system.
Starting from the number of molecules (atoms) of each species together with
their free energy of formation, the temperature and pressure, we can compute
the expected deposition yield (and the expected composition of the deposit),
the partial pressures of all the gaseous species at equilibrium with the deposit
and the efficiency of the deposit. This method offer the advantage of solving
linear equations, its solution usually obtained by a computer program being
applicable to any bulk composition in any chemical system. However, the
computer program is relatively complicated. Examples of equilibrium calcu-
lations based on the free energy minimization method are described in the
literature for the deposition of various materials, such as semiconductors
(A1N), dielectrics (Si0 2 , Si 3 N 4 ), some metal compounds (TiC, TiB 2 ), and
superconductors (Nb3Ge) [490—508].
The free energy minimization technique has been applied, for example,
to the same SiH 2 Cl 2 —NH 3 system used for depositing Si 3 N 4 at low pressure
[498]. Chemical equilibrium data for the four component system Si—N—H—Cl
have been computed by minimizing the summation of the free energies of
all conceivable gaseous species (SiH 2 Cl 2 , SiCl 4 , SiCl3, SiCl2, SiHCl 3 , Cl 2 ,
Cl, HC1, HN 3 , N 2 , H 2 , and others) and stable condensed phases (Si3N4, Si3N4 +
Si). The thermodynamic data for these species are taken from the J A N A F
Tables.
Thermodynamic calculations have been used to obtain CVD phase
diagrams, graphs of partial pressure as a function of temperature and of
starting reactant concentrations (input gas composition) for the gaseous
species in equilibrium in the deposition system, and equilibrium efficiency
plots for obtaining the desired Si3N4 deposition. CVD phase diagram shows
t h a t Si 3 N 4 is deposited under most experimental conditions, except for large
silicon contents in the input gases, when Si can codeposit with the nitride
phase. The partial pressures of gaseous species as a function of temperature
and Si/(Si+N) ratio in the input gas show that the main chemical reaction
occurring at equilibrium is:
3SiCl4(g) + 2N2(g) + 6H 2 (g) — * Si3N4(s) + 12HCl(g) (4.32)

4.4 Examples of Thermodynamic Studies of CVD Systems


Thermodynamic studies have been performed so far for the main CVD sys-
tems used to deposit semiconducting, dielectric, conducting, superconduct-
ing and magnetic films at normal or low pressure.

99
FUNDAMENTALS

Chemical equilibria have been investigated either directly by using


instrumental analysis of the vapour phase during CVD processes, or indirectly
by means of calculations (non-linear equation and free energy minimization
techniques) which have been checked by experimental results.
In situ mass spectrometry allowed the equilibrium composition of many
CVD processes to be determined. Chemical systems investigated are: Si—
Cl—H and Si—H (for Si deposition); Ga—Cl—H—N(P, As or Sb) and In —·
Cl—H—P (or As) (for GaN, GaP, GaAs, GaSb, InP, and InAs deposition) ;
G a - C l - H - P - A s , G a - C l - H - A s - S b , G a - I n - C l - H - P , and G a -
in— Cl—H—As (for GaAsP, GaAsSb, InGaP and InGaAs deposition);
and Cd—S and Zn—S (for CdS and ZnS deposition).
Vapour species found are H2, HC1, SiCl2, SiCl2H2, SiCl3H, SiCl4, Si2Cl6
{in the Si—Cl—H system), H 2 , SiH4, and Si2H6 (in the Si—H system),
H 2 , HC1, GaCl, InCl, NH3, PH3, AsH3, N2, P 2 , P 4 , As2, As4, Sb2, Sb4, AsP,
As3P, As2P2, AsP3, AsSb, As3Sb, As2Sb2, and AsSb3 (in systems used to deposit
III—V materials), and Cd, Zn, S2 (in systems used to deposit II—VI mate-
rials) .
Computation of thermodynamic equilibrium compositions by using the
non-linear equation method has been reported for numerous CVD systems,
such as: Si—Cl—H (Si deposition from SiCl4—H2, SiHCl3—H2 or SiH2Cl2—
H2) [468-474]; S i - N - H ( S i 3 N 4 from S i H 4 - N H 3 - H 2 ) [487]; S i - N - H - C l
(Si3N4 from S i C l 4 - N H 3 - H 2 or SiH 2 Cl 2 -.NH 3 -H 2 ) [487, 488]; Ga—In—
H—Cl—As (GaJnj^As) [481-483]; G a - I n - A s - P - H - C l (Gajn^
As^P^) [485, 486]; G a - A s - P - C l - H (GaAs^P* from G a - H C l -
P H 3 - A s H 3 - H 2 ) [477-479]; I n - G a - P - H - C l (In^Ga^P from - I n -
G a - H C l - P H 3 - H 2 ) [480]; G a - A s - C l - H (GaAs from Ga-AsCl 3 -H 2 )
[475]; A l - A s - C l - H (AlAs from A l - H C l - A s H 3 - H 2 ) ; N b - G e ( G a ) -
H - C l (Nb3Ge (Nb3Ga) deposition from NbGe(Ga)-HCl-H 2 ); N i - F e -
Cl—O (NiFe20^ from chlorine chemical transport); and Y—Fe—O—Cl—H
{Y3Fe5 0 12 from F e C l 2 - Y C l 3 - H 2 0 - 0 2 ) .
Calculations of the principal vapour species present at equilibrium pro-
vide useful information on the main chemical reaction(s) occurring in the
reactor and on certain deviations from thermochemical equilibrium.
The method based on the minimization of the Gibbs free energy has also
been used to obtain the equilibrium composition of the gas phase, equilibrium
deposition rate as well as an identification of the phase(s) which can be deposit-
ed under the equilibrium state in various CVD systems: Si—H—Cl (Si
from SiCl 4 -H 2 ); S i - H - B r (Si from SiBr 4 -H 2 ); S i - H - I (Si from S i l 4 -
H2) [490-495]; G a - A s - H - C l (LPCVD-GaAs from GaAs-AsCl 3 -H 2 )
[496]; S i - N - H - C l (LPCVD-Si3N4 from SiH 2 Cl 2 -NH 3 ) [498]; S i - O -
N - H - C l (LPCVD-Si02 from SiH 2 Cl 2 -N 2 0) [498]; A 1 - N - C 1 - H (A1N
from A1C1 3 -NH 3 -H 2 ); A l - N - B r - H (A1N from AlBr 3 -NH 3 -H 2 ) [497];
B - B r (LPVCD-B from BBr 3 ); T i - B - C l - H (TiB2 from TiCl 4 -BCl 3 -H 2 )
[501, 502]; T i - C - H - C l (TiC from TiCl 4 -CH 4 -H 2 ) [503-506]; and
N b - G e - H - C l (Nb3Ge from NbCl 5 -GeCl 4 -H 2 ).
5
Kinetics of CVD

5.1 Introduction

After determining if a CVD reaction is thermodynamically possible


— a criterion which is necessary but not sufficient to obtain the deposition —
it must also be established whether the process is kinetically favourable.
Indeed, there are many thermodynamically favourable reactions that are,
however, kinetically unfavourable because of their very low deposition rate.
Therefore, the study of the chemical kinetics is particularly important
for both understanding of a CVD process and optimization of its controlling
parameters in order to obtain layers having properties required in various
practical applications. Various general aspects of the chemical kinetics of
CVD systems have previously been surveyed in several excellent books
and reviews [509—516].
This chapter only gives a brief overview of some important aspects
such as the steps and type of control in a CVD process, the influence of experi-
mental parameters on the deposition rate, techniques for continuous measure-
ment of the deposition rate, in-situ methods for kinetic investigations, the
role of homogeneous reactions in CVD and the mechanism of CVD processes.
Further, transport phenomena which also affect the deposition rate in CVD
are presented. Finally, some representative examples of kinetic studies for
the main classes of CVD systems are given.

5.2 Steps and Control Type of a CVD Heterogeneous Reaction

A CVD deposition reaction is almost always considered to be a heteroge-


neous reaction, since it occurs as a catalytic reaction in an adsorbed layer
on the surface of substrate and growing film.
In an usual heterogeneous process, the CVD reaction is developed accor-
ding to the following eight stages (Fig. 5.1): (1) transport of the reactants to
the vicinity of the substrate; (2) diffusion of the reactants to the substrate
surface; (3) reactant adsorption on the substrate surf ace; (4) surface chemical
reaction; (5) surface migration and lattice incorporation;(6) reaction product
desorption; (7) diffusion of reaction products away from the substrate surface ;
and (8) transport of reaction products outside the deposition zone.

101
FUNDAMENTALS

Fig. 5.1 Sequence of steps occurring in the


gas phase and on the substrate surface during
a heterogeneous CVD process (e.g. silicon depo-

■ sition from silane decomposition): (Step 1) —
forced-convection mass transport of the reac-
tant(s) in the main gas stream in the depo-
sition region; (Step 2) — boundary-layer mass
transport (diffusion) of the reactant(s) from
the bulk of the gas stream to the substrate sur-
face ; (Step 3) — adsorption of the reactant(s);
(Step 4) — surface chemical reaction (dissocia-
tion, etc.); (Step 5) — surface diffusion (5')
and site incorporation ( 5 " ) ; (Step 6) — desorp-
tion of gaseous reaction product (s); (Step
7) — diffusion of gaseous reaction products
through the boundary layers to the bulk of
the gas stream; (Step 8) — transport of reaction
product(s) outside the deposition zone.

Since these eight steps of a CVD process occur sequentially, the slowest
one will be the rate determining step, but in steady-state conditions all of them
will proceed at the same rate. Depending of the rate-determining step there
are three control types of a CVD process: mass transport of first kind, mass
transport of second kind and surface kinetics.
Type I mass transport is an equilibrium process determined by mass
input; this type of control occurs when Steps 1 or 8 (i.e. the reactant feed
of the deposition zone or product removal from the deposition zone) are the
slow steps. In this case, the mass transfer between the main flow and sub-
strate surf ace as well as surface processes are sufficiently fast, i.e. faster than
the diffusion of the reaction products away from the surface.
Type II mass transport is a process determined by diffusional mass
transfer; this type of control occurs when Steps 2 or 7 (i.e. reactant transfer bet-
ween the main gas flow and the substrate surface, which usually occur by
diffusion or convection) are the slow steps.
Kinetic control (also named surface kinetics or chemical reaction con-
trol) implies that the rate-determining step is a surface process; it occurs
when one of Steps 3 (reactant adsorption), 4 (chemical reaction), 5 (surface
migration, lattice incorporation), or 6 (product desorption) is the slow step.
In many systems, the control type is composed of both mass transport
and surface kinetics contributions. However, the mechanism which pre-
dominates is assumed to have the total contribution.

5.3 Influence of Experimental Parameters on Thin Film


Deposition Rate

The determination of the fundamental control type, which is impor-


tant for identifying the mechanism of a CVD process, is usually perform-
ed by measuring the influence of experimental parameters on thin film
deposition rate [509]."

102
K I N E T I C S OF CVD

Major CVD variables that affect film deposition rate are the following,
listed in their approximate order of importance: total gas flow rate; sub-
strate temperature of deposition; reactant partial pressure; crystallographic
orientation of the substrate; geometric orientation of the substrate; and
surface area of the substrate.
The experimental study of thin film deposition kinetics is usually per-
formed by isolating a single variable while the others are kept constant.
Thus, a study of the influence of the total gas flow rate on the film deposi-
tion rate requires that all other variables, such as substrate temperature,
reactant partial presure, substrate orientation, etc., to be maintained at a
constant value.
Influence of totals gas flow rate. In any kinetic investigation of hetero-
geneous reactions in a flow system, the examination of the dependence of the
formation rate of the solid reaction product on the total gas flow rate while
the other variables are kept constant is a matter of the first importance.
It helps to delineate the mass-transfer controlled region from the surface-reac-
tion controlled region according to the criterion of the dependence or inde-
pendence of the rate on the total gas flow rate, respectively. Indeed, if the
process is limited by any type of mass transfer, an increase in total gas flow
rate means an increase in reactant supply rate, thus leading to an enhanced
CVD deposition rate. The surface processes are so quick that they can consume
the increased amtmnt of reactant supplied by the gas-phase transport processes.
Conversely, for a kinetically-limited process, owing to the fact that the sur-
face process is sufficiently slow as compared with transport processes,
the reactant partial pressure at the substrate surface will be similar to that
in the main gas flow. In this case, the surface processes, which consume
reactants, are so slow that gas phase transport processes can maintain the
corresponding supply of reactants.
The two types of mass-transfer control are easily distinguished. There
is an equilibrium control, when the deposition rate increases with variable
total flow rate, at fixed tube diameter but remains independent by varying
gas stream velocity without changing the total mass input (e.g.by varying
the reactor diameter at constant total flow rate). There is a diffusional control,
when the deposition rate increases not only with variable total flow rate at
fixed tube diameter, but is also dependent (increases) with increasing velocity
without changing the total mass input.
All of the three rate-controlling types can be encountered in CVD pro-
cess, whether the gas flow rate increases from very low to very high values.
At low flow rates, the reaction rate is controlled by the equilibrium rate,
the gas stream having a sufficient residence time to equilibrate with the
substrate surface. In this region, the CVD rate increases linearly with total
flow rate, and the maximum process efficiency will be attained. At medium
flow rates, the reaction rate is controlled by the diffusional transfer rate.
In this region, the CVD rate increases non-linearly with total flow rate, but
the process eficiency is lowered because in the conditions of an incomplete
equilibrium a portion of the reactant will pass unreacted over the substrate.
At high flow rates, the reaction rate is controlled by surface kinetics, becoming
independent of total flow rate because the reactant partial pressure in the

103
FUNDAMENTALS

surface region is maintained constant. In this region we have the lowest


efficiency, but the highest deposition rate of the process.
Influence of substrate temperature. The examination of the dependence
of the thin film deposition rate on the substrate temperature constitutes
another important criterion in differentiating between the process control
types.
For a process which is determined by an equilibrium state (mass transfer
of the first kind) it is expected that the rate will strongly depend on the tem-
perature according to the variation of the total reaction enthaply of the pro-
cess which can be calculated by using the existing thermodynamic data.
Indeed, the influence of temperature on the equilibrium constant, K, which
is an indirect measure of the deposition amount, is given by the expression:

K = Ce-*W*T (5.1)
where AH is the heat of reaction at constant pressure (reaction enthalpy)
and C is a constant.
Mass transfer processes of the second kind (viz. diffusion) are not so
sensitive to temperature variation. It is known that gas-phase diffusion is
not an activated process, having accordingly only a weak] temperature de-
pendence—being proportional to Tm, where m — 1.5—2.
The rate r of a diffusional process is given by the following relation:
r = 6Γ 1 · 5 - 2 (5.2)
where 6 is a constant.
Kinetically-controlled processes proceed at a rate limited by a surface
reaction eventually including both adsorption and desorption which are con-
sidered chemical reactions between the surface and gas-phase species. All
these chemical reactions occur only when an energetic barrier, called the acti-
vation energy, is overcome. For this reason, these processes are called acti-
vated processes. A heterogeneous process controlled by surface kinetics
shows a relatively steep temperature variation, its rate being exponentially
dependent on temperature, as seen from the Arrhenius equation:
r = A exp(-E/RT) (5.3)
where A is a constant, sometimes called the frequency factor, and E is the
activation energy — usually between 25 and 100 Kcal/mole.
In practice, both the equilibrium and diffusional controls are encoun-
tered at high temperatures > 750—900°C depending on the particular reac-
tant system, where the kinetics at the surface are sufficiently fast.
Indeed, for mass control of the first kind in this high temperature range,
surface reactant concentrations will be very close to the equilibrium values
because the surface concentrations are easily adapted to the flow of products
towards or from the deposition zone.

104
K I N E T I C S OF CVD

Also, mass control of the second kind can occur in the same high tempe-
rature range, where, because the diffusion of the products toward and from
the surface is sufficiently slow (i.e. slower than the kinetics at the surface)
an undersaturation of reactants and/or reaction products occurs which is
different from the equilibrium value.
At low temperatures, the kinetics of surface reactions that are slower
than the mass transfer begin to play an important role. There is a supersatu-
ration of reactants and/or an undersaturation of reaction products, so that
the process is far from equilibrium.
The temperature dependence of the deposition rate of a CVD film for
all three controlling processes is as follows. Assuming no thermodynamic
limitation, a relatively steep temperature dependence is observed in the lower
temperature range and a milder dependence in the upper range, the rate-
controlling steps being a surface process (usually the adsorption of reactants
on the substrate surface or a surface chemical reaction) or a mass transfer
of the second kind (the diffusion of reactants and products to and from the
reacting surface), respectively. Also, there is a third region appearing at ultra-
high temperatures where the deposition rate gradually decreases, the rate
limitation being caused by homogeneous gas phase nucleation.
For an equilibrium controlled process, which occurs in the high tempera-
ture range, there are two temperature dependences corresponding to diffe-
rent values of the enthalpy of reaction. For a positive reaction enthalpy
(an endothermic process), the rate increases with increasing temperature,
but less abruptly than for a kinetically controlled process. For a negative
reaction enthalpy (an exothermic process), the rate decreases with increasing
temperature at a slope depending on the value of AH (usually 12.5—100
Kcal/mole).
From the above discussion, we can easily derive some useful criteria to
delineate the type of process control.
First, we observe that for a reaction having a negative AH the rate
increases with temperature for both diffusional and kinetic limitations, but
decreases with temperature for thermodynamic limitations, while for a po-
sitive AH the rate increases with temperature for all three control types.
Thus, a sufficient criterion for distinguishing a thermodynamically limited
process from a kinetically limited one (for an exothermic process) is based
on rapidly decreasing or increasing deposition rate, respectively.
In the case of an endothermic process, a necessary but insufficient cri-
terion for differentiating a kinetically limited process from a mass transfer
one (equilibrium or thermodynamic) is based on high activation energies.
Indeed, although the apparent activation energy is much less for a diffusional
process ( < 10 Kcal/mole), the rate of an equilibrium process increases with
increasing temperature at both low and high temperatures, in a way similar
to an activated process under kinetic control, except for the high tempera-
ture range in which the increase is less abrupt.

105-
FUNDAMENTALS

It can be concluded that the single sufficient criterion is that based


on flow rate dependence.
Influence of react ant partial pressure. Whereas for an equilibrium con-
trolled process the deposition rate will depend on reactant partial pressure
in a complex manner predicted by thermodynamics (see Chapter 4), both
diffusion- and kinetically-controlled processes will be first order in the reactant
partial pressure:

Y = kfP°A (for kinetic control) (5.4)

r = kgP% (for diffusion control) (5.5)


where kf is the reaction rate constant, kg is the reactant diffusion coefficient·
and~P°Ais the reactant partial pressure in the gas stream. This parameter
is accordingly not appropriate for determining the type of process control,
Influence of crystallographic substrate orientation. Both mass transport
limited processes which are controlled by processes occuring in the gas phase
cannot evidently be sensitive to the substrate orientation. On the contrary,
the kinetic limited processes which are controlled by processes occurring
just on the surface should depend strongly on the crystallographic orientation
of the substrate. Indeed, changing the crystallographic orientation causes
a change in the surface composition as well as the number, nature and confi-
guration of surface sites, bonds and features (steps, kinks, ledges, vacancies,
etc), thus affecting surface adsorptivity, mobility, reactivity or desorptivity.
Consequently, the dependence of rate on crystallographic orientation is a
sufficient criterion for a kinetically-controlled regime.
Influence of geometrical substrate surface orientation. For a process occurring
in a diffusional domain, there is a great dependence of the rate on geometrical
substrate orientation within deposition apparatus (substrate tilting), because
in this way the gas flow dynamics is profoundly altered. For an equilibrium
or kinetically determined process, the growth rate is independent of the geo-
metrical orientation of the substrate surface. Thus, the dependence of rate
on the geometrical orientation of the substrate is a sufficient criterion for a
diffusionally limited process.
Influence of substrate surface area. It is known that an equilibrium pro-
cess is totally independent of the surface area, while a diffusional process is
•dependent on the apparent (geometric) surface area, and a kinetic process
is dependent on the actual surface area. In other words, a diffusional process
is not dependent of substrate surface roughness which can be varied by gas
phase etching, for example, while a surface process is.
It can be concluded that the surface area criterion is a good criterion
to establish which type of control predominates in a CVD process. The cri-
teria for establishing the control type are summarized in Table 5.1.

106
K I N E T I C S OF CVD

TABLE 5.1

Criteria for establishing the control type of a CVD heterogeneous reaction [509]

Growth
Control type Growth rate modification 6
variables*1

Equilibrium control T **R decreases rapidly with increasing T (for an exother-


mic process)

*R increases with increasing T (for an endothermic


process)

F, v **R increases lineariy with increasing F, but remains


independent of v, without changing F (i.e. by varying
reactor diameter a t constant F)

F *R increases linearly with increasing F a t fixed reactor


diameter

Oo, OG, A *R is independent of Oc, O G and A


Diffusional control T *R increases moderately with increasing T for either
exothermic or endothermic processes

F *R increases linearly with increasing F a t fixed reactor


diameter

F, v **R increases linearly with increasing F, but increases


with increasing v (i.e. by decreasing tube diameter with-
out changing F)

0G **R depends on OQ

Oc *R is independent of O c
**R increases rapidly (exponentially) with increasing T
Chemical reaction T
[for an exothermic process)
(kinetic) control
*R increases rapidly (exponentially) with increassing T
(for an endothermic process)

F **R is independent of F

Oc 1 **R depends strongly on Oc


A **R depends on the actual A

OG *R is independent of O G

a
T = temperature; F = total flow r a t e ; v = gas stream velocity; O c = substrate crystal-
lographic orientation; OQ = substrate geometric orientation; A = substrate area.
*R = growth rate.
*a necessary but insufficient criterion; ** a necesarry and sufficient criterion.

107
FUNDAMENTALS

5.4 Continuous Measurement of the Deposition Rate

In-situ measurement of the deposition rate is of first importance for kinetic


determinations. Up to the present, only a few techniques (such as the
gravimetric [517, 518] and optical [519—526] techniques) can measure
the rate during the process directly and accurately. Indeed, such an in-
situ measurement system should satisfy the conditions of not perturbing
or contaminating the CVD process and of being insensible to the high
temperature and corrosive environment. The in-situ measurement of the
deposition rate offers several advantages compared with after-process mea-
surement, namely, it is precise, rapid, continuous, and adequate for measuring
the initial rate.

5.4.1 Optical Method

The technique of evaluation of thin film thickness based on IR or visible radiation


interference which will be presented later (see Section 6.4.7) can also be
applied to determining the deposition rates of some CVD thin films. This
technique allows in-situ monitoring of these films and, therefore, is strongly
recommended for kinetic studies.
The optical method is employed in two ways; one uses the radiation
emitted from the substrate, whereas in the other the radiation originates
from an external source, usually a laser. The first variant requires a sim-
pler instrumentation and, therefore, is extensively uked in continuous monito-
ring of CVD systems.
The technique based on emitted I R radiation interference is applicable
when the substrate temperature is greater than 600° C, the film has a refrac-
tive index different from that of the substrate and a low absorption
coefficient, and the deposition process includes no secondary reactions leading
to the formation of I R absorbing products.
This method has been used, for example, in the study of Si3N4, Si0 2 or
poly-Si depositions on bare, simple or two layer coated silicon substrates
[526]. For Si3N4 depositions by SiH 4 — NH 3 reaction, the curve of emitted
IR radiation intensity versus time shows extremes corresponding to the
following film thicknesses: 0.28 μηι, 0.46 μιη, 0.62 μπι, 0.80 μηι, etc. A full
cycle of the interference curve (considered between two successive minima
or maxima) corresponds to a thickness of 0.34 μιη. For Si0 2 depositions b y
the SiH 4 —C0 2 , SiH 4 —N 2 0, or SiH 4 — 0 2 systems, the curve extremes appear
at film thicknesses of 0.5 μΐη, 1 μιη, 1.5 μΐη etc., a full cycle of the inter-
ference curve corresponding to a thickness of 1 μιη. For poly-Si depositions,
by SiH 4 or SiH 2 Cl 2 decomposition reactions, the interference curve has
extremes at film thicknesses of 0.17 μιη, 0.39 μχη, 0.55 μπι, 0.73 μπι, 0.90
μπι, 1.07 μπι, 1.24 μπι and 1.41 μπι, a complete cycle corresponding to a
thickness of 0.34 μπα.

108
K I N E T I C S OF CVD

Measurements based on infrared interference have also been successfully


used in the dual rate technique, which consists of depositing a layer initially
at a higher rate and then at a lower rate. This technique has been applied
to deposit epitaxial silicon on sapphire in two steps, both being accurately
monitored from the interference curves obtained. The first step prevents
the occurrence of undesired surface reactions by rapidly covering the sub-
strate with a thin film which is impenetrable to halide reaction by-products;
the second step allows to obtain a film thickness of good quality by covering
slowly the substrate.

5.4.2 Gravimetric Method

The gravimetric method consists of continuously measuring the sample weight.


The sample weight can be measured either indirectly by means of a cathe-
tometer [517], or directly by an electrobalance [518].
In the first case, the substrate is suspended on a quartz spiral, which
is lengthened by an increased amount of deposition. Its elongation is deter-
mined by a cathetometer and from a elongation-time plot one can easily
derive the deposition rate at any moment (Fig. 5.2a).
In the second case, the support is placed on one of the balance arms
of a vacuum torsion balance, the r a t e (i.e. weight/time) is obtained electro-
nically (Fig. 5.2&). The method has a high sensitivity, about ^ 25 —50Ä/min.
However, it requires a special design of CVD system in order to accommodate
the gravimetric measuring device, it is susceptible to errors (which can arise
when the sample holder and suspension are covered by deposit), and it is
well suited only for resistively-heated CVD systems.

5.5 Experimental Methods for Studying CVD Kinetics

The study of the mechanism of gas-phase chemical reactions, which is of


paramount importance in CVD processes, was possible owing to development
of methods of identifying gaseous reactant species as well as of measuring
their concentration in the warm zone of a CVD reactor. Unlike previous
methods based on measurements performed after the reaction, recent
methods enable data acquisition even during the development of the reactions.
Several in-situ measurement methods for a CVD system have been
proposed: I R or UV absorption spectroscopy [516, 527—535], gas chroma-
tography [516, 536—540], mass spectrometry [516, 541—552] and R a m a n
spectroscopy [555—559].
In addition, methods for studying transport phenomena (interference
holography [629]) as well as for determining the occurrence of homogeneous
reactions in CVD reactors (laser particle monitoring [560]) have also been
developed.

109
FUNDAMENTALS

P<C2^>J|

E^TM
IL·-.?
H
6
\r

r? 1
M1

H1
H1
7

♦-R

(a) (b)
Fig. 5.2 Arrangements illustrating gravimetric techniques for continu-
ous rate measurement:
a — cathetometer technique: 1 — cathetometer; 2 — quartz helix; 3 —
graded guide m a r k s ; 4 — substrate and film (e.g. Si and Si 3 N 4 );
5 — quartz reactor; 6 — resistive furnace; 7 — reaction gas inlet
(SiH 4 + N H 3 ) ; 8 — exhaust;
b — electrobalance technique: 1 — recording electrobalance; 2 — quartz
fibre suspension; 3 — wafer holder; 4 — wafer; 5 — thermocouple
well; 6 — quartz reaction t u b e ; 7 — furnace; 8 — reaction gas inlet.

5.5.1 Infrared or Ultraviolet Absorption Speclroscopy

IR (or UV) absorption spectroscopy is the most appropriate method for


in-situ determination of the concentration of gaseous species in a CVD
system [527—535]. This is based on the absorbtion of a portion of the
infrared radiation emitted from a source by the component of interest in
the sample; the percentage of infrared radiation absorbed is proportional
to the components concentration.
A typical infrared analyser contains one or two separate infrared sources,
the IR beams of which pass through (1) two cells—one being a reference
cell containing a non-absorbing background gas, the other being a sample
cell containing a continuously flowing sample, (2) a detector, which is
usually filled with the component to be detected or a compound having simi-
lar IR absorption peaks and which converts the difference in energy bet-
ween the sample and the reference cells to a capacitance change (equivalent
to the components concentration) which is amplified and recorded (Fig. 5.3).

110
K I N E T I C S O F CVD

This method is used in two ways, one is IR indirect spectroscopy of


the reactants extracted from the reactor by means of a fine quartz tube
which does not disturb the process (sampling method), the other is IR direct
spectroscopy of the hot reactants just inside reactor (in-situ method)
(Fig. 5.3).
IR spectroscopy has been used to investigate the nucleation and me-
chanism of the CVD of Si from SiCl4—H2, SiHCl3—H 2> SiH2Cl2—H2 and
S i H 4 - H C l - H 2 systems [530,531], and GaAs from OMCVD [532, 533]. By
using IR direct in-situ spectroscopy at high temperature of the reacting
gas mixture in the Si—H—Cl system, SiCl4, SiHCl3, SiH2Cl2, SiCl, SiCl3,
and SiCl2 are detected as being reacting species; by the sampling method,
the intermediate species SiCl3 and SiCl2 are not detectable. The mechanism
of SiH4-oxidant systems (the oxidant is 0 2 , C0 2 or N 2 0) has been investi-
gated by monitoring the oxidation of SiH 4 to Si0 2 and observing the change
in absorption of the 930 cm - 1 peak of the SiH4 spectrum [527]. The
same technique has been applied to investigate the trends in deposition rate
and phosphorus concentration of phosphosilicate glass films, obtained
from the SiH 4 —0 2 —PH 3 system, in relation to the 0 2 /SiH 4 + PH 3 and
PH 3 /SiH 4 + PH 3 mole fractions [528, 529]. An IR analyser having a disten-
ded diaphragm detector filled with CO has been used to monitor SiH 4 concen-
tration in the deposition of Si from SiH4—H2.
The related technique of UV absorbtion spectroscopy has so far been
used to study the MOCVD [534] or hydride VPE [535] of I I I - V compounds
such as InP or GalnAsP.
IR (UV) spectroscopy represents a sensible and rapid technique to
investigate the CVD mechanism. However, it is unable to sample only a
small well-defined gas volume in a CVD reactor without disturbing the
gas flow pattern.

Fig. 5.3 IR-absorption spectroscopy for


investigating CVD kinetics (after Nishizawa . .
and Nihira [530]; reproduced with permis- ( Q /
sion from J O U R N A L OF CRYSTAL

PIS
G R O W T H , (Q) 1978 North-Holland Physics <&r
Publishing):
a — arrangement of sampling reactant ga-
ses: 1— quartz reactor; 2 — thermocouple;
3. — resistance furnace; 4 — sampling capil-
l a r y ; 5 — gas cell; 6— liquid nitrogen t r a p ;
7 — manometer; 8 — needle valve for con-
trol of the sampling speed; 9 — gas sample ' D )
e x h a u s t ; 10 — gas inlet; 11 — gas outlet;
b — arrangement for in-situ analysis of .
reacting gas in the reactor a t high tem-
perature : 1 — monochromatic IR source;
2 — mirrors; 3 — reference cell; 4 — sam-
ple cell; 5 — resistive heating; 6 — I R
analyser. SiC,fH2

111
FUNDAMENTALS

5.5.2 Gas Chromatography

Gas chromatography can be used for the qualitative and quantitative ana-
lysis of gas (vapour) atmospheres in CVD processes [536—540]. Its principle
is based on the differences in the distribution coefficient of the gases (or
vapours) between a fixed phase and a gaseous mobile phase. The sample
of mixed components is injected into a carrier gas stream, usually hydrogen
or helium, which passes through a column containing a suitable absorbent.
The components are separated in the column and detected in a specific order
after the outlet by using a thermal conductivity cell or another type of detec-
tor. It is necessary to calibrate the equipment initially with known compo-
nents by measuring their retention time.
This method can be used in two variants. The first variant is used to deter-
mine the concentration of one or more species either at the outlet of the reac-
tor or just above the susceptor. The latter variant is accomplished by using
a mobile nozzle-shaped probe for in-situ analysis of the gas phase (Fig.
5Aa) [538].
When there is only one component in the gas phase, as for instance in
the SiH4—H2 system, the gas chromatography apparatus consists of only a
thermal conductivity detector without the adsorption column (Fig. 5.4δ)
[537].
This method has been applied to gas phase decomposition of silane in a
horizontal resistance-heated furnace, which occurs from a silane concentra-
tion of 0.1%. By determining the composition and temperature profile of

>-=c5^ Fig. 5.4 Gas chromatography as em-


ployed for investigating CVD kinetics:
si Φν- (after Cochet et al. [538]; reprinted by
»m, §E??n permission of the publisher, The Electro-
chemical Society, Inc):
a — in-situ gas-phase analysis by using
a nozzle-shaped probe: 1 — reacting
gases; 2 — RF-heated vertical reactor;
(a) 3 — R F coil; 4 — wafer; 5 — gas ex-
haust ; 6 — tapered quartz probe; 7— ma-
nometer; 8 — transfer unit from probe
to vapour-phase Chromatograph ana-
lyser; 9 — Chromatograph;
b — after-process gas-phase analysis
using a thermal conductivity detector
(for example, for investigating the gas-
phase decomposition of silane) (after
Eversteijn [537]; reprinted with per-
mission from PHILIPS RESEARCH
REPORTS, (g) 1971 Philips International
B.V., Eindhoven, The Netherlands):
1 — needle valves; 2 — flowmeters;
H 5V.SiHmH2 3 — silica-reaction tube; 4 — resistance-
2 heated furnace; 5 — residual gas cooler;
6 — thermal conductivity cell (katha-
(b) rometer); 7 — exhaust.

112
K I N E T I C S OF CVD

the gas stream by means of in-süu Chromatographie measurements of com-


pressed extracted gas samples and of a fine silica-coated thermocouple,
respectively, in a SiH 4 + NH 3 system, it was found that silane decomposes
totally before reaching the substrate [538]. This is strong support for a homo-
geneous mechanism of solid deposit formation. Gas phase chromatography
also allowed investigation of Si deposition from chlorosilanes — H 2 (Ar) by
measuring the concentration of HC1, SiH2Cl2, SiCl3, and SiCl4 species [536,
537] and the MOCVD of III—V semiconductors [540].

5.5.3 Mass Spectrometry

Mass spectrometry is a very powerful method for making in-situ examina-


tions of CVD processes [541—552]. By attachment of a mass spectrometer
to a CVD reactor it is possible to identify directly the species in the gas
phase and to determine their abundance under CVD conditions (Fig. 5.5).
By using the mass spectrometric method, the concentration profile in
the reactor tube can be determined, while the temperature profile can be
measured with a thermocouple. In this way, a steep concentration and
temperature gradient in the boundary layer are found.
Reactant gases have been analysed by time-of-flight mass spectrometry
in the deposition of Si (from SiH 2 Cl 2 -H 2 [473], SiHCl 3 -H 2 [474], SiCl 4 -
H 2 [474, 547], and S i H 4 - H 2 [548]), GaAsiPP1-it[541], GaN [542], GaP [541],
GaAs[54l], GaSb [544], InP[543], InAs [545], In1_iCGaiCAs[545]? GaAs-^Sb*
[544], I n ^ G a ^ P [543], ScN [544], CdS [546], and ZnS [546].
A monopole mass analyser was used to measure the SiH4—H2 system,
while a quadrupole mass analyser was used for the gas present under vacuum
in the LPCVD of PSG films in Si(OC 2 H 5 ) 4 -PO(OCH 3 ) 3 -N 2 -0 2 [550].
Other experimental studies include the detection of intermediates in the
deposition of A1203 from the A1C13—H20—02—H2 system, and of Si3N4 from
the SiCl4—NH3—H2 system [549], the etching of Si0 2 or Si by means of
HC1, and the OMCVD of III—V semiconductors.
Mass spectrometry is a high sensitivity method well suited to evacuated
systems which enables the CVD atmosphere to be sampled directly for quali-
tative and quantitative analysis. However, this approach has some short-
comings because the probe can disturb the gas flow configuration and is
unable to make meaningful measurements in a dynamic CVD system.

Fig. 5.5 Experimental set-up used


in mass spectrometric study of va-
pour-phase crystal growth (after
Ban [623]):
1 - gas inlet; 2 - hot-wall CVD
reactor; 3 — resistive heating; 4 —
sampling quartz capillary; 5 — mass
spectrometer; 6 — exhaust.

113
FUNDAMENTALS

5.5.4 Raman Spectrometry

Raman and resonance fluorescence spectra are used to measure the tempera-
ture and concentration profile of identified species, respectively, throughout
a CVD reactor [553-559].
The Raman effect is an inelastic light scattering process in which scat-
tered light is shifted down or up in energy from that of the incident photons,
the energy difference leading to vibrational transitions in the direction op-
posite from the scattering systems. As the ratio of the intensities of the corres-
ponding Stokes and anti-Stokes lines depends on the gas temperature,
Raman spectra are used to obtain gas temperature and temperature profile
above and along the susceptor.
Resonance fluorescence is a process in which a molecule initially excited
by light absorbtion reaches a final state having a lower, higher, or equal
energy to the initial one, thereby emitting light of upshifted, downshifted
or unshifted frequency, respectively. As the fluorescence intensity is also
proportional to the number of fluorescing molecules in the selected sample
volume, fluorescence spectra are used to measure the concentration profiles
of the species in the susceptor zone.
The light scattering apparatus used to study CVD systems consists
mainly of an argon ion laser whose incident light is directed to a small gas
volume; after scattering, it falls on a monochromator to obtain the Raman
and fluorescence spectra, then is focused on a photomultiplier to record the
signals and to measure the scattered light intensity (Fig. 5.6).
This method was initially applied to identify molecular species and to
measure their concentration gradients as well" as to obtain gas temperature
and gas temperature gradients in the Si—H—Cl system in a horizontal
reactor [553—555]. In this system, only one species (presumably SiCl2) could
be measured, its concentration being determined only by fluorescence which
is exceedingly intense, thus making the other weak Raman scattering signals
unobservable. The temperature was measured by Raman scattering spectra.
Temperature and concentration profiles show a steep drop in both paramters
over a distance of about 12 mm above the susceptor.
Another important application of laser excited fluorescence spectroscopy
has been in the study of the mechanism of PECVD of a-Si [557—559].

Fig. 5.6 Light scattering apparatus used in study of


CVD systems (after Sedgwiek et al. [553]; reproduced
with permission from JOURNAL OF CRYSTAL
GROWTH, © 1975 North-Holland Physics Publi-
shing): 1— laser; 2 —chopper; 3—lens; 4—CVD reac-
tor; 5 — double monochromator; 6—photomultiplier.

114
K I N E T I C S O F CVD

The main advantages of using resonance Raman and fluorescence spectro-


scopy as analytical instruments to study the mechanism of CVD processes
are the following: in situ analysis of a small gas volume at working pressures
and temperatures; an easy change of the well-defined sample volume in the
reactor; the non-disturbance of flow pattern by any probe; the possibility
of determining the gas temperature; the utilization of visible spectra in which
there is no interference due to I R radiation emitted by the susceptor and sub-
strates ; and the possibility of simulatneously distinguishing between several
molecular species. However, this method uses a relative strong laser beam,
which may promote some CVD reactions and its sensitivity is not very high.

5.6 Role of Homogeneous Reactions in CVD

Most studies performed on CVD processes start from the assumption of a


surface (heterogeneous) reaction. However, there are many observations show-
ing that the volume (homogeneous) reaction m a y play an important part
in CVD [ 5 6 0 - 5 6 4 ] :
(a) the relatively high temperature range in which CVD reactions usually
occur (/^1000°C) favours volume rather than surface reactions;
(b) the nonspecificity of the substrates used in CVD: deposition occurs
not only on the substrate, but also on all hot parts of the equipment; the
deposition process on the substrate does not cease after appearance of a
coherent film, although the reactants are no longer in direct contact with
the substrate surface;
(c) some direct experiments show the presence of the solid film material
in the gas phase.
For the above reasons, it is concluded that many CVD deposits might
be formed by the diffusion to the substrate of products formed in the gas
stream.
Sladek [561] proposed a model for homogeneous reactions in chemical
vapour deposition. The rate of formation of clusters in the gas phase is pro-
portional to the rate of formation of dimers, i.e. proportional to the concen-
tration and temperature in the gas phase and inversely proportional to the
temperature difference between the substrate and the main gas, and to the
diffusion coefficient of the monomer in the gas phase. According to this model,
the clustering in the gas phase can be minimized for a given value of reactant
concentration by increasing the value of the temperature gradient or lowering
the overall temperature to obtain a lower value of the rate of dimer formation
or a higher value of the diffusion coefficient of the monomer in the gas phase.
Gas phase reactions have an increased probability in air cooled reactors as
compared to water-cooled reactors, owing to a low<r temperature gradient
in the former case.
Based on this homogeneous model of film formation in CVD, it is possible
to give an interpretation of the deposition rate versus 1/T plots, which show
a maximum. At low temperature, thin film formation predominates (the de-

115
FUNDAMENTALS

position rate increases with temperature), whereas particle formation predo-


minates at high temperature. Of these*particles, some diffuse to the substrate
forming a film and others condense in the gas forming a powder which is
not deposited on' the substrate.
Homogeneous gas phase reactions have been encountered in the following
CVD systems: epitaxial silicon deposition from SiH4—H2 and SiH2Cl2—H2
systems, in which the formation of silicon powder was observed; A1203 de-
position from AlClg—H20, in which the formation of A1203 particles can be
prevented by using low operating pressures; Si3N4 deposition from SiCl4—
NH3 in which the formation of NH4C1 on cold walls of the reactor is surely
due to a volume reaction; W deposition from W(CO)6; and the deposition
of Ti0 2 , Sn0 2 , Si0 2 .
Homogeneous reactions, which are often undesired, can be minimized
by using lower temperature, lower reactant concentrations, high gas velocity,
smaller substrate area and cooling the reaction chamber walls. Traces of water
and oxygen in the gas stream enhance gas phase reactions, whereas the in-
troduction of HC1 decreases gas phase reactions in the case of silicon deposi-
tion.

5.7 Mechanism of CVD Processes

A study of the CVD mechanism of thin films has to include a detailed des-
cription of the physico-chemical deposition process.
As shown before, it is supposed that the CVD of a film is a heterogeneous
reaction on the surface of the substrate which occurs in eight steps and can
be controlled by using equilibrium, diffusion or kinetics. A certain rate-con-
trolling regime can be evidenced in many cases, based on the experimental
evaluation of the influences of several parameters (expecially total gas flow
rate and the temperature) on the deposition rate. After establishing experi-
mentally what type of control is present, it is possible to analyse theoreti-
cally the experimental data obtained as well as to predict the behaviour of
the process under various experimental conditions. The first objective of
this theoretical analysis will be the determination of the deposition rate
expression as a function of experimental variables, the final objective being
the optimization of the production parameters.
The mechanism may be described differently for the three control types.
Thus, thermodynamic calculations may provide information on the influence
of temperature and reactant partial pressure on the amount deposited. For
diffusion limited processes, the mechanism can be determined by examining
mass transfer in a general CVD reactor. For processes whose rate is limited
by a reaction step, the mechanism can be determined giving a molecular
description of surface processes.

116
K I N E T I C S OF CVD

5.7.1 Equilibrium-Controlled Processes

As we have seen, especially at low flow rates or at high temperature when


the surface concentrations are very close to their equilibrium values, the need
to know all the concentrations of reactants and reaction products in equili-
brium with a solid substrate is important for the interpretation of the experi-
mental results. The equilibrium calculations, which are usually performed
by two methods, namely the nonlinear equation method and the optimi-
zation method, have already been described in Chapter 4. From the values
calculated for the equilibrium partial pressures of all species, the growth rate
can also be evaluated with the help of the difference between the quantities
of film forming species entering the equilibrium system and the quantities
of film forming species present in the equilibrium system.

5.7.2 Diffusion-Controlled Processes *

At medium total gas flow rates and/or in the high temperature region, the
deposition rate of a CVD film is determined solely by diffusion in the gaseous
phase. Indeed, in this region if the surface reaction is sufficiently fast, this
type of process control (mass transfer) implies reactant transfer due to a con-
centration gradient between the deposition zone and the substrate surface.
In this regime, the deposition rate can be calculated by assuming that a stag-
nant (boundary) gas layer exists in the gaseous phase near the substrate
surface on which the deposition reaction takes place. The boundary layer
is a layer adjacent to the susceptor in which the gas velocity approaches
zero and across which the reagents have to diffuse to the surface of the sub-
strate (Fig. 5.7).

X /
• i1
i S
v -3 J- ■
"~ 1 \ *s* j
^M%-^ Fig. 5.7 Stagnant layer model for the deposition
of CVD films in a horizontal reactor:
1 — horizontal quartz t u b e ; 2 - graphite susceptor;
3 — stagnant gas layer; 4 — convection layer.

* This subsection has been reprinted after Morosanu and Segal [609] from T H I N SOLID
FILMS, with permission of the publisher, Elsevier Sequoia S.A.

117
FUNDAMENTALS

Further, the expression of a diffusion-controlled reaction rate will be


derived; this rate is directly proportional to the partial input pressure of
reactant [609]. Indeed, the rate of transport for a diffusion process in a simple
system consisting of a single reactant A in a carrier gas is given by the relation:
JA = %{XA - X'A) (5-6)
where JA is the flux of the reactant (the number of moles diffusing per unit
time and unit surface), kg is the mass transfer coefficient, XA is the molar
fraction of reactant at the onset of the transport process, i.e. in the gas volume,
and XA is the molar fraction of A at the completion of the transport process.
As the rate of the process depends on the transport rate, of the reactant,
the previous relation can be written as follows:

τ = £(ΡΆ-ΡΆ) (5.7)

where r [moles cm2 s"1) is the rate of the process, P is the total pressure of
the system, and P\ and PSA are the partial pressures of A in the gas volume
and at the substrate surface, respectively. Since the total pressure of the
system is constant,
r = k,(P*A - P'A) (5.8)
Since the reaction occurring in the kinetic regime is fast, it turns out that
PA —> 0, and therefore the deposition rate is found to be directly propor-
tional to the partial input pressure of the reactant:
r = kgPA (5.9)
The mass transfer coefficient, which depends on the flow dynamics of the
system, is given by the relation:
(5
*<-w ·'°>
where DA is the diffusion coefficient of the reactant in the ambient atmosphere,
T is the absolute temperature, δ is the effective distance at which diffusion
occurs, i.e. the thickness of the stagnant layer, and P is the total pressure
of the system. Since according to the experimental data D ~ T2, the follo-
wing expression can be written for the dependence of the diffusion coefficient
on temperature:
De = D0T*ITS (5.11)
where D0 is the diffusion coefficient at 300 K {room temperature), T8 is the
substrate temperature, and T 0 is 300 K.
The expression for the deposition rate r0 on the susceptor (wafer) at
the inlet end is thus:
r = DQPATS ,5 12 v
0 V ;
RT*8 '

118
KINETICS OF CVD

This simple expression for the deposition rate is applicable in many particular
systems to predict the influences of various important experimental parame-
ters, such as flow velocity, temperature gradient, geometrical substrate orien-
tations and others. This relation allows the deposition rate to be calculated
theoretically provided that the diffusion coefficient of the reactant in the
carrier gas and the thickness of the stangant layer can be estimated.
The diffusion coefficient of the reactant in the carrier gas can be deduced
by several relations, e.g.:

£>1* = I^LI1+1)V" (5.13)


32(% + n2) a\2
or
0.00070 Γ 1 · 833 ( 1 1 V'2
DlZ 3 + (5-H)
' " " - " " +■(T--)V
{(TJPM 13
cIPc)l}^[mi mJ

where D12 is the diffusion coefficient of gas 1 in gas 2, n± and n2 are the num-
ber of molecules of the two gases per unit volume, mx and m2 are the mole-
cular masses of the two gases, σ12 is the collision diameter, K is the Boltz-
mann constant, T is the absolute temperature, and Tc and Pc are the criti-
cal temperature and pressure. The thickness S of the stagnant layer can be
determined by using the following methods: (1) by direct experimental mea-
surement (observation of the gas flow pattern in the reactor); (2) by using
the relation δ = AjV^l2—B, where A and B are constants and Vm is the
average velocity of the gas calculated from the flow rate F at the inlet and
the cross section S of the tube, i.e. Vm = F/S; (3) by experimental measure-
ment of the deposition rate r0 at the inlet end of the susceptor and the use
of equation (5.12), which gives r0 in terms of S; (4) by applying the relation
for the variation of the rate along the susceptor:

(5.15)

where r0 is the rate at the end of the susceptor nearest to the gas inlet, x is
the distance along the susceptor, and b is the distance between the susceptor
and the reactor wall. The thickness of the stagnant layer can then be
determined from the intercept (or the slope) of the straight line obtained
by plotting log r(x) against x. The deposition rate can also be expressed as:
, 6xl05M ,_ 1AN
r = r' (5.16)
P
where M is the molecular weight of the deposited film, p is its density, and
r [μπι/min] andr' [moles/cm2 s] are the deposition rates expressed in different
units.

119
FUNDAMENTALS

Therefore, the final expression for the deposition rate is


DQPATS 6xlO»M / DTx
. ( - D*T0'*\s (5.17)
RT& p V T0]
Diffusion-controlled processes [565—568] have been extensively investi-
gated, especially in the case of epitaxial silicon growth [569—588].

5.7.3 Kinetically-Controlled Reactions *

As shown previously, at high gas flow rates and/or in the low temperature
region, the deposition rate of a CVD process is determined exclusively by
surface processes. For processes which have been shown to be kinetically
controlled, it is meaningful to determine the activation energy, the reaction
order, etc. as well as the rate law as a function of these quantities. At the
beginning, the kinetic regime must be delineated by examining the effect
of the experimental parameters on the deposition rate.
In principle, a kinetic study of the heterogeneous reactions of deposition
can be made by taking into consideration either the formation kinetics of
the thin layer or the kinetics of the gas phase reaction.
In the study of the reaction mechanism of CVD processes, the rate equa-
tions can be formulated on the basis of either adsorption isotherm relations
or absolute reaction rate theory. Modelling of a CVD process can also be
carried out with the help of a kinetic analysis of the homogeneous and hetero-
geneous equilibria established in the system under steady state conditions.

5.7.3.1 Effect of the Experimental Parameters on the Deposition Rate

For a reaction of the type


AB(g) + C(g) = A(s) + BC(g) (5.18)
which is often encountered in many CVD processes (e.g. hydrogen reduction
of a silicon halide, oxidation or nitridation of a silicon halide or hydride)
the dependence of the deposition rate on the total gas flow rate , the concen-
trations of the secondary reagent .(C) and the main reagent (AB), and
the temperature is usually investigated experimentally.
The experimental results shows that, irrespective of the reactor type
(horizontal, barrel or bell jar), the deposition rate gradually increases with
measured flow, whereas it is independent of the flow rate for gas flow rates
higher than a certain value. The reaction is limited by the surface chemical
reaction in the latter range. The flow rate at which the reaction ceases to be
controlled by gas transport should be used in subsequent experiments.
For many CVD films deposited by a reaction of the above type, an excess
of secondary reagent (e.g. 0 2 , C0 2 , N 2 0, NH3, H2, etc.) is normally intro-

* Portions of this subsection have been reprinted after Morosanu [89] a n d Morosanu et
al. [610] from T H I N SOLID FILMS with permission of the publisher, Elsevier Sequoia S.A.

120
K I N E T I C S OF CVD

1 S l">
c
\ |
•I / 4
c;
-1 |.ς
y^ 1 ■b
N.
TD "b *t>
/
\
Total flow rate Reactant motor ratio Main reagent molar 1/T
(a) (b) fraction.
(0 (d)
Fig. 5.S Typical dependences of CVD film deposition rate d on:
(a) — th? total flow r a t e ; (b) — the secondary to main reagent mole ratio; (c) the mole
fraction of the main reagent; and (d) — the temperature.

duced into the gas flow to prevent the decomposition of unreacted main reac-
tant (e.g. SiH 4 ; SiHgClg, etc.) and the subsequent inclusion of silicon in
the film. The deposition rate increases initially in proportion to the secon-
dary reagent flow, but at higher mole ratios of secondary reagent to main
reagent it becomes independent of the secondary reagent flow. In this range,
the reaction order is zero with respect to the secondary reagent.
Depositions must be performed at relatively low concentrations of the
silicon-containing reactant (a maximum of 0.2% in the gas flow)) in order
to minimize the contribution of the homogeneous vapour phase reaction,
which leads to a decrease in the deposition rate and alters the properties of
the deposited layer. When all other parameters, such as the temperature,
secondary reagent flow rate and inert gas flow rate, are held constant, there
is a linear relation between the deposition rate and the concentration of the
silicon-containing compound. The value of the rate constant can be obtained
from the slope of the straight line. Thus, the reaction is first order with res-
pect to the rate limiting reagent.
The deposition rate increases with increasing deposition temperature..
A plot of the logarithm of the deposition rate (or the rate constant) as a func-
tion of the inverse absolute temperature is usually linear. From the slope and
the intercept of this straight line, the activation energy and the pre-exponen-
tial factor A can be calculated using the Arrhenius equation. The effects-
of the experimental parameters on the deposition rate of CVD films, such
as Si0 2 , Si3N'4, are shown in Fig. 5.8.
In some CVD processes in which the growth rate increases with tempe-
rature according to the Arrhenius equation, two ranges of variation of the
growth rate can be observed (Fig. 5.9): a low temperature region with a strong

Fig. 5.9 Plot of the growth rate versus


deposition temperature (Arrhenius
plot) of a CVD process showing a
break at a certain temperature.

121
FUNDAMENTALS

temperature dependence and a high temperature region with a moderate


temperature dependence. Thus, in the latter temperature region the activa-
tion energy is lower than that observed in the former. This effect is commonly
explained by considering that in the former region the process is reaction
limited, while in the latter region it is diffusion limited. However, in cases
where deposition does not take place in the diffusional range (i.e. the deposition
is performed in the region in which the growth rate is independent of the total
flow rate, and therefore the heterogeneous reaction is controlled by an activa-
ted process) and the homogeneous reactions do not play an important role,
the different values of activation energy obtained in the two temperature
regions can be assigned to a compensation effect (CE) [589], similar to that
found in heterogeneous catalysis [590]. This term indicates that a decrease
in the activation energy has not the expected result of a considerable increase
in the rate constant, because there occurs a simultaneous decrease in the
pre-exponential factor A, which compensates partly or entirely for the change
in the exponent E/RT. It was established that in most cases of reactions
involving a CE, the relation between A and E can be represented by the
empirical formula: log A = E/a + constant, where a is a constant. For a
heterogeneous CVD reaction involving a CE, different values of E and
log A are found for the same reaction if it occurs at different temperature
regions. By using an Arrhenius plot, if the experiments obey the empirical
formula, there must be a temperature Tc = a/2.2>R where the lines corresponding
to the two temperature regions intersect. The value of the intersection tem-
perature Tcf which is usually called the isokinetic (compensation) temperature,
is found by extrapolation of the straight lines in the Arrhenius plot. The iso-
kinetic temperature can also be calculated by substituting the known values
of A and E in the above empirical formula: Tc = 103Δ£/4.6Δ log A. Ta-
ble 5.2 lists examples of the CE where for each case the values of E, log A
and Tc are given. The compensation effect has been observed in the case
of the CVD of Si, Si0 2 , and Si3N4 films [643].
The interpretation of the CE found in the CVD of thin films is given in
the following. The pre-exponential factor A represents the density of active
TABLE 5.2

Examples of the occurrence of a compensation effect in CVD processes of some thin films

Temperature
Thin Deposition
interval *1 E* log Ax log A2 Ref.
film system (°C)
(°C)

Si SiCl4-H2 1000-1250 25 3 10.1 6.8 1150 [577]


Si SiH4-BH3- 5 0 0 - 900 7 20 6.7 9.9 620 [614]
-H2
Si SiH4-BH3- 3 0 0 - 550 12.5 4.5 9.3 6.4 350 [613]
-H2
SiOa SiH4-COa-H2 700-1100 28 6 9.5 7.1 900 [591]
Si 3 N 4 SiH4-NH3- 700-1100 52 6 16.9 7.4 900 [4286]
-N2
Si 3 N 4 SiH4-NH3- 700-1100 22.2 6 10.6 7.6 900 [643]
-N2
Si 3 N 4 SiH2Cl2-NH3 700-1100 22.6 3.7 10.5 6.9 900 [643]

122
K I N E T I C S O F CVD

centres on the substrate and the activition energy E can be correlated with
the activity of the active centres. Therefore, the decrease in E shows an in-
creased activity of active centres and the decrease in A shows a partial bloc-
king of the active centres. Thus, in the low temperature region there are many
weak active centres (given by A) and in the high temperature region there
are few but strong active centres.
The change in E can be explained by a structural change in the CVD
film, mainly due to the presence of dopant impurity or to the increase in the
deposition temperature. This structural change is supported by some experi-
mental observations, such as an amorphous-polycrystalline transition, a
decrease in the film etching rate, and a modification of the texture of the
film surface [592]. The change in A can be explained by blocking of the active
centres due to the presence of dopant impurity or to the formation at
elevated temperatures of complexes between the nitrogen carrier gas and
the Si wafers [593]. Blocking of the active centres is demonstrated by observing
the rough surface of the film with the addition of dopant, and the nucleation
and structure of the complexes.

5.7.3.2 Kinetics of Thin Layer Deposition

By measuring the deposition thickness during or at the end of the process,


the deposition irate Vd can be calculated from
Vd = hjt (5.19)
where h is the film thickness and t is the deposition time.
For a reaction of the type (5.18), because the secondary reagent is in
excess of the actual amount involved in the reaction, the reaction rate is
a function of the main reactant only and not of both concentrations. Taking
into account that the heterogeneous reaction is first order with respect to
the main reactant, the rate constant (the rate for a reactant concentration
of unity) is given by
k = VdjX« (5.20)
where k is the rate constant, Vd is the deposition rate, and X° is the reactant
mole fraction in the gas mixture. The activation energy E and the pre-expo-
nential factor A are obtained from the Arrhenius equation:
* = A exp {-EjRT) (5.21)
where k is the rate constant, E is the activation energy, R is the gas constant,
and T is the absolute temperature. According to this equation, a plot of
log k against l/Γ is linear; the activation energy can be calculated from the
slope and the pre-exponential factor is obtained from the intercept. Therefore,
the kinetic equation is as follows:
Vd = A exp (-E/RT)X<> (5.22)

123
FUNDAMENTALS

5.7.3.3 Kinetics of Gas Phase Reaction

In this method of determining the kinetics of the heterogeneous deposition


it is assumed that the conditions related to homogeneous kinetics can also·
be applied to the heterogeneous reaction which takes place in a tubular con-
tinuous reactor if, instead of reaction time, residence time of the reactant
gases in the susceptor is taken into consideration.
For a first order reaction, the following relation exists between the va-
riation in concentration of a reactant or a product and the time interval
during which the measurement takes place:

- — = kC (5.23)
dt
where k = A exp (—E/RT).
The solution of this first ordef differential equation is given by an ex-
pression which correlates the reactant concentration, the gas temperature
and the activation energy:
In {ί-1 In {CJC)} = - E/RT + lnA (5.24)
where C 0 is the reactant inlet concentration, C is the reactant outlet concen-
tration, t is the residence time, E is the apparent activation energy, A is
the pre-exponential factor, T is the gas temperature, and k is the rate constant.
A plot of In {t^ln (C 0 /Q} as a function of \jT gives rise to a straight line
(which shows that the reaction is unimolecular) from the slope of which the
activation energy is calculated.
The residence time is given by the expression:
t = LIVm (5.25)
where Vm = D/S, t is the reaction time (residence time on the susceptor),
L is the length of the heated susceptor existing in the continous tubular
reactor, Vm is the rate of gas circulation, D is the total gas flow rate, and S
is the cross-sectional area of the tubular reactor.

5.7.3.4 Determination of the Reaction Order

For a reaction of the general type (5.18), the following reaction rate results:
-dC/dt = kC%BQ (5.26)
where m and n represent the reaction orders corresponding to the initial
substances AB and C. The negative sign shows that the initial substances
are consumed. Transformation of the rate equation gives
AC*
l o g - — = log k + m log CAB + n log Cc (5.27)

If the concentration CAB is varied while the concentration Cc is held
constant, the reaction order m can be obtained from the slope of the straight

124
K I N E T I C S OF CVD

line, obtained by plotting log dCjdt against log CAB. The reaction order n
is calculated in the same way by varying the concentration Cc and holding
CAB constant. The sum m + n represents the total order of the reaction.

5.7.3.5 Reaction Mechanism

A heterogeneous deposition reaction may be described by either the Lang-


muir-Hinshelwood mechanism (a reaction between molecules AB and C
adsorbed on neighbouring surfaces), or by the Rideal-Eley mechanism (a reac-
tion between an adsorbed molecule AB and a molecule C from the gaseous
phase).
For the Langmuir-Hinshelwood mechanism, the reaction rate V depends
on the covering degree Θ of both reactants:
V = Mjuflc (5.28)
For the Rideal-Eley mechanism, because only one reactant is adsorbed,
the reaction rate is given by the expression:
V = kQABPc (5.29)
and the reaction rate will increase with the partial pressure of component
AB until all surface centres are covered with molecules AB.
When the pressure of the reactant C is held constant, the two possi-
bilities for reaction differ essentially in the reaction rate dependence on the
partial pressure (or the concentration) of the reactant AB.
For the Langmuir-Hinshelwood mechanism, as the partial pressure PAB
is increased, the reaction rate reaches a maximum and then decreases owing
to replacement of the component AB by C. For the Rideal-Eley mechanism,
the reaction rate will increase until saturation is attained. For example, in
the case of Si 3 N 4 deposition, the reactions between SiH 4 or Si(CH 3 ) 4 and N H 3
develop according to the Rideal-Eley mechanism, and the reaction between
SiCl 4 and NH 3 takes place according to the Langmuir-Hinshelwood mechanism.
Rate equations can also be expressed on the basis of absolute reaction
rate theory (also termed activated complex or transition state theory).
A rate process is characterized by an initial configuration which passes
by continuous change of the reaction coordinate into the final configuration.
There is always an intermediate configuration, called the activated complex
of the reaction, which is placed at the highest point of the most favourable
reaction path on the potential-energy (or free-energy) surface (Fig. 5.10).
As an example, we shall consider here the reaction of dissociative absorption
of a gaseous species AB on a surface, eventually in the presence of a large
excess of another reactant C, leading to the CVD of A, This reaction is first
order in AB and thus the expression of the kinetic rate of reaction is:
r = kOAB (5.30)
where C'AB denotes the concentration of AB species in the gas phase at the
reaction surface.

125
FUNDAMENTALS

Fig. 5JO Free-energy changes associated


Transition state
with a CVD process:
(A B)
Gj^ = standard free-energy change for de-
position reaction; iSGj/ — standard free-e-
nergy change of activation for deposition
Δ G reaction.

TInitial state

i"

Reaction coordinate

The rate constant k can be expressed, according to the transition state


theory, in the form:

£0exp (5.31)
RT )

where AG%£ is the standard free energy of activation for the deposition pro-
cess, and k0 is the value of the rate constant when the deposition is an acti-
vationless process.
The constant k0 consists of a frequency factor and an equilibrium con-
stant :
Γα
(5.32)

where the frequency factor, kBTjh (kB is Boltzmann's constant and h is


Planck's constant), represents the frequency (in s_1) at which any activated
complex crosses the barrier at a given temperature.
The equilibrium constant in k0 arises because of the change in concentra-
tion units when deposition occurs.
By substituting k from equation (5.31) and expressing the standard free
energy of activation in terms of the entropy of activation AS^f and the expe-
rimental energy of activation E, the expression of the kinetic rate of reaction
becomes:

r = k0C>AB exp ^ p j exp ( - - A _ J (5.33)

From an Arrhenius type plot (growth rate as a function of temperature),


under constant concentration of AB, the activation energy and the combined
term containing k0 and AS%* can be obtained. Calculation of the frequency
factor and estimation from thermodynamic data of the concentration of
adsorbed ^Ißleads to a value of A S ^ . The entropy and energy of activation
may give an insight into possible reaction mechanism.

126
K I N E T I C S OF CVD

This approach has been useful in determining the rate controlling mecha-
nism of W deposition from WF 6 , GaAs deposition from GaCl and AsCl 3 ,
and Si deposition from SiCl 4 —H 2 [510, 512, 513].

5.7.3.6 CVD Reaction Modelling

The deposition rate of CVD films can be calculated using a kinetic model of
the heterogeneous reaction [594—608]. The kinetic analysis of a supposed
reaction sequence is carried out b y including the homogeneous and hetero-
geneous equilibria established in the system and using the steady-state appro-
ximation.
As examples, we shall consider the mechanisms of CVD of Si 3 N 4 [610]
and Si [611] films prepared from SiH 2 Cl 2 —NH 3 —N 2 and SiH 2 Cl 2 —H 2
systems, respectively. In both systems, it may be assumed that the deposi-
tion process occurs through a reaction sequence which differs according to
the high, low or intermediate value of the substrate temperature. Indeed, at
low temperatures the reactants present a tendency to adsorption on the
substrate surface, the reverse tendency being probable at high temperatures.
So, at high temperatures, SiH 2 Cl 2 homogeneous decomposition is very pro-
bable ; at low temperatures, the SiH 2 Cl 2 adsorption on the substrate is favoured,
while at intermediate temperatures both SiH 2 Cl 2 homogeneous decomposition
and SiH 2 Cl 2 chemisorption followed by its heterogeneous decomposition
are possible.

5.7.3.6.1 Model jor the CVD of Si3N^Jrom the SiH2Cl2—NH3—N2 System


Heterogeneous mechanism. When Si 3 N 4 is deposited from an SiH 2 Cl 2 —NH 3 —N 2
system at normal or low pressures and in all temperature ranges, the follo-
wing reactions are likely to occur:
(1) the homogeneous decomposition of gaseous SiH 2 Cl 2 to SiCl2, the most
important intermediate in the process, and hydrogen:

SiH2Cl2(g) ^ = ± SiCl2(g) + H 2 (g) (5.34)


k-o

(2) the chemisorption of the SiCl2 species on active centres * of the substrate
surface:

SiCl2(g) + * J^=± SiCl* (5.35)

(3) the chemisorption of SiH 2 Cl 2 on the surface centres of the substrate with
the rapid onset of adsorption equilibrium:

SiH2C]2(g) -f * -r^-» SiH2Cl* (5.36)

(4) the decomposition of the chemisorbed SiH 2 Cl 2 to SiCl2 and H 2 :

SiH2Cl* ^=± SiCl2* + H 2 (g) (5.37)


£_3

127
FUNDAMENTALS

(5) the chemisorption of the gaseous NH 3 on the active centres on the substrate
surface:
NH 3 (g)+* ^=± NH3* (5.38)

(6) reaction between the chemisorbed SiCl2 and chemisorbed NH3 to form
the intermediate compound SiNH (this is the limiting step in the process):

SiCi; + NH3* - ^ SiNH* + 2HC1 (5.39)


{7) reaction between the chemisorbed SiNH and the chemisorbed NH 3 to
produce solid Si3N4:
3SiNH* + NH* - ^ Si3N4 + 3H2(g) (5.40)
The kinetic analysis is carried out by including the homogeneous and
heterogeneous equilibria established in the system and applying the steady-
state approximation. This analysis allows the deposition rate equation to be
found as a function of the concentrations of the silicon-containing gaseous
species and the other constituent. It is assumed that reaction (5.39) is the
limiting step. Reaction (5.40), which is not an elementary step, does not affect
the kinetic analysis because it follows the limiting step.
In the high temperature range, SiH2Cl2 tends to desorb and hence the
elementary reaction sequence consisting of reactions (5.34), (5.35), (5.38),
(5.39), and (5.40) is most probable.
The rate of heterogeneous formation of Si3N4 is given by:
d = k5 [SiClJ] [NH*] (5.41)
The equilibrium constants for reactions (5.34), (5.35) and (5.38) are:
K0 = [SiCl2(g)] [H2(g)]/[SiH2Cl2(g)] (5.42)
K, = [SiCl*]/[SiCl2(g)] (5.43)
K, = [NH8*]/[NH3(g)] (5.44)
In deriving equations (5.43) and (5.44) we have made the usual assump-
tion that the activity of the surface centres is equal to unity.
We now use equations (5.42)—(5.44) to rewrite equation (5.41) for the
deposition rate:
d = k^KoKt [SiH2Cl2(g)J [NH 3 (g)]/[H 2 (g)] (5.45)
Thus, in the high temperature region, the deposition rate is directly
proportional to SiH2Cl2 and NH3 concentrations at both high and low NH 3
partial pressures.
In the low temperature region, SiH2Cl2 is strongly adsorbed, and hence
the elementary reaction sequence consisting of reactions (5.36) — (5.40) is
most probable:

128
KINETICS OF CVD

The equilibrium constants for reactions (5.36)—(5.38) are:


Kt = [SiH2Cl|]/[SiH2Cl2(g)J (5.46)
K3 = [SiCIS] [H 2 (g)]/[SiH 2 Cl 2 *] (5.47)
Kt = [NH;]/[NH 3 (g)] (5.44)
We now use equations (5.44), (5.46) and (5.47) to rewrite (5.41) for the
Si3N4 deposition rates as:
d = k5K2K3Kt [SiH2Cl2(g)] [NH 3 (g)]/[H 2 (g)] (5.48)
Thus, in the low temperature region the Si3N4 deposition rate is directly
proportional to the SiH2Cl2 and NH 3 concentrations at both high and low NH 3
partial pressures.
In the intermediate temperature region, both homogeneous decomposition
and SiH2Cl2 chemisorption followed by heterogeneous decomposition occur.
Thus, the reaction sequence consists of all reactions possible in the SiH2Cl2—
NH3—N2 system, i.e. reactions (5.34) —(5.40). Since the steady-state appro-
ximation is valid for SiCl2 and SiH2Cl2 species (i.e. at equilibrium the
transformation rates of these intermediates should be zero) it follows that:
d[SiCl|]/d^ = 0J (5.49)
dtSiHaCllJ/d* = 0 (5.50)
Hence:
d[SiCltyt = ^[SiCl^g)] - A.x [SiClJ] + ^3[SiH2ClJ]
—Ä_3[SiCl*] [H2(g)] - ^[SiClJ] [NH*] = C (5.51)
d[SiH2Cl2*]/ d* = £2[SiH2Cl2(g)] - ^2[SiH2ClJ]
-£3[SiH2Cl£] + ^[SiClJ] [H2(g)] = 0 (5.52)
Equations (5.51) and (5.52), including the concentration of the surface cen-
tres, are written in terms of the appropriate kinetic constants kt. When
expressions (5.52) and (5.51) are added, the following relation is obtained:
*i[SiCl,(g)] - A-x [SiCl*2] - £5[SiCl2*] [NH3*]
+ £2[SiH2Cl2(g) - A.2[SiH2Cl*] = 0 (5.53)
The concentration of the chemisorbed SiCl2 species thus becomes:
rSiCn = *i[Sia,(g)] + ^aJSiH2Cl2(g)] - ^ 2 [SiH 2 Cl|]
(5.54)
MNHs*] + Ä.J
It is assumed that equilibrium is reached rapidly for SiH2Cl2 chemisorp-
tion on the surface centres of the substrate and hence the expression for the
equilibrium constant K2 can be written (5.46), from which we obtain:
[SiH2C15] = #2[SiH2Cl2(g)] (5.55)

129
FUNDAMENTALS

The homogeneous decomposition reaction of gaseous SiH2Cl2 also reaches


equilibrium,and the equilibrium constant K0 is given by (5.42), from which:
SiCl2(g) = tf0[SiH2Cl2(g)]/[H2(g)] (5.56)
When these values for [SiH2Cl£] and [SiCl2(g)] are substituted in equation
(5.54), the concentration of the chemisorbed SiCl2 can be written as follows:
*iK JSiH,Cl,(g)]/[H,(g)] + &2[SiH2Cl2(g)] - ä_2.K2[SiH2Cl2(g)]
r s i c n =

Ä6[NHa + *-i
β ^g 0 [SiH 2 Cl 2 (g)]/[H 2 (g)]
Ä6[NH3*] + Ä_x
At very high NH 3 partial pressures,
^[NHJ] > *_! (5.58)
Thus, the relation which gives the heterogeneous deposition rate of Si3N4
becomes:
d = Ä 5 [NH;] [SiClJ]
^^4[NH3(g)]^ħESilL
;J
[H 2 (g)]^ 4 [NH 3 (g)]
[SiH
= Ä1K0 2Cl2(g)]/[H2(g)] (5.59)
At very low NH 3 partial pressures
,
£5
[NH8*] <ξ k.x (5.60)
Thus:
d = ÄJTiHJ] [SiClJ]
= ^ 4 [NH 3 (g)1 > * « t S i H * C 1 ^

= W W [SiH,Cl,(g)] [NH3(g)]
*-i [H8(g)]
Thus, in the intermediate temperature region the Si3N4 deposition rate is
independent of the NH 3 concentration at high NH 3 partial pressure and
depends only on the amount of gaseous SiH2Cl2 introduced. At low NH 3
partial pressures, the deposition rate depends on the SiH2Cl2 and NH 3 con-
centrations.
Comparison between the theoretical equation for the deposition rate
and the experimental data shows that the proposed mechanism is valid.,
As expected, the experimental deposition rates show a linear dependence
on the SiH2Cl2 concentration irrespective of the temperature. The deposition
rate is independent of the NH 3 concentration at intermediate temperature
and very large NH 3 partial pressures. At very low NH 3 partial pressures, the
Si3N4 deposition rate depends linearly on the NH 3 concentration at all tem-
peratures.

130
KINETICS OF CVD

The presence of the SiCl2 intermediate in deposition systems containing


silicon chlorides (including SiH 2 Cl 2 ) has been demonstrated by analysing
the reactant gas mixture by mass spectroscopy and Raman spectroscopy.
Experimental data for the reactions of silicon chlorides and NH 3 have shown
that the reaction mechanism should be of the Langmuir-Hinshelwood type.
Thus, the reaction leading to the deposition of Si 3 N 4 takes place between two
chemisorbed species.
Homogeneous mechanism. Under some special experimental conditions
the deposition of Si 3 N 4 occurs primarily by homogeneous gas phase reactions,
and the heterogeneous surface reactions at the substrate surface play a less
important role. This mechanism is most likely to appear when depositions
takes place in the absence of any diluent gas at high reactant concentrations,
relatively high substrate temperatures, low total gas flow rates, etc.
In the homogeneous mechanism of Si 3 N 4 deposition from SiH 2 Cl 2 —NH 3 —
N 2 the following reactions are assumed to occur:
(1) the homogeneous decomposition of SiH 2 Cl 2 leading to the formation of
an SiCl2 intermediate:

SiH 2 Cl 2 (g) ^ ± SiCl2(g) + H 2 (g) (5.34)

(2) the reaction of gaseous SiCl2 species with NH 3 in the gas phase, leading
to the formation of an SiNH intermediate (this is assumed to be the rate-
limiting step):
SiCl2(g) + NH 3 (g) - ^ * SiNH(g) + 2HC1 (5.62)
(3) the reaction of SiNH and NH 3 in the gas phase:

3SiNH(g) + NH 3 (g) - ^ * Si3N4(g) + 3H 2 (5.63)


(4) the deposition of Si 3 N 4 (s) from Si 3 N 4 (g):

Si 3 N 4 (g) - ^ - * Si3N4(s) (5.64)


The rate of homogeneous formation of Si 3 N 4 is given by the relation:
d = £7[SiCl2(g)] [NH 3 (g)] (5.65)
where £ 4 is the reaction rate constant of the limiting step and [SiCl2(g)] and
[NH3(g)] are the concentrations of gaseous SiCl2 and NH 3 , respectively.
The equilibrium constant for reaction (1) is:
K0 = [SiCl2(g)] [H 2 (g)]/[SiH 2 Cl 2 (g)J (5.42)
and hence the deposition rate becomes:
d = k7K0 [SiH 2 Cl,(g)] [NH 3 (g)]/[H 2 (g)] (5.66)
Thus, in the homogeneous deposition of Si 3 N 4 films, the deposition rate
is also proportional to the SiH 2 Cl 2 and NH 3 concentrations.

131
FUNDAMENTALS

5.7.3.6.2. Model for the CVD of Si Layers from the SiH2Cl2—H2 System
Heterogeneous mechanism. In order to describe kinetically the Si growth
process from the SiH2Cl2—H2 system at normal or low pressure, the follo-
wing reaction sequence is supposed:
(1) homogeneous decomposition of gaseous SiH2Cl2 leading to silicon di-
chloride (SiCl2), the most important intermediate for the process:

SiH2Cl2(g) JL·* SiCl2(g) + H2(g) (5.67)

(2) chemisorption of the SiCl2 species on the surface centres of the substrate
surface:
SiCl2(g) + * -r^U SiCl2* (5.68)

(3) chemisorption of SiH2Cl2 on the surface centres of the substrate with a


rapid onset of the adsorption equilibrium:

SiH2Cl2(g) + * JL·» SiH2Cl* (5.69)

(4) decomposition of chemisorbed dichlorosilane in SiCl2 and H 2 :

SiH2Cl| JL± SiCl* + H2(g) (5.70)

(5) dissociative chemisorption of gaseous H 2 on the active centres of the sub-


strate surface:
H 2 (g)+2* JL·* 2H* (5.71)

{6) reaction between chemisorbed SiCl2 and chemisorbed H, leading to


the formation of an interrmdiate SiCl*; this step being considered the
growth rate limiting step:

SiCl*> H ; - ^ SiCl* + HC1 (g) (5.72)


{7) reaction between the chemisorbed SiCl and chemisorbed H which results
in solid Si:

SiCl* + H* ^ = ± Si + HC1 (g) (5.73)

The kinetic analysis is carried out by taking into account the homogeneous
and heterogeneous equilibria established in the system and by applying the
steady-state approximation. The analysis allows the growth rate equation
to be obtained as a function of silicon containing species and hydrogen con-
centration.

132
K I N E T I C S OF CVD

In the high temperature region (T > 1150°C), the elementary reaction


sequence consists of reactions (5.67), (5.68) and (5.71) —(5.73). The growth
rate equation is obtained as follows:
d = kb [SiClJ] [H*] = k&KJCl* [SiH 2 Cl 2 (g)]/[H 2 (g)]i/2 (5.74)
where k5 is the rate constant of the rate limiting step, and K 0, Kv and if4 are the
equilibrium constants for the reactions (5.67), (5.68) and (5.71), respectively.
In the low temperature range (T < 950°C), the reaction sequence is assumed
to consist of reactions (5.69) —(5.73).
Finally, the following expression for the Si growth rate is obtained:
d = k5K2K3K\l* [SiH 2 Cl 2 (g)]/[H 2 (g)]i/2 (5.75)
where K2 and K3 are the equilibrium constants for the reactions (5.69) and
(5.79), respectively.
In the intermediate temperature region ( Γ = 950 — 1150°C), the reac-
tion sequence consists of all reactions possible in the SiH 2 Cl 2 —H 2 system,
namely (5.67) —(5.73). Taking into account that under steady-state conditions
the transformation rates of SiCl2 and SiH 2 Cl 2 intermediates should be zero,
an expression can be obtained for the silicon growth rate identical with that
found in the high temperature region (at very low or normal hydrogen pressures).
The following relation is valid at moderate to low hydrogen pressures
(when there is strong adsorption of monoatomic hydrogen):

d = ^Κ0 [SiH 2 Cl 2 (g)]/[H 2 (g)]. (5.76)

Thus, the Si growth rate is proportional to SiH 2 Cl 2 concentration in


the gas phase, irrespective of the temperature value.
The growth rate is proportional to [112(g)]"1 at intermediate tempera-
ture and low H 2 pressures.
In the high, low and intermediate temperature regions (at very low and
normal H 2 pressures), the growth rate is proportional to [H 2 ]~ 1 / 2 .
Homogeneous mechanism. For the homogeneous growth process mecha-
nism from SiH 2 Cl 2 —H 2 , the following reactions are assumed:
(1) homogeneous decomposition of SiH 2 Cl 2 , leading to SiCl2 intermediate
formation:

SiH2Cl2(g) ^L± SiCl2(g) + H 2 (g) (5.67)

(2) reaction of gaseous SiCl2 species and gaseous H 2 , leading to the for-
mation of Si in the gas phase:

SiCl2 + H 2 - ^ 1 — Si + 2HC1 (5.77)


(3) deposition of Si(s) from Si(g):

Si(g) - ^ Si(s) (5.78)

133
FUNDAMENTALS

The rate of the homogeneous growth of Si layers is given by:


i = Ä7[SiCla(g)[Ha(g)] (5.79)
where k7 is the reaction rate constant of the limiting step, and [SiCl2(g)] and
[H2(g)] are the concentrations of gaseous SiCl2 and H2, respectively.
Assuming that the reaction (5.67) reaches equilibrium:
K0 = [SiCl2(g)] [H2(g)]/[SiH2Cl2(g)] (5.80)
The Si growth rate becomes:
d = k7K0[SiR2Cl2{g)] (5.81)
Thus, for the homogeneous mechanism of Si film foimation, the growth
rate is proportional only to the SiH2Cl2 concentration, being independent of
the H 2 concentration.

5.8 Kinetics and Mechanism of Dopant Incorporation


Since dopant incorporations in CVD layers are of primary importance for
solid-state technology, the kinetics have been studied in numerous papers
[612—621]. It was observed that dopant incorporation depends on the CVD
film deposition rate, and vice versa, and that the film deposition rate can
be affected by doping.
The kinetics of the incorporation of impurities, as a function of deposition
parameters (deposition temperature and growth rate) in cold-wall reactors
for the deposition of Si, GaAs and InP, has been explained by Duchemin
et al. [619]. They measured the concentration of pertinent chemical species
as a function of distance to the deposition surface in the boundary layer
by using gas-phase chromatography.
There are three limit cases, as follows.
(1) When the decomposition rate of the doping compound is fast, only
a small quantity of it is incorporated inside the depositing (growing) film,
whereas the rest diffuses towards the gas phase. In this case, the impurity
level is independent of the deposition rate but inversely proportional (expo-
nentially) to the temperature because of the equilibrium displacement on the
interface between the doping compound and the deposition surface. Exam-
ples of these cases are phosphorus incorporation in deposited silicon (SiCl4—
PH3—H2 system), zinc incorporation in deposited GaAs (Ga(CH3)3 —
AsH3—H2 system), and S and Zn incorporation in InP (In(C2H5)3—PH3—
H 2 S or Zn(C2H5)2—H2 systems).
(2) When the decomposition rate is slow, a larger quantity of the doping
compound is incorporated into the film. The impurity level is inversely
proportional (exponentially) to the deposition rate and directly proportional
to temperature. Such a dependence exists in the case of boron incorporation into
silicon (the SiCl4—B2H6—H2 system) or Si or Ge incorporation into
GaAs(the Ga(CH3)3—AsH3— SiH4(GeH4) — H 2 system).
(3) When the decomposition rate on the surface is fast, a larger quantity
of decomposed doping compound is incorporated into the growing film. The

134
KINETICS OF CVD

impurity level is inversely proportional to the growth rate and independent


of temperature. An example is germanium incorporation in silicon deposition.
It has been found that doping has a marked influence on the deposition
rate of some CVD films, such as Si in the SiH 4 —H 2 system, especially at
lower temperatures, i.e. in kinetic regime. Thus, the addition of AsH 3 or P H 3
to the deposition mixture decreases the Si deposition rate, whereas the addi-
tion of B 2 H 6 increases the deposition rate. Also, B 2 H 6 causes a decrease in
activation energy (7 or 20 Kcal/mole), while AsH 3 and PH 3 do not affect the
activation energy as compared with undoped growth (37 Kcal/mole). There
are several plausible explanations for this phenomenon, as follows.
(1) The decrease in deposition rate can be attributed to the blocking
action of growth sites by arsenic and phosphorus, the opposite effect being
supposed in the case of boron. The decrease in activation energy compared
with undoped deposition is explained by a structural change of the polycry-
stalline silicon due to the presence of boron.
(2) It is assumed that boron sites at the surface have the effect of giving
a second parallel reaction path, thus leading to a higher deposition rate.
(3) It is assumed that the desorption of negatively-charged hydrogen
surface atoms is enhanced due to the presence of boron, leading to an increased
hole concentration on the surface. The number of surface sites available for
the adsorption of silane is therefore increased.
(4) Another assumption is that boron and phosphorus doping changes
the surface charge, leading to a p-type or n-type surfaces, respectively. The
observed effect can be explained by supposing that the desorption of atomic
hydrogen or the adsorption of SiH 4 or SiCl 4 , whose bonds are considered to
have a partially ionic character, i.e. Si 4 + (H - ) 4 and Si4+(C1~)4 could be pro-
moted at p-type and hampered at n-type surfaces.

5.9 Transport Phenomena in CVD

The rate, uniformity, as well as other properties of deposition depend not


only on the chemistry of the CVD system, but also on transport phenomena
in the reactor. Such transport phenomena are the momentum, heat and
mass transport which determine the gas motion, the temperature distribu-
tion and the concentration distribution in the reactor [622—629].
The study of gas flow dynamics is very useful in understanding and
fulfilling the requirements for improving design and up-scaling a CVD reactor,
namely: uniform thickness and doping by delivering equal amounts of
reactants, including dopants, to all substrates; high chemical efficiency by
delivering sufficient amounts of reactants to substrates; uniform coverage
of profiled substrates; and the desired uniform or nonuniform etching of
profiled substrates.
The gas flow pattern in CVD reactors is determined by the following
parameters: flow velocity; temperature and temperature gradient; reactant
concentration and reactant concentration gradient; total pressure in the
system; reactor geometry; and the nature of diluent gas.

135
FUNDAMENTALS

The main studies of transport phenomena have been performed in


horizontal cold-wall reactors, which are the most widespread type due to
their relatively high simplicity, versatility, purity and efficiency. The results
obtained in this system can be extended to the barrel-type reactor, which is
similar. The theoretical analysis of gas-flow dynamics in a horizontal CVD
reactor is rather complex, owing to the existence of steep temperature gra-
dients perpendicular to and along the susceptor, as well as of entry effect,
which are important especially for short susceptors. Thus, an accurate model
of transport phenomena could be established only after performing direct
experimental investigations in the CVD reactor, such as flow visualization,
temperature gradient measurement and mass gradient measurement.
Flow visualization [622] performed using Ti0 2 smoke allows a qualitative
insight into momentum transfer in the gas phase (Fig. 5 Ala). A motionless
layer of gas has been observed in the immediate neighbourhood of the suscep-
tor, as well as the presence of natural convection at very low gas velocity
and forced convection at large velocities. There is also a mixed flow, i,e. a
combination of natur?l convection produced by the steep temperature
gradient and forced convection produced by the flow of the carrier gas.
Depending on the flow velocities, there are four regimes of gas motion:
laminar flow; turbulent flow; laminar flow plus vortices; and turbulent
flow plus vortices.
Measurements of temperature variation performed by means of a movable
thermocouple provide data on the heat transport at variable susceptor tem-
perature, gas velocity and carrier gas (Fig. 5.11a).
The existence was observed of an abrupt vertical temperature gradient
just above the susceptor and less abrupt gradient at a certain distance above
the susceptor, which is more abrupt in N2 than in H 2 or He, as well as an
increased gas temperature along the susceptor.
Measurement of the mass gradient was obtained by using a movable
massspectrometric probe (Fig.5.116). A concentration gradient was found for
the main reactant (SiCl4) which followed the temperature variation, namely,
it was steeper near the susceptor and less steep at some distance above the
susceptor, the concentration value decreasing with increasing distance from
the susceptor.
The experimentally obtained model showing the variation in reactant
concentration, gas velocity and temperature can be used to develop a mathe-
matical treatment of transport phenomena which is useful in designing CVD
reactors.
Transport phenomena in CVD reactors can also be investigated by
means of interference holography [629].

5.10 Status of Kinetic and Mechanism Investigations in CVD


Systems
In this section, the present status of kinetic and mechanism investigations
is briefly discussed for the major CVD techniques and film types.
Until now, the majority of papers are concerned with heterogeneous
kinetic studies of APCVD systems. These processes have been studied over

136
KINETICS OF CVD

7 2 6

JÄ^ ^^EL*
TiClfH20

(b)
Fig. 5.11 Arrangements for investigating transport phenomena in CVD (after Ban and
Gilbert [547]; reproduced with permission from JOURNAL OF CRYSTAL GROWTH, © 1 9 7 5
North-Holland Physics Publishing):
a — study of momentum and heat transport by using flow visualization and temperature mea-
surement at various points in the reactor: 1 — resistance-heated horizontal reactor; 2 — gra-
phite susceptor; 3 — movable platform; 4 — thermocouple; 5 — metal bellow; 6 — optical
pyrometer; 7 — T i 0 2 smoke; 8 — gas inlet; 9 — gas exhaust;
b — study of mass transport by using a movable mass spectrometric probe: 1 — gas inlet;
2 — gas outlet; 3 — RF-heated quartz reactor; 4 — graphite susceptor; 5 — quartz capillary;
6 — motion mechanism; 7 — metal bellows; 8 — mass spectrometer.

a range of deposition conditions, from pure kinetic control through mixed


kinetic and transport control to pure transport control. Various mechanisms
are discussed in terms of boundary layer theory in the diffusion-controlled
regime and Langmuir or activated complex adsorption theories in the kine-
tically-controlled regime.
In MOCVD, two separate temperature regimes can be identified and in
these regimes the growth rate is controlled <i>y means of surface reaction
kinetics and gas phase diffusion.
To date, the number of publications on the kinetics and mechanism of
LPCVD of materials used for semiconductors has been rather small [300,
630—640]. Generally, it is shown that there is no transport control of the
growth, and the kinetics are controlled by the surface reaction at low ambient
pressure. At higher ambient pressure, however, mass transfer can become
slower.
Reaction kinetics and mechanisms of the radio frequency glow-discharge
deposition processes have been studied in several papers [641, 642], dealing
mainly with #-Si: H films [3901—3911]. A kinetic model is normally deve-
loped only after detection of intermediate species in plasma using methods
such as mass spectrometry, optical emission spectroscopy and coherent anti-
Stokes Raman spectroscopy. The mechanism proposed for the process of
a-Si deposition from silane assumes SiH4 decomposition in the gas phase by
means of inelastic collisions between the free electrons of the plasma and
molecules; this decomposition provides mainly neutral fragments which
diffuse towards the substrate and the polymerization occurs mainly on the
surface.

13T
FUNDAMENTALS

The kinetics and mechanisms of homogeneous CVD, investigated in


a-Si: H growth, are based on SiH2 (silylene) as the primary gas phase inter-
mediate.
Owing to its commercial importance, the kinetics of silicon epitaxy
has received the greatest attention. Models for the CVD rate of silicon have
also been studied by many investigators. There are several diffusional models
in horizontal vertical cylinder (barrel) and rotating disc reactors, as well as
surface or mixed control models. Moreower, a model for dopant incorporation
in silicon epitaxial films growing from an SiH4—AsH3—H2 mixture in a
horizontal reactor is available [620, 621]. This model considers a sequence
of processes occurring in the gas phase and at the surface and describes the
doping process under both transient and steady-state conditions. Selective
epitaxial growth can also be modelled by assuming diffusion in a stagnant
gas [581].
Polycrystalline silicon deposition from SiH 4 or SiH2Cl2 reaction has
been explained by using several diffusional surface or mixed models which
consider various rate determining reactions and surface spscies.
Growth mechanisms in the CVD of GaAs and InP have been discussed
theoretically in terms of rate processes [510, 607, 608].
In the MOCVD of compound semiconductors (GaAs, InP, etc.), the me-
chanism of the growth can be derived after determining the nature of the
surface processes by using various methods of experimental investigation,
such as atomic absorption spectrophotometry, UV spectrometry, IR spec-
troscopy, and others.
Only a few papers have been published on the growth mechanism of
other films, for example the LTCVD-Si02mechanism [4068], Si3N4 deposition
mechanism under diffusion-or kinetically-controlled conditions [609, 610],
and the mechanism of Sn0 2 formation [4650]. Recent kinetic investigations
have begun to be focused on advanced methods, such as UVCVD [644] and
LCVD [645].
A summary of results of Kinetic and mechanism investigations on CVD
films is presented in Table 5.3.
TABLE 5.3
Apparent Activation Energy (Calculated from an Arrhenius Plot) for CVD Systems
Reaction Activation
Deposition Temperature
No. Film Energy Reference
Technique system range (°C)
(kcal/mole)

ΊΓ 1 2 3 4 5 6

A. Semicond uctors
1 epi-Si APCVD SiCl4-H2 1000-1150 16.7; 22; [2320,2344,2483]
30; 37
2 APCVD SiH 2 Cl 2 —H2 1000-1150 13; 3.2 [2370]
3 APCVD SiHCl3-H2 1000-1150 25; 22 [2320,2378.2379]
4 APCVD SiBr4-H2 1050-1300 15 [2381]
5 LPCVD SiH4-H2 950-1100 37 [2361]
6 LPCYD SiH4-H2 950-1050 11 [301]
7 UVCVD Si 2 Cl 6 —H 2 600-1000 37.5 [340]
8 poly-Si APCVD SiH4-H2 650-1150 38 [809]
9 APCVD SiH4-H2 6 5 0 - 900 30 [594]

138
KINETICS OF CVD

TABLE 5.3 (continued)

0 1 1 1 2 1 3 1 4 1 5 | 6
10 I APCVD SiH 4 -N 2 650-800 33 [594]
11 APCVD SiH 4 -Ar 650- 800 11.86 [595]
12 APCYD SiH 4 -He 600- 800 42.55 [2415]
13 APCVD SiH 4 -N 2 650- 950 12.67 [643]
14 APCYD SiH2Cl2-N2 750- 950 25.6 [643]
15 LPCVD SiH 4 -N 2 619- 675 36.8 [204]
16 LCVD SiH4 900-1100 44 [378]
17 \a-Si APCVD SiH4-H2 I 550- 650 22; 51 [3894, 3876]
18 \homo-CVO SiH 4 -H 2 I 550- 650 54 [3894]
19 APCYD SiF2 450- 550 29 [3890]
20 Ge APCVD GeCl 4 -H 2 600- 800 3 [2525]
21 APCVD GeBr 4 -H 2 600- 800 2.5 [2381]
22 APCVD GeH 4 -H 2 300- 450 17 [2529]
23 APCVD Ge(CH 3 ) 4 -H 2 ; 700-1000 25-30 [2533]
Ge(C 2 H 5 ) 4 -H 2
24 SiC APCVD SiCl4-C3H8-N2 1300-1750 25 [2554]
25 BN LPCVD |B 2 H 6 -NH 3 -H 2 300-350 20-26 [2794]
26 BP/Si APCVD JB2H6-PH3 1050-1250 11.5 [2828]
27 GaAs LCVD TMGa-AsH 3 - 500- 680 7.6 [384]

28 BP/Si APCVD BBr3-PCl3-H2 950-1100 26 [2815]


29 GaN APCVD Ga-HCl-NH3- 900-1050 11 [2856]
-Ar
30 GaAs/Ge CSCVT GaAs-H 2 0-H 2 650- 750 43; 49 [255, 256]
31 GaAs APCVD Ga-AsCl 3 -H 2 725- 800 40; 49.1 [518, 2648,2652]
32 \epi-lnVI APCVD In-HCl-PH 3 600- 750 15; 18.7 [3608]
InP, CdS
33 ipoly-InP/ APCYD In-PCl 3 -H 2 470- 600 15 [3783]
Mo
34 Uo/y-InP/ APCVD In-HCl-PH 3 410- 600 17.7 [3785]
Mo
35 GaAs0.6P0. APCVD Ga-HCl-AsH3- 780-820 39.5 [3053]
— 3— 2
36 In 0 „Ga n APCVD In-HCl-AsH3- 650- 750 44 [3086]
As/InP 4? -PH 3 -H 2
37 \poly-ZnO LPCYD DEZ-O a 370- 450 7.13 [3247]
38 ZnSe/GaAs APCVD ZnSe-H 2 750-1000 26 [3281]
39 CdSe APMOCVD DMCd-DMSe 200- 300 25 [3316]
40 CdTe APMOCVD DMCd-DMTe 200- 300 25 [3327]
41 HgTe IAPMOCVD lHg-DETe-H 2 t 395- 440 130 l[3344]
B. Insulators
42 Si02 lAPCVD |SiCl4-C02-H2 1800-1050 |82 .[4047]
43 APCVD SiH 4 -C0 2 -H 2 800-1050 51.6 [4048]
44 APCVD SiH 4 -CO a - 800-1050; 25.4; 65 [4052, 4053)
-HC1-H2 950-1050
45 APCVD SiBr4-C02 -H2 800- 950 30 [4046]
46 LPCVD SiH 4 -N0 2 -Ar 400- 900 17 [4055]
47 APCVD SiH 4 -0 2 -N 2 500- 650 8 [527]
48 APCVD SiH 4 -N 2 0-N 2 370- 540 32 [527]
49 APCVD SiH 4 -C0 2 -N 2 700- 900 34.58 [643]
50 APCVD SiH 4 -0 2 -N 2 400- 700 4.34 [643]
51 APCVD SiH2Cl2-C02- 850-1050 32.66 [643]

52 APCVD SiH 2 -Cl 2 -0 2 - 500- 700 11.7 [643]


1
I |-N 2 I I 1
139
FUNDAMENTALS

TABLE 5.3 (continued)

0 1 2 3 4 5 6

53 LPCVD TEOS-02-N2 6 7 5 - 800 46.5 [304]


54 LPCVD TEOS-02- 6 7 5 - 800 32 [304]
-PO(OCH3)3-N2
55 Si 3 N 4 APCVD SiH4-NH3-H2 750-1100 26.5 [4284]
56 APCVD SiH4-NH3 7 0 0 - 900 17 [4272]
57 APCVD SiH4-NH3-H2 7 0 0 - 900; 52; 6 [4286]
900-1150
58 APCVD SiCl4-NH3-H2 550-1250 15 [4274]
59 APCVD SiCl4-NH3-N2 700-1100 24 [4272]
60 APCVD Si(CH3)4- 800-1100 65 [4309]
-NH3-N2
61 APCVD SiH4-N2H4-H2 5 5 0 - 1 1 5 0 54 [4303]
62 APCVD SiBr4-NH3 5 0 0 - 900 19 [4302]
53 APCVD SiH4-NH3-N2 7 0 0 - 900 18.32 [643]
64 APCVD SiCl4-NH3-N2 7 0 0 - 1 1 0 0 26.30 [643]
65 APCVD SiH2Cl2- 7 0 0 - 1 1 0 0 22.55 [643]
-NH3-N2
66 LPCVD SiH4-NH3-N2 7 0 0 - 900 20 [643]
67 LPCVD SiH2Cl2- 7 0 0 - 900 23 [643]
-NH3-N2
68 PECVD SiH4-NH3 2 5 0 - 700 1.4 [643]
69 Ge 3 N 4 APCVD GeCl4-NH3 4 0 0 - 600 17 [4433]
70 A1 2 0 3 APCVD A1C13-C02-H2 7 2 5 - 900 22.8 [4210]
71 Ti02 APCVD TiCl4-02 4 0 0 - 850 18.4 [4244]
72 A1SG APCVD SiCl4-AlCl3- 880-1100 42.5 [4180]
-C02-H2
C. Cond uctors
73 W APCVD WF6-N2 4 0 0 - 700 3 [4507]
74 APCVD WF4-H2 700-a loo 7.82; 16 [4507, 4511]
75 LPCVD WFe-H2 4 0 0 - 700 16 [4517]
76 SnO a APCVD Sn(CH3)4-02- 4 5 0 - 550 37.8; 41.4 [4646, 4650]
-Ar
77 APCVD SnCl4-H20 2 5 0 - 400; 9.4 [4647]
400-600 17.5
78 APCVD SnCl4-02 5 0 0 - 600; 6.2; 34.5 [4647; 4650]
6 8 0 - 873
79 a-B APCVD BC13— H 2 950-1200 31.4 [4679]
80 TiB 2 LPCVD TiCl4-BCl3-H2 900-1600 40 [4685]
81 APCVD TiCl4-BCl3-H2 800-1100 18.3 [4695]
82 ZrB 2 APCVD ZrCl4-BCl3-H2 800-1100 15.7 [4695]
83 a-C LCVD C 2 H 2 —H 2 1600-2600 51 [402]
6
Measurement of Thin Film Thickness*

6.1 Introduction

Knowledge of thin film thickness is of great importance not only in the study
of their formation kinetics or physico-chemical properties, but also in tech-
nology, particularly in the fabrication of electronic components where
stringent tolerances are usually required. For a CVD thin film which gene-
rally has smooth and parallel bundary surfaces, the thickness can be defined
as the least distance between two boundary surfaces.
Numerous methods for measuring CVD film thickness in the range
0.01 — 10 μπι, as used in the electronic device industry, have been discussed.
All show both advantages and disadvantages, which must be taken into
account when selecting the appropriate method for a particular application.
Thin film thickness can be measured either during the deposition process,
or after removal of the sample from the deposition apparatus. In-situ measu-
rements have many advantages, such as the possibility of continuously
monitoring the film thickness and of halting the deposition process at any
desired film thickness. Also, any fluctuations in the deposition rate are in-
stantly detected so that a certain film thickness can eventually be reached
by using an accelerated or retarded process, as required in some applications.
Only two techniques are employed for in-situ CVD film thickness measure-
ment: {a) the gravimetric method based on weight measurement with the
help of a cathetometer or an electrobalance, and (b) the optical method
based on the interference of radiation emitted or reflected by the sample.
Thin film thickness measurement can be performed both destructively
and nondestructively. Nondestructive methods are generally preferred be-
cause they are quicker, cheaper and allow direct control of all depositions
obtained. Methods may be either general or specialized. The choice depends
on whether any type of film may be used or only certain types. For example,

* Portions of this subsection have been reprinted after Morosanu [89], and Morosanu
et al. [610] from THIN SOLID FILMS, with permission of the publisher, Elsevier Sequoia S.A.

141
FUNDAMENTALS

as will be shown later, some proposed methods are especially relevant to


epitaxial silicon.
According to the type of measurement, techniques of CVD film thickness
measurement can be divided into several groups, namely: mechanical
(sample weighing, mechanical step-height measurement); mechanical-optical
(angle lap and stain, stacking fault dimension, grooving); optical (colour
chart, interferometry, ellipsometry, variable-angle monochromatic fringe
observation, constant-angle reflection interference spectroscopy, infrared
transmission or reflection, visible or infrared radiation interference, Fourier
transform spectrometry, prism coupling); and electrical (capacitance bridge,
conductivity) methods. Other methods which have only limited use in CVD
film thickness measurement, such as X-ray fluorescence and beta-particle
backscattering, are discussed in Sections 9.3.1 and 10.2.3, respectively.
A survey of CVD thin film thickness measurement techniques is given
in Table 6.1.
Several excellent surveys, reviews and book chapters concerned with
PVD, CVD thin film as well as substrate thickness measurement are already
available [3, 5, 15, 89, 646-651].
The purpose of this chapter is briefly to review the sixteen major
techniques available today for CVD film thickness measurement, empha-
sizing the basic principles and instrumentation. These techniques are also
compared in terms of the most important practical parameters including
capabilities and limitations. Furthermore, we give some practical examples.

6.2 Mechanical Methods

6.2.1 Sample Weighing Method

In this method, the support is Weighed before and after deposition and the
film thickness can be determined if the density is known [652, 653]. The
method can be useful for measuring the thickness even during layer deposi-
tion, when the support has been placed on one arm of a microbalance for
example. If ^4, p, A, m, are the area, density, thickness and mass of the depo-
sited layer, respectively, then hAp = mf hence h = tn/Ap. The method is
simple, rapid and nondestructive. Its disadvantages are the difficulty of
measuring the area for irregularly shaped substrates; the need to know the
exact density of the film; the possibility of errors due to the deposition on the
lateral sides and back of the substrate; the need to use a high sensitivity
balance (0.1 fxg); and only mean thickness can be measured.

6.2.2 Profilometer Method

The measurement of film thickness can be achieved by using a mechanical


stylus (a pyramid-shaped diamond needle) [649, 654—658]. This method
also enables the deposited surface layer profile to be traced. Because of

142
TABLE 6.1.

A Comparison of Various Methods for CVD Film Thickness Measurement [646, 651]

Minimum Maximum Gene-


measura- measura- Cali- ral
No. Method ble thick- ble thick- Accuracy Nondes- Main Main Typical measured Referen-
bra- appli- ces
ness ness (Ä) tructive advantages disadvantages films
tion cabi-
(μπι) (μπι) lity
0 1 2 3 4 5 6 7 8 9 10 11

1 Sample 0.005 ±50 yes no yes Simple and Requires accurately Any thin film on any [652, 653]
weighing fast measured density substrate
Adaptable for and surface film
in-situ mea- area
surement Gives average
thickness
Errors caused by
film deposition also
on substrate sides

2 Profilometer 0.001- 100 ±100 no no yes Simple and Errors caused by Any thin film on any [649, 654
-0.005 fast film or substrate s u b s t r a t e : SiO a ; -658]
High roughness glasses; Si 3 N 4 ; l n 2 0 3 ;
sensitivity Errors for soft epi-Ga,As; poly-Si;
Very reprodu- films metals
cible > Requires a step
Surface in the layer
contour map
obtainable

3 Angle lap 0.3 — ±1000 no no no Fairly simple Requires an easi- epi-Si/ip+Si; very t h i n [ 6 5 9 - 6 6 3 ]
and stain ly definable inter- epi-Si; metal layers;
face and flat and GaInAs/InP(GaAs) \epi-
parallel substrate Ge
and layer surfaces

4 Grooving 0.2 - ±400 no no no Very simple Requires a defina- epi- Si [664-668]


ble interface
TABLE 6.1 (continued)

0 | 1 | 2 3 4 5 6 7 8 9 10 U

5 Stacking 0.2 - ±80 yes no no Simple and Requires fault pre- Uz-Si/p+(n+)Si [669, 670]
fault dimen- fast sence
sion Applicable to sin-
gle crystal films
6 Colour chart 0.05 >1 ±50 yes yes yes Simple Thickness order
Requires no must be known S i 0 2 ; Si 3 N 4 ; SnOa [685, 686,
instrument Requires calibra- 692]
tion charts for
each type of film
material
7 Single-and 0.005- 2 ±5-30 no no yes Simple and Requires a flat and S i 0 2 ; glasses; Si 3 N 4 ; [ 6 7 1 - 6 7 5 ]
multiple- -0.02; fast high reflectivity metals; SnO a ; T i 0 2 ;
beam inter- 0.0025 2 Film surface film Zr0 2 ; HfO a
ferometry contour map
obtainable
8 Ellipsometry 0 . 0 0 1 - ±10 yes no yes Highest sen- Thickness order S i 0 2 ; S n 0 2 ; Si 3 N 4 ; [676-684]
-0.0025 sitivity must be known S i 0 2 — Si 3 N 4 ; epi-Si;
Very repro- Requires a light ZrO a ; T i 0 2 ; T a ^ ;
ducible transmitting layer Nb 2 O s ; A1N; A1 2 0 3 ;
and a reflecting Al^OyNg; Si:SiO a ;
substrate Si:Si 3 N 4 ; BN/Si
Requires knowledge
of layer and sub-
strate optical pro-
perties
Data interpretation
is difficult
Relatively expen-
sive equipment
9 VAMFO 0.08 2-3 ±10 | yes 1 yes yes 1 Requires no 1 Requires accurate S i 0 2 ; glasses; Si 3 N 4 ; 1 [688-693]
optically fringe minima poly-Si; epi-Si/sapphire
flat film determination
Film surface
contour map 1
obtainable
10 CARIS 0.1 1 ±50 yes yes yes Convenient Film refractive |Si0 2 ; Si 8 N 4 ; poly-Si; [685-689]
and quick index must be ZrO a ; BN/Si
Can be used known
'as in-line mo-
nitoring tool
11 IR/UV-visi- 0.04 >1 ±50 yes no yes Contactless Dielectric constant SiO a ; Si 3 N 4 (IR) epi-Si [ 6 9 4 - 6 9 8 ]
ble transmis- Independent of film must be /sapphire; SiO a ; Si 3 N 4
sion spectro- of interfe- known (UV-visible)
scopy rence within Applicable to only
the film SC and dielectric
Useful also films exhibiting
for films IR/UV-visible lat-
having rough tice absorbtion
surfaces bands
Useful for Substrate must be
non-transpa- transparent within
rent in visible the region of the
films absorption band
12 IR reflection 0.05-0.5 >5 ±100- yes no yes Fast and Requires sufficient epi-Si; epi-Ge; SiO a ; [699-717]
spectroscopy 1000 simple difference in layer Si 3 N 4
Very repro- and substrate re-
ducible fractive index
Requires uniform
layers
13 Internal IR 0.15-0.5 4 ±200 yes yes yes Simplicity Inapplicable to low epi- Si /sapphire; [519-526]
and external Accurate T ( < 700°C) depo- e^n-Si/implanted Si;
visible in-situ moni- sition poly-Si; S i 0 2 ; Si 3 N 4 ;
or IR laser 0.15-0.2 0 . 5 - 2 ±100 toring More expensive A1 2 0 3 ; BN
radiation Applicable Complicated to
interference even at low apply
deposition
temperatures
and to thin
and thick
films
14 Fourier <1 200 ±50-200 yes no yes Used for Very expensive epi-Si on n+(p+)Si; [718-722]
transform automated equipment Si/sapphire; glasses
spectrometry measurement
of produc-
tion wafers
TABLE 6.1 (continued)

0 1 4 6 7
9 10 11
2 3 5 8 1
15 Prism 0.2 - ±100 yes no yes Rapid Inapplicable to very Si0 2 /Si; Si 3 N 4 /Si; ' [723-728]
coupling Very repro- thin films Al203/Si02; BN/Si;
ducible e^z-Si/sapphire; a-Si/
glass
16 Capacitance 0.1 ±2000 yes yes no Rapid and Film dielectric con- epi-Si; poly-Si [729]
bridge reliable stant and probe
Uses automa- contact area must
ted equip- be known
ment Applicable only to
Measurement SCs and dielectrics
of submicron
Si layers
17 X-ray 0.5 100 ±5000 yes yes no Suitable for Very expensive Glasses; Si 3 N 4 /wow-Si; [922]
fluorescence automated equipment Si/sapphire; Al, Mo,
spectrometry measurement Au, Pd, Pt, Ag, Ta
of production on Si or SiO a ; MoSi 2 ;
wafers TaSi 2 ; WSi 2 ; TiSi 2
18 Beta-particle 0.005 100 ±200 yes yes no Fast and Mechanical errors Metal layers [3]
backscat- simple , Source decay
tering
MEASUREMENT OF THIN FILM THICKNESS

5
(α) (b) 10
Fig. 6.1 Schematic diagram of a surface relief measuring instrument
(profilometer) (after Reason [654]; reproduced by permission of Macmillan
Press Ltd.):
1—stylus; 2—pick-up; 3—gears and motor; 4—wafer; 5 — oscillator;
6 —amplifier; 7 — demodulator; 8 — filter; 9 — meter; 10 — recorder.

irregularities in the surface examined, the stylus suffers a vertical displa-


cement while being moved on the surface. The variation in induction caused
by the change in distance between the transducer and the silicon wafer
is detected electronically. The amplified electric signal (maximum gain 106)
is applied to a strip chart recorder and the surface profile of the film studied
is reproduced to high accuracy. Measurement of the surface texture around
a step etched in the film gives the film thickness. Such a thickness measuring
instrument involves the following components: a transducer provided with
gears and a motor to drive the stylus over the wafer surfaceman electronic
unit to amplify the signal obtained, and a recorder (Fig. 6.1).
This method is rapid and simple, the minimum measurable thickness
being 5—50 A. However, it requires creation of a layer-substrate step.
Greater accuracy is obtained only when the film and substrate are smooth.
Erroneous measurement can arise when soft layers become scratched by
the diamond needle of the instrument.

6.3 Mechanical-Optical Methods

6.3.1. Angle Lap and Stain Method

This method consists of oblique sample sectioning in order to expose the


interface which is delineated by etch-staining. The sample is then examined
by interferometry to evaluate the thin film thickness [659—663].
As shown in Fig. 6.2, this method can be used to determine the epitaxial
Si thickness or the p-n junction depth formed in a substrate or layer.
The method is very simple, but destructive. It requires the development
of an adequate procedure for delineating the interface, and its sensitivity is
relatively low, the minimum measurable thickness value being 3000 A.

147
FUNDAMENTALS

Fig. 6.2 Bevel and stain of inter-


face (when difference in resistivity
is present) for measurement of
silicon epitaxial layer thickness.

Substrate

6.3.2 Grooving Method

This method consists of grooving a cylindrical moat in the epitaxial deposited


sample, the delineation of the interface by etching and staining (Fig. 6.3), and
the measurement of two linear variables using a reticle microscope [664—668].
The film thickness can be calculated using the relation d = xyj2R,
where R is the radius of the cylindrical drum used for grooving the sample.
Compared with angle lap and stain, this method is simpler and more
sensible, the minimum measurable thickness being 2000 A.

.3.3 Stacking Fault Dimension Method

This method is based on the measurement of a stacking fault dimension,


which can be correlated with the thickness of epitaxially deposited layers
[669, 670]. The method is applicable to silicon epitaxial layers having both
the same and the opposite conductivity type as the silicon substrate. Stacking
faults consist of a deviation from the normal superposition sequence of
atoms in a crystalline lattice. They can be revealed by chemical etching of
the epitaxial layer for a certain time depending on the layer conductivity
type, resistivity and orientation, this being typically 30 s in a solution
containing 1 p 48% HF - 2 p (50 g Cr0 3 in 100 ml H 2 0).
The stacking faults appear on the etched surfaces as polygons whose
form depends on the substrate orientation. Thus, for (lll)-oriented silicon
substrates, the stacking faults have the form of separated or intersected
equilateral triangles or portions of these triangles, such as straight lines or
angles. In the case of (lOO)-oriented silicon substrates, the stacking faults
appear as separated or intersected squares or portions of these. The layer

Fig. 6.3 Cross section of the groove


obtained by using an epitaxial wafer
grooving device, consisting of a steel
Substnot* grooving cylinder impregnated with an
abrasive suspension.

148
MEASUREMENT OF THIN FILM THICKNESS

thickness is given by the relations: h = 0.816 I and h ~ 0.707 I, in the case


of (lll)-oriented and (lOO)-oriented substrates, respectively, I being the
mean length of the triangle or square side.

6.4 Optical Methods

6.4.1 Colour Chart Method

This method is based on the interference of visible radiation which has the
same inclination to the wafer surface. If the direction of the incident radia-
tion is nearly normal to the surface containing the film, and if the film is
thin and uniform, then the whole film surface shows one interference colour.
This colour corresponds to a certain film thickness and it is possible to
determine the thickness using a prior calibration [685, 686, 692]. Colour
charts have been prepared, for example, for Si0 2 , Si3N4 and W deposition
on silicon and for Sn0 2 layers on glass. The table giving the interference
colour for the selected Si3N4 film thickness is given in Table 6.2.
TABLE 6.2
Colour Chart for Selected Si 3 N 4 Film
Thickness [89]

Si 3 N 4 thickness(A) Si 3 N 4 colour

500 golden brown


1000 pale blue
1500 yellow
2000 d a r k red
2500 blue-green
3000 orange-yellow

6.4.2 Interferometric Method

The interferometric method provides thin film thickness measurement based


on the interference undergone by a monochromatic light beam incident on a
reflecting surface possessing a step. This beam is split into fringes separated
by a distance equal to λ/24 where λ is the monochromatic light wavelength.
The fringe displacement due to the step height, as well as the interval bet-
ween the fringes, can be measured and correlated with film thickness [671 —
675].
The variant based on single-beam interference (Michelson interfero-
metry) allows the measurement of film thickness of 200 Ä minimum. The
multiple beam interference variant (Tolansky interferometry) permits a
minimum thickness of 25 A to be measured. In the latter method, the multiple
beam is obtained from the incident beam which is repeatedly reflected

149
FUNDAMENTALS

Fig. 6.4 Multiple-beam interferometry:


(a) — schematic diagram of Tolansky multiple-beam interferometer:
1 — light source; 2 — condenser; 3 — diaphragm; 4 — collimator; 5 — filter;
6 — semitransparent mirror; 7 — sample; 8 — semitransparent and reflecting
p l a t e ; 9 — microscope ;
(b) —fringes produced by multiple-beam interference along a layer substrate step;
(c) — multiple beams occuring by successive reflections of the incident beam (10)
between a semisilvered plane mirror (11) and an opaque substrate (12).

between two high-reflectivity low-absorptivity surfaces. The monochromatic


light interference fringes are produced in a space limited by the sample
surface and a semisilvered mirror.
The diagram of an interferometer (Tolansky type) for film thickness
measurement is given in Fig. 6.4. The interference pattern, visible with
the help of a low power microscope, is also shown. Light passes from a
monochromatic source (namely a sodium vapour lamp, λ = 2946 Ä) through
a condenser, a diaphragm, a collimator and a filter, and falls on a semisilvered
mirror. It is then partially reflected to the interference system, which consists
of a substrate covered by a thin reflecting layer over which a semisilvered
and reflecting optical flat is placed. The optical flat forms an angle Θ with
the support. The interference fringes appear at a step in the film deposited
on the support. The thickness h of the deposited layer is given by
h= — — (6.1)
I 2
where ΔΖ is the interference fringe displacement, and I is the distance between
two neighbouring fringes. The accuracy of the method increases if a highly
reflecting layer of aluminium or silver is deposited to cover the step in the
film on the support surface. In order to obtain a sharp step in the deposited
layer, the film deposited on part of the suppport is completely removed by
chemical etching.

6.4.3 Ellipsometric Method


In the ellipsometric method [676—684], modifications of the polarization
state of a light wave, which are produced as a result of the interaction of the
wave with the sample examined, are analysed. The polarization state is

150
MEASUREMENT O F T H I N F I L M T H I C K N E S S

characterized by the phase and amplitude relations between the two plane
waves which are components of the electric field vector in which the polarized
oscillation can be solved. One wave p is in the incidence plane; the other s
is normal to the incidence plane. The relative phases of the p and s waves
are modified by reflection. Reflection also modifies the amplitude ratio. The
ellipsometric magnitudes characteristic of the wave reflection on the sample
surface are defined as follows: the angle Δ represents the phase modification
and the angle ψ represents the factor by which the amplitude ratio is modified.
The ellipsometric data (the values Δ and ψ are determined experimen-
tally ) yield the refractive index and the film thickness, when the fundamental
relation of ellipsometry is applied:

tan ψ exp (iA) = »1 + r ^r 6 X epx ( ^ 2 i *2iS


r }
* + y**'e*P (~2iS) (6.2)
+ iv 2P P (— ) r18 + r2s exp (—2iS)
d = CniS (6.3)
CH1 = (λ/2π) (wf - n% sin* Φ0)-ι/2 (6.4)
d = (mn + x) Cni (6.5)
where d is the film thickness, δ is the phase difference, x is the value S for a
film of m-th order, n0 is the refractive index of silicon, Φ0 is the angle of
incidence (70°), and rx and r2 are the Fresnel reflection coefficients at the
ambient film and film substrate interfaces respectively. The Fresnel reflection
coefficients for reflection from the surface of a medium b into a medium a
at the a\b interface are given by the formulae:
na cos Φ0 — nh cos Φα (o.o
rp = na cos Φ0 + nb cos Φα )
tigcos Φ — η cos Φ
y8 α 0 ϋ (6.7)
=z
na cos Φα + nb cos Φΰ
A diagram (Fig. 6.5b) of the variation of Δ and ψ for different refractive
indices n is obtained when the fundamental equation of ellipsometry is
solved. The phase difference S is also indicated in Fig. 6.5b. The thickness d
is calculated by reading nx and S from the graph (and evaluating m).
The ellipsometer is a special polarizing spectrometer (Fig. 6.5a) made up
of the following parts: a monochromatic light source; a collimator; a pola-
rizer which polarizes the light (Glan-Thompson prisms); a compensator
(quarter-wave plate) which transforms the linearly polarized light into
elliptically polarized light; a support on which the silicon sample covered
with a thin film is placed; an analyser; and a detector.

6.4.4 Methods Based on the Utilization of UV-Visible


Reflection Spectra

In this method, interference effects which appear in the layer-support system


are used to measure the film thickness. If the refractive index of the deposi-
ted material differs from the refractive index of the support, then the reflec-

151
FUNDAMENTALS

(α)

10 Τθ Jo , W 50
—·· ψ (degrees)
(bV
Fig. 6.5 Ellipsometry:
a — schematic diagram of an ellipsometer: 1 — light source;
2 — polarizer; 3 — compensator; 4 — sample; 5 — analyser; 6 —
detector;
b — ellipsometric curves for the Si 3 N 4 /Si system (after Saxena
and Tkal [680]; reprinted by permission of the publisher, The
Electrochemical Society, Inc.)

ted light intensity is reduced to a minimum when the support-layer system


is illuminated by monochromatic light. The phenomenon results from the
extinction produced by interference of light reflected from the free surface
of the layer and from the support layer interface. A series of maxima and
minima are obtained.
There are two methods by which interference fringes in the UV and visi-
ble reflection spectra can be obtained:
(1) the constant-angle reflection interference spectrum (CARIS) method,
in which the interference fringes in monochromatic light are observed as a
function of wavelength at a constant angle of incidence [685—689];

152
MEASUREMENT OF THIN FILM THICKNESS

(2) the variable-angle monochromatic fringe observation (VAMFO)


method, in which the interference fringes in monochromatic light are obser-
ved as a function of the angle of incidence at a constant wavelength [688 — 693].
The reflectance curve of a transparent film of Si3N4 on silicon, recorded
as a function of λ (the CARIS method), consists of maxima and minima (cons-
tructive and destructive interference, respectively) (Fig. 6.6).
The film thickness is given by:

d = NXfa (6.8)
2(λχ - λ2) {η\ - n\ sin'O)1'2
where Θ is the angle of incidence, nx and n0 are the refractive indices of Si3N4
and Si, respectively, λχ and λ2 are two wavelengths corresponding to two inter-
ference maxima or minima values, and N is the number of interference frin-
ges between the selected maxima or minima values. For the CARIS method,
the measurement apparatus consists of a UV-visible spectrophotometer pro-
vided with a reflectance attuchment.
In the VAMFO method, the light reflected by a silicon wafer covered
with Si3N4 is observed for various angles of incidence; the interference minima
and maxima are obtained as functions of the film thickness. The thickness is
determined by a calibration procedure, i.e. by comparison with a known film
thickness. The measuring apparatus for the VAMFO method consists of a
fluorescent lamp, a mirror, a rotary support for the sample, a monochromatic
filter, and a microscope (Fig. 6.7).

8 V>-

t
\V\f\ ιΓ\ J
75 ! 1 1 1
3500 4500 5500 6500 # 7500 2
— ^ WavlMgfh (A )
Fig. 6.6 A typical UV-visible interference p a t t e r n (N — 4 ; Fig. 6.7 A schematic diagram
Θ = 26°) from winch the film thickness d can be obtained of the instrument used for
(after Corl and Wimpfheimer [686]; reprinted with permission measuring the Si 3 N 4 thickness
from SOLID-STATE ELECTRONICS, (C) 1964 Pergamon b}' the VAMFO method (after
Journals Ltd.) Pliskin and Conrad [692], ©
1964 by International Business
Machines Corporation; reprin-
ted with permission):
1 — fluorescent l a m p ; 2 — mir-
ror ; 3 — rotating sample; 4 —
monochromatic filter; 5 — mi-
croscope objective.

15$
FUNDAMENTALS

6.4.5 Method Based on IR Transmission (Absorption) Spectra

This method is based on IR transmission spectra recorded in the absorption


region due to resonance vibrations of various valence bonds existing in thin
films (e.g. Si—Oin Si0 2 films, Si—NinSi 8 N 4 , etc.). The absorption band half-
width, the peak optical density and the absorption band area obtained from
the transmission spectrum depend linearly on film thickness [694—698].
In the case of either absorption or transmission spectra the Lambert law
E = kd gives the dependence of the optical density^extinction E) on the depo-
sited thickness d, where k is the extinction coefficient and the extinction is
given by the following relation: E = log (Γ 0 -/Γ-), Γ- being the transmission
corresponding to the absorption maximum, and Γ ο7 the transmission corres-
ponding to the base level at the same wavenumber (Fig. 6.8). The area A
under a plot of optical density versus wavenumber is also a linear function
of film thickness:

A = i£(v)di=^U(v)dv=Clog^ = -J-Cln^di (6.9)

6.4.6 Method Based on IR Reflection Spectra

The reflectance spectra in the infrared range have been found useful in the
nondestructive determination of the. thickness of both dielectric [699—705]
and semiconducting [706—717] thin films on various substrates.
Dielectric compound films. The reflection spectra of dielectric films show
two characteristic zones, corresponding to the interference of the reflected
beams and to absorption due to the resonance vibration of valence bonds
existing in the thin film (e.g. S i - O , S i - N ) , respectively.
Variations in the reflection spectrum due to interference and absorption
can be correlated with the thickness of the dielectric film. Thus, in the first
region the reflection spectra plotted as reflectance (the ratio of reflected radia-
tion intensity to incident radiation intensity) versus wavenumber show a mini-

10 12 14 16 20

Fig. 6.8 IR transmission spectra of silicon nitride


films of various thickness (0.2; 0.3; 0.4; and 0.5 μπι)
deposited on silicon substrates. Both the absorption
'1400 1200 1000 600 600 400 band half-width or area and the peak optical density
<+— Wove number, cm~J depend linearly on silicon nitride thickness [694],

154
MEASUREMENT O F T H I N FILM T H I C K N E S S

mum reflection and correspondingly a minimum wavelength \min whose posi-


tion has been shown to vary with film thickness. In the latter region, the
reflection spectrum contains the following three characteristic values: the
maximum reflectivity (Rmax)', the wavelength corresponding to the maximum
reflectivity (λκτηαχ) ] and the minimum reflectivity {Rmin). These values (Rmax,
Kmax, Rmin) and the difference (Rmax- Rmin) can be correlated with film thick-
ness. Thus, the reflection spectra allow film thickness determination from
both IR interference and absorption data (Fig. 6.9).
Thickness can be determined from interference data by using the usual
equation, which expresses the condition of interference:

PXmin
d= (6.10)
2(nl - nl sin2 θ)*'2
where d is the thickness of the deposited film, nx and n0 are the refractive indi-
ces of the film and the substrate; Θ is the angle of incidence; \min is the wave-
length corresponding to the minimum reflectivity (when a destructive inter-
ference appears), and P is the order number (which is 1/2 for the first minimum
of the destructive interference).
Thickness determination from absorption data is based on the fact that,
in the region of the resonance vibration of the valence bond of the compound,
where IR radiation absorption occurs, the film refractive index nx and the
film absorption coefficient k± reach a maximum.
Indeed, the reflectance can be calculated as a function of the film thick-
ness, the refractive index and extinction coefficient of the film, the refrac-
tive index and extinction coefficient of the substrate, and the angle of inci-
dence :
R _ r\ + 4 - 2 ^ 2 cos(S - Φ) ( 6 n )
1 + y\Y\ -Ir^cosiS-O)

Λ (urn) —^
2.5 3 3J5 4 6 A 10 12 20 40
μ 1 1 1 1 1 i i i

4000 3000 2000 1600 1200 600 400


Wavt numb*rtcmL*

Fig. 6.9 IR reflection spectrum of 5000 Ä silicon nitride film deposited on


silicon substrate. The quantities Rmax, Rmm and {Rmax — Rmin) depend
on Si 3 N 4 thickness [694].

155
FUNDAMENTALS

where
r\ = [K - I)* + *?]/[(% + I)2 + *i] (6.12>
2 (6.13)
4 = [(«, - Λι)» + *|]/[(»« + %) + *i]
δ = (4π»1ί/λ) [1 - (l/«f) sin*] 1 '· (6.14)
φ = tan-1[2«1A2/(wf «t — (6.15)
Here, R is the reflectance; γλ and r2 are the amplitudes of the reflectance;
δ is the optical phase difference in reflection; Φ represents the phase shift
on reflection at the film/substrate interface; Θ is the angle of incidence of the
infrared radiation; d is the film thickness; λ is the IR wavelength; nx and kr
are the thin film refractive index and extinction coefficient; and n2 and k2
are the substrate refractive index and extinction coefficient.
According to the above expression in the absorption region, the reflec-
tance will pass through a maximum. The reflectance change is correlated with
film thickness by using the values RmaXf "kRmax Rmin, and (Rmax—Rmin).
Elemental semiconducting films. The IR reflection spectra are also use-
ful in the thickness determination of thin films which contain no IR absorb-
ing chemical bond. For exemple, the IR reflection spectra of polycrystalline
siliconlayers deposited on Si3N4 -or Si0 2 -coated silicon substrates (Fig. 6.10 a)
and epitaxial siliconlayers (Fig. 6.11) exhibit a series of successive maxima
and minima due to interference phenomena.
The thickness of polycrystalline silicon can be calculated according
to the formulae:
104
(6.16)
6·84Δ£
tan φ = 6.84 d
where d is the fioly-Si layer thickness, k is the wavenumber, and tan φ
is the slope of the straight line obtained by representing the order of the
interference extremum N as a function of k (Fig. 6.10&).

"4000 3000 2000 1600 1000 400 1000 2000 3000 4000
- * · Wove number, cm"1 Wave number. cm~J
(a) (b)
Fig. 6.10 (a) — I R reflection spectra for a 3.2 μηι poly-Si layer deposited on Si pre-ccated
with an Si 3 N 4 layer; (b) — the dependence of the interference extremum order N on the wave-
number k (after Eversteyn and Van den Heuvel [658]; reprinted by permission of the publisher.
The Electrochemical Society, Inc.).

156
MEASUREMENT OF THIN FILM THICKNESS

-λ (jjm)

1200 1000 600 600 _ 400


► Wavenumber (cm )

Fig. 6.11 IR reflection spectrum for a 6.5 μτα n-type £/>i-silicon layer.

The epitaxial layer thickness is calculated according to the relation

m λ,λ
H A 29 1 wXlXz
Ä = -0.148 (6.17)
(λχ-λ,) 2(nf - sin«e)V« (λχ - λ2)
where h is the epitaxial layer thickness (in μπι); m is the difference between
the extrema orders considered (0.5, 1, 1.5, etc.); λν λ2 are the wavelengths
corresponding to two extremes, maxima or minima (in μηι); nx is the refractive
index of epitaxial silicon (nx = 3.42); and Θ is the IR beam incidence angle
(θ = 30°).

6.4.7 Method Based on Visible or IR Radiation Interference

Recently, a new film thickness measurement method based on radiation inter-


ference has been proposed [519—526]. The radiation can be emitted either by
the substrate or by an external monochromatic source (e.g. a visible or IR
laser). The interference curves (which appear as a result of multiple reflections
between the Si- film and the film-air interfaces) are recorded and calibra-
ted by measuring the thickness of the corresponding extremes using another
method. The maxima and minima of the curve obtained correspond to con-

157
FUNDAMENTALS

structive or destructive interference according to the relation d = mk\2nt


where d is the film thickness, m is the maximum or minimum order, λ is the
radiation wavelength, and n is the refractive index of the film . Enhancement
of the light occurs when the film thickness is an odd multiple of half a wave-
length.
The deposition monitoring apparatus for the method based on interference
of visible or IR radiation emitted by the substrate (e.g. silicon) consists of
a visible or IR radiation detector (optical pyrometer and IR pyrometer, res-
pectively) and a strip chart recorder (Fig. 6.12).
The deposition monitoring system based on the observation of the vari-
ation in visible or IR radiation intensity obtained from an external laser source
shows some important advantages when compared with the previous system:
the possibility of measuring the thickness when deposition takes place at a
temperature of 500°C and a better measurement accuracy. However, this
system requires more complex instrumentation, it contains the following
component parts: a visible (or IR) laser placed outside the deposition chamber
(the light of which is focused on the wafer where the thin layer will be depo-
sited), a detector of the laser radiation reflected by the wafer, an amplifier,
and a strip chart recorder (Fig. 6.12a).
The IR interference curves obtained when Si3N4, Si0 2 or poly-Si films
are deposited on bare or covered silicon substrates are shown in Fig 6.126.
In Fig. 6.12c it is also schematically depicted the interference of the IR radi-
ation emitted by the bare, single or double layer covered silicon substrate.
The laser interference pattern is also represented schematically in Fig. 6A2d.

6.4.8 Fourier Transform Infrared Spectrometry

An excellent method for determing the film thickness, especially epitaxial sili-
con, is infrared spectrometry based on Fourier transforms [718—722]. The
thickness d of a transparent layer of refractive index n deposited on an opaque
or transparent substrate is derived from the distance between two lateral ma-
xima of the spectrum obtained.
The instrument consists in essence of a Michelson interferometer which
measures the optical path difference between the radiations reflected by the
upper and lower surfaces of the layer (Fig. 6.13a). The radiation beams x
and y fall on a semisilvered mirror which splits them into reflected beams xx
and yx and transmitted beams x2 and y2. The reflected and transmitted beams
fall on a fixed and a mobile mirror, respectively, and from these two mirrors
the beams are then focused on a detector.
The central maximum of the interferogram (Fig. 6.13ft) giving the signal
amplitude as a function of distance is produced when the mobile mirror lies
at the same distance from the splitting mirror as the fixed mirror. If the mo-
bile mirror lies nearer or farther from the splitting mirror at the distance
L/2, where L is the optical path difference between the radiation reflected
by each surface layer, then two lateral maxima situated one on each side of
the central maximum will arise. The distance between these two lateral maxi-

158
MEASUREMENT OF T H I N FILM T H I C K N E S S

Recorder Recorder Recorder


output output output
(b)

i^f*
PolySi
ypoiy-si Si3N4 fPolySi
YSiO, Si,N,
m:Si3N<\

(c) (d)
Fig. 6.12 Layer thickness measurements using either radiation emitted by the sample itself
or reflected laser-light interference [526]:
a — experimental arrangement: 1 — quartz reactor; 2 — susceptor; 3 — wafer; 4 — laser;.
5 — laser detector; 6 — IR detector; 7 — amplifier; 8 — strip chart recorder;
b — interference wave pattern detected by pyrometer when the Si 3 N 4 , SiO g and polysilicort
layers are grown on silicon substrate;
c — occurrence of an interference pattern due to radiation emitted by the sample itself, when
the substrate (Si) is initially bare or covered with a single or double layer, the layers grown
being Si0 2 , Si 3 N 4 or poly-Si',
d — occurrence of an interference pattern due to reflected laser light by the substrate (Si
plus Si 3 N 4 coating layer) and a poly-Si grown layer.

15ft
FUNDAMENTALS

M? , L/2

^ -s -· n.*
Distance *+s

(b)

Fig. 6.13 Infrared spectrometry based on Fourier transforms (after [722]; reproduced by
permission of Bio-Rad Semiconductor Measurement Systems):
a — schematic diagram of an interferometer that is the main part of an FTIR spectro-
meter: 1 — IR light source; 2 — semitransparent mirror; 3 — fixed mirror; 4 — mobile mir-
ror ; 5 — detector; 6 — epitaxial silicon wafer;
b — an interferogram — a plot of reflected beam intensity versus path difference — shows
a central peak and two lateral peaks situated at a distance L = 2nd cos Φ'.

ma is proportional to the thickness and refractive index of the epitaxial


layer:
L = 2ndcosd>' (6.18)
where Φ' is the angle of refraction of the light in the thin film.
Other applications of infrared spectrometry based on Fourier transforms
include bulk analysing silicon and other wafers for impurities and dopants.
In this type of measurement, the beam is trasmitted through the wafer and
the absorption spectrum obtained allows determination of both the quan-
tities of certain elements O, C, B, In, Sb and dopants in the Si wafer and the
composition of certain thin films (e.g. the P concentration in passivation
glasses).

6.4.9 Prism Coupling Technique

The prism coupling technique is based on measuring the angles at which a


prism will couple the light from a visible laser beam into a sample of transpa-
rent film [723—728]. Usually, the thickness and refractive index of thin
films can be calculated from the angles corresponding to the first three cou-
pling modes which are evidenced by a minimum, in the light reflected by
the prism-sample assembly.
The technique has been used to measure the thickness and the refractive
index of epitaxial silicon on sapphire, amorphous silicon on glass, thermally
grown or deposited silicon dioxide and plasma-deposited silicon nitride films

160
MEASUREMENT OF THIN FILM T H I C K N E S S

Fig. 6.14 A schematic diagram of a prism coupler (after


Adams et al. [725], reprinted by permission of the publisher,
The Electrochemical Society, Inc.):
1 — helium-neon laser (λ = 0.6328 μιη); 2 — prism of ga-
dolinium gallium garnet or rutile; 3 — thin film; 4 — sub-
strate ; 5 — adjustable-pressure spring-loaded piston; 6 —
Si solar cell detector; 7 — incident polarized b e a m ; 8 — re-
flected b e a m ; 9 — guided beam, which is totally reflected
a t both the film-air and film-substrate interfaces.

on silicon substrate, aluminium oxide on quartz substrate, and anodic oxide


films on gallium arsenide.
The prism coupler consists of a helium-neon laser (λ = 0.6328 μΐη), a
gadolinium gallium garnet (for films with n < 1.8) or a rutile prism (for films
with w>1.8), which are fixed on the sample, and a silicon solar cell detector
(Fig. 6.14).
The measurements are made by rotating the prism and sample assem-
bly, then reading the coupling angles at the reflected light minima and cal-
culating the film thickness and refractive index by means of a computer
program. The minimum measurable thickness is 0.2 μιη.

6.5 Electrical Methods


6.5.1 Capacitance-Voltage Measurement Technique
The thickness of epitaxial and polycrystalline layers in the range < 1 μπι can
be directly measured by the capacitance-voltage measurement technique
using a mercury probe and a capacitance bridge [729]. In this case, the follow-
ing relation, used also to the determination of the doping concentration N
as a function of the depth x in semiconducting material (Si), is applied:
2U Ne
Δ
(6.19)
χ

where U is the d.c. voltage, ε is the silicon dielectric constant (11.8), and e
is the electronic charge.
Also, the depth x is related to the capacitance measured by using a capa-
citance bridge and a mercury contact with a radius A by the relation:
C = επΑ2/χ (6.20)
The epitaxial layer thickness can be evaluated by reading the value x
corresponding to the n-n+ transition.

6.6 Miscellaneous Methods

There are numerous techniques usually applicable to relatively thick metal-


lic or semiconducting films, such as X-ray fluorescence [922], beta-particle
backscattering [3], electron microprobe [730], SEM [731], etc.

16J
FUNDAMENTALS

In certain cases when direct film thickness measurements is not possible


or reccmmendable, an indirect evaluation method is applied which involves
measurements on a second film deposited under the same conditions (the
check wafer method). For example, an epitaxial silicon layer thickness can
easily be derived from a polycrystalline silicon layer, deposited simultaneously
on an SisNVcoated check wafer according to the relation:
depi.si =dPoiy.si — 0 , 1 μιη (6.21)
The correction of 0.1 μιη which must be substr acted from the poly-Si
layer thickness measured by the profilometer method is due to the poly-Si
surface asperities [658].
7
Nucleation and Growth of CVD Films

7.1 Introduction

A CVD thin film is always formed by a process involving nucleation and


growth. Nucleation means that the initial stage of a deposit involves the
adsorption on the substrate surface of small clusters of atoms called nuclei.
A nucleus, according to its size, m a y be subcritical, critical or supercritical.
Below a certain size a nucleus is subcritical because its growth is not energe-
tically favourable. On the contrary, a nucleus of critical size, called a critical
nucleus, has a greater probability of growing than of disappearing. A super-
critical cluster results from a critical nucleus that gains one or more atoms.
The growth and the union of supercritical clusters leads to the formation of
a macroscopic deposit.
Nucleation may occur on a substrate or in the gas phase, being according-
ly heterogeneous or homogeneous.
The primary importance of studying nucleation derives from the fact
that this process determines the deposit structure, which, in turn, determines
the most important properties of CVD films (e.g. mechanical, optical,
electrical, magnetic, chemical, etc).
Various aspects of nucleation theories and their application to CVD
systems have been dealt with in a number of books and reviews [12, 19, 47,
732-736].
In this chapter, we review the processes and regimes in the nucleation
and growth of a thin film on a substrate, the theory of nucleation, the depen-
dence of nucleation on deposition parameters, the relation between nuclea-
tion and film structure, homogeneous nucleation, experimental techniques
for studying nucleation, and experimental investigations of nucleation in
the formation of CVD films.

7.2 Stages in the Nucleation and Growth Mechanism

Figure 7.1 illustrates the events involved in the interaction of vapour and
substrate in the process of heterogeneous nucleation and growth of CVD
films [732, 733].

163
FUNDAMENTALS

1 Fig. 7.1 Processes in the heterogeneous nucleation and


L 1 1 growth of a film on a substrate surface:
7 9? (a) — atom adsorption and surface diffusion; (b) — growth
3
ff o 62 oo 00 oo of metastable (subcritical) cluster by means of sur-
face-diffusion addition or vapour impingement; (c) —
growth of a bidimensional or tridimensional stable (cri-
(a) (d) tical) cluster; (d) — growth of supercritical clusters;
(e) — coalescence of critical and supercritical clusters;
(/) — formation of a continuous film:

d » mm (b) (e)
1 — atom in vapour; 2 — adsorbed a t o m ; 3 — diffused
a t o m ; 4 — re-evaporated a t o m ; 5 — metastable cluster;
6 — critical clusters; 7 — supercritical cluster; 5 — cluster
coalescence (agglomeration); 9 — continuous film.

sr>PA2

(cj (f)

Nucleation involves the impingement of atoms from a supersaturated


uniform vapour phase and equilibration on the substrate, followed by surface
diffusion and growth of a nucleus by either surface diffusion addition or
direct vapour impingement of atoms. Thus, the nucleation mechanism in-
volves the formation of a stable nucleus of critical size (normally consisting of
only a few atoms) whose growth, leading to a decrease in the free energy of
formation, is favoured. Other processes contributing to the nucleation phe-
nomenon are the re-evaporation of individual adsorbed atoms or of clusters
as well as the coalescence of two or more neighbouring clusters into one.
Normally, most of the atoms impinging on the substrate remain there; the
nucleus grows by surface diffusion rather than by direct inpingement from the
vapour. Stable nuclei are only formed at preferred sites on the substrate sur-
faces — at those of lowest free energy, structural imperfections or adsorbed
impurities, for example.

7.3 Regimes of Nucleation and Growth

In this section, the different regimes existing in the nucleation and growth
of films on a substrate are compared according to the review given by Vena-
bles and Price [733] and by Bloem and Giling [47].
The various potential energies involved in nucleus formation, i.e. the
binding energies of atoms on a substrate, are as follows (Fig. 7.2): Ea — the
adsorption energy of an isolated adatom on the substrate; Ed — the diffu-
sion energy of an adatom on the substrate surface; Ek — the binding energy
of an adatom at a stable kink position on the substrate; Ea — the adsorption
energy of an adatom on a monolayer of the condensate (on the surface of
the nucleus); E'd — diffusion energy of an adatom on top of a monolayer;
and E'k — the binding energy of an adatom at a stable kink position on a
monolayer of the condensate.

164
NUCLEATION A N D G R O W T H O F CVD F I L M S

Fig. 7.2 Seven regimes of heterogeneous nucle-


ation and growth of a film on a substrate depen-
dent on material and experimental parameters 1 Π 1 .tl
(Ea, E'a, J and T):
(a) - Ea > (Ea)min , (K) > (Ea)min > T = Tmin ,
J — Jmax> J/NQD ^ 1 (random nucleation);
(b) - Ea^Efa) T= Tit J = / , , JIN%D <ζ 1,
(//ΛΓ0ν)βχρ (E'JRT) ξ 1 (2D nucleation); (a) (e)
(c) -Ea^E'at T> Tit J < Jit (JIN0v) exp
(Ejc/RT)^ 1 (equilibrium adsorption nucleation);
(d) ~E'a> Ea (large), T < Tit J = Jt (3D
nucleation — complete condensation);
(e) -E'a> Ea (low), T > Ti; J = Jt (3D Ύ777, 7λ
nucleation—incomplete condensation);
(b) (f)
(/) — Ea> E'a (first layer), E'a > Ea (nextlayers),
T — Ti, J — Ji (2D and 3D nucleation);
(g) ~ (Ea)min> (K)min, Ea (at defect) high,
T = Tmax, J = Jmin (no nucleation on perfect
substrate and 2D and 3D nucleation on defec-
tive substrate).
u, nw\\\
(O (g)

id)

The regimes of nucleation and growth can be distinguished qualitatively


according to the relative values of the previously mentioned binding energies
and of the following dimensionless numbers: J/NID, {J/N0v) exp E'JkT)
and (//iV 0 v) exp (E'JkT), where: / is the arrival rate of adatoms, i.e. t h e
number of adatoms formed per cm 2 per second (cm - 2 sec - 1 ); N0 is the number
of sites of equal adsorption energy on unit surface of the substrate (cm - 2 );
D is the surface diffusion coefficient of adatoms on the substrate surface
(cm 2 /sec); v is the vibration frequency of an adatom (sec -1 ); k is Boltzmann's
constant; and Tis the substrate temperature. As shown in Fig. 7.2 there are se-
ven regimes of nucleation and growth: (1) amorphous layer nucleation (random
nucleation), (2) layer-by-layer two-dimensional nucleation, (3) equilibrium
adsorption, (4) three-dimensional nucleation at low temperature, (5) three-
dimensional nucleation at high temperature, (6) two-dimensional nucleation,
followed b y three-dimensional nucleation, and (7) no nucleation.
The first regime appears at the lowest temperature (Tmin) and highest
arrival rate (Jmaz), where the adatom arrival rate is greater then their surface
diffusion (J/NID>\). I n this case, the adatoms remain at the arrival lattice
site, so that an amorphous la}7er is formed. Amorphous silicon nucleation is
a good example of random nucleaticn.
In the second regime, adsorption of the atom on the kink position is
energetically more favourable than on an adatom position, so that layer-by-

165
FUNDAMENTALS

layer nucleation and growth appear. In this case, the temperature is low enough,
Ea ^ E'a (adsorption energy of an adatom is greater on the substrate than on
another adatom), (JIN0v) exp {Ek}kT) > 1 (there is a high rate of adsorption
on the kinks), (JINQv) exp (E'JkT) ^ 1 (desorption of adatoms is possible),
and (J/NQD) < 1 (one adatom can diffuse away before another one appears
at the same lattice site). This nucleation occurs at intermediate values of
temperature (Ti) and impinging flux (/*). An example of this regime is the
nucleation of epitaxial silicon on a clean Si substrate.
The third regime is the regime of equilibrium adsorption. It only appears
when the arrival rate R is lower or the temperature T is higher than the inter-
mediate value, (J/NQV) exp (Ek/kT) < 1 and the adsorption energy of. an ada-
tom on the substrate is great or at least equal to that on the surface of the
nucleus (Ea > Ea). Due to rapid evaporation of atoms from the top layers, or
even of an entire layer, growth will be limited to a certain thickness, only
an unstable solid film being obtained.
The next two regimes are three-dimensional nucleation at low and
high temperature. In this case, the condition is E'a> Ea showing that the bin-
ding forces between atoms in the cluster are stronger than those between
the cluster and the substrate. At low temperature, the re-evaporation site is
small compared with the rate of formation and growth of clusters, i.e. there
is complete condensation . Conversely, at high temperatures the adatoms can
re-evaporate from the substrate or stick to a stable cluster by direct impinge-
ment or diffusion, the condensation being incomplete. In both cases, the size
of the cluster is inversely proportional only to the magnitude of the binding
energy. Thus, for a high binding energy, the critical cluster size is small,
frequently consisting of only one atom. The regimes of tridimensional nuclea-
tion are most frequently involved in CVD film growth (for instance, the nucle-
ation of homoepitaxial Si on an unclean substrate and the nucleation of
polycrystalline Si on Si0 2 or Si3N4).
There is also an intermediate growth regime in which growth starts in
the two-dimensional mode, usually for the first monolayer; then three-di-
mensional clusters can nucleate on top of this monolayer. In the last regime,
occurring if either Eaf Ea or both are very small, no nucleation is expected on
a perfect substrate. Nucleation is only possible at defects where the binding
energy of atoms may have a higher value.

7.4 Nucleation Theory


There are two approaches to nucleation theory—the so-called classical theory,
which is a chemical thermodynamics approach, and the statistical mechanics
theory, which is an atomic nucleation approach.
Thermodynamics approach. This older theory of nucleation involves sur-
face adsorption from a supersaturated vapour and surface diffusion, leading
to a critical-size cap-shaped cluster of atoms (the nucleus) in the case where
the cohesive forces between the atoms in the nucleus are greater than the
adhesive forces between the adatoms and the substrate. The cluster nucleus

166
NUCLEATION A N D G R O W T H OF CVD FILMS

increases and decreases by adding or losing single atoms. If the nucleus is


greater than the critical size (a supercritical nucleus), its further increase
becomes energetically favourable. Conversely, if the nucleus is smaller than
the critical size (a subcritical nucleus), its further decrease becomes energe-
tically favourable.
The radius of the critical nucleus r* is given by the relation:
2GV
(7.1)
kT In p/p
where σ is the surface free energy, v is the atomic volume of the condensing
species, pjpe is the supersaturation ratio (i.e. the ratio of the actual to t h e
equilibrium vapour pressure in the system), a n d kT In pjpe represents the
gain in free energy on condensation (the driving force for nucleation).
Details of this theory can be found in numerous review articles [732,
734, 735, 7 3 7 - 7 3 9 ] .
Statistical approach. F o r high supersaturations involved in CVD, t h e
radius of the critical nucleus is very small, i.e. the nucleus consists of a small
number of atoms, the thermodynamic approach being no longer adequate.
This difficulty can be avoided: the atomic nucleation model calculates the
nucleation rate (i.e. the rate of formation of stable clusters) based on statis-
tical mechanics [740—752].
Walton [740] found the expression for the density of critical clusters nt:

— = * * [ — I exp — (7.2)
n0 \n0) kT
where n0 is the total number of surface sites, ct is a statistical weighing fac-
tor, and Ei is the energy of formation of the cluster consisting of i atoms.
He considered that an equilibrium reaction will exist between single ada-
toms and clusters of i atoms
inx <=± fit (7.3)
where nx is the concentration of adatoms.
Equation (7.2) can be used to find the general expression for the satura-
tion (maximum) density of stable clusters which is an experimentally obser-
vable quantity.
Thus, the rate equation of formation of adatoms dnjdt is 'given by the
expression:

where Jx is the arrival rate of single atoms, — is their re-evaporation rate,

— is their chemical reaction (combination) rate, — is their capture rate by


τΓ τ8
stable clusters, and t, τ β ν , τ Γ a n d rg are the exposure time, the mean resi-
dence time before evaporation, the reaction time a n d the capture time, res-
pectively.

167
FUNDAMENTALS

For t > τ , equation (7.4) can be written as:


»I = / I T . (7.5)
where TS is the smallest τ value in the τ βν , τΓ and/r g series.
τβ is defined as
T8 = ^exVEJkT (7.6)
Here, E& is the enthalpy (the activation energy) of absorption, and v is the
adatom vibration frequency.
The formation rate of stable clusters — - is given by
at
^L=Ui-Uc-Um (7.7)
at
where nx is the density of stable clusters at the time t, Ti is the nucleation
rate, and Uc and Um are the rates at which stable clusters are lost due to
coalescence and cluster mobility, respectively.
The expression giving the maximum cluster density N8, for incomplete
condensation (i.e. when the cooperation and reaction of adatoms are taken
into consideration), according to Venables [747] is
N.~(J Y+m exp (i+l)E. + El + Eu-Et (? 8)
n0 \n0v) IkT
where i is the number of atoms in the initial cluster, E& is the activation ener-
gy of desorption, Em is the activation energy for surface diffusion of mobile
clusters, Ed is the activation energy for surface diffusion of adatoms, n0 is
the number of surface sites, and v is the adatom vibration frequency. By.
substituting in (7.8) the expression for J as given by (7.5) and (7.6), we ob-
tain:
(t+l)/2 /Γ J _ 77 __ π

n0 \n0)
The last equation can be compared with the experimentally found relation
in a CVD system between the saturation cluster density and the supersatura-
tion with the help of the calculated adatom concentration (nx) as a function
of gas phase composition [749—752]. From an Arrhenius plot (log N8 versus
1/Γ), an apparent activation energy for cluster formation can be obtained.
Also, from the experimental relationship between Ns and CVD main reac-
tant partial pressure, the number of adatoms in the critical cluster can be
derived. For example, a linear relationship, (i + l)/2 = 1, leads to a critical
size value of i = 1.
Further, an example of the calculation of adatom concentration by using
a simple reaction scheme is given, namely the nucleation of CVD polycrystal-
line silicon on a foreign substrate (such as Si0 2 or Si3N4) in the system
SiH2Cl2 — N2, which is supposed to proceed by the presence of free silicon
adatoms. The reaction scheme is somewhat different from that used for

168
NUCLEATION A N D GROWTH OF CVD FILMS

polysilicon deposition using the same system, but on a silicon substrate (see
Chap. 5), in this case, it has to be admitted that the growth involves the
presence of adsorbed species such as SiCl2 and SiCl.
The main processes responsible for the nucleation and growth of poly-
silicon are the dissociation of SiH2Cl2 in the gas phase, chemisorption of
SiCl2 and SiH2Cl2 on a free surface site ( * ), decomposition of chemisorbed
SiH2Cl2, the formation of Si adatoms on the surface by dissociation of SiH2Cl2
and reduction of SiCl|, and the formation of stable silicon clusters by diffu-
sion of Si adatoms on the surface, respectively:

SiH2Cl2(g) *=* SiCl2(g) + H2(g) (7.10)

SiCl 2 (g)+ * <^=±SiCli (7.11)

SiH2Cl2(g) + * *=± SiH2Cl2 (7.12)


«_2

SiH2Cl| * = ± SiCl2* + H2(g) (7.13)

SiH2Cl2 *=^± Si* + 2HC1 (7.14)


*_,4

SiCl* + H 2 <=^* Si* + 2HCl(g) (7.15)


*_5
Si*—^> Si(s) (7.16)
It is considered that:
(1) The reactions (7.10) — (7.15) reach equilibrium, their equilibrium
constants being denoted by KQt Klt K2, Ks, KA, K5, respectively;
(2) In a steady-state situation, the concentrations of the intermediate
species SiCl*,, SiHgCl*, and Si* are constant, hence it follows that:
d[SiCl*]/d* = 0 (7.17)
d[SiH2Cl*]/d* = 0 (7.18)
d[Si*]/d* = 0 (7.19)
(3) The total number of sites at the surface (n0) is:
*o = [ *] + [SiClJ] + [SiH2Cl*2] + [Si*] (7.20)
After a simple calculation, the concentration of silicon adatoms (%)
results:

*.H,(g)

169
FUNDAMENTALS

where
(7 22)
[* ] = LrSiHTfSl '
1 + If A r ^ f +if2[SiH2Cl2(g)1
[H2(g)]
Since n0 > [SiCl^], [SiHgClg], [Si*], it can be written that:

(7.23)
n0 Äe[Ha(g)]
These expressions show that the formation of Si adatoms is governed by
the equilibrium dissociation of SiH2Cl2 (K0), the rate of chemisorption of
SiCl^Äx), the initial concentration of SiH2Cl2 in the gas phase, and the total
amount of free surface states divided by the rate of formation of stable Si
clusters and the equilibrium concentration of H 2 .
The expression given by Venables (7.9) can be rewritten as:

- -(*lgl[S^,(g)] f ex
p ^ + E-~ E^2kT^ <7-24>
n0 l *e[Ha(g)] J
Since the experimental data on the saturation density of nuclei (coun-
ted by using SEM photomicrographs) show that Ns in the SiH2Cl2 — N 2
system is linearly proportional to SiH2Cl2(g), it is concluded that i = 1,
i.e. the critical cluster contains a single adatom.

7.5 Dependence of Nucleation on Deposition Parameters

The nucleation process is greatly affected by deposition variables, such as


substrate crystallinity, chemical nature of the substrate, substrate imperfec-
tions, substrate surface cleanliness, substrate temperature, reactant super-
saturation, pressure, composition of reactant gas, deposition ambient, the
presence of additional reactant gases, orientation of the substrate, and the
exposure time of the substrate to the reactant gas [47, 732, 733, 749—752].
Nucleation depends primarily on the monocrystalline, polycrystalline or
amorphous structure of the substrate. The growth of single crystalline (epi-
taxial) layers from the gas phase requires the substrate to be monocrystalline.
On amorphous and polycrystalline substrates, the layers obtained are in the
best case polycrystalline ones. Generally, the nucleation of a monocrystalline
layer is two-dimensional on a clean monocrystalline substrate and three-
dimensional on a monocrystalline substrate having surface contaminants.
The nucleation of polycrystalline layers occurs by means of three-dimensio-
nal nuclei.
Imperfections on the substrate can greatly affect the nucleation process.
Defects may be macroscopic ones such as cracks, macroscopic surface steps
and re-entrant grooves, or microscopic ones such as atomic steps and points
of emergence of dislocations. Since the adsorption energy at a defect such

170
NUCLEATION A N D GROWTH OF CVD FILMS

as a step is higher than that upon a flat, the atom's adsorption and, conse-
quently, the formation of a critical cluster will be favoured in the first
case. The nucleation is also accelerated due to an increase in both the
binding energy of critical clusters and the diffusion constants of the iso-
lated adatoms and clusters.
In general, impurities adsorbed on the substrate surface decrease the
binding energy of adsorbed adatoms, and hence decreases the activation ener-
gies for both desorption and surface diffusion, thus lowering or raising the
nucleation rate, respectively. In some cases, the presence of impurities on
the substrate surface can modify the type of regime of nucleation and growth.
An example is the growth of silicon on a silicon substrate which occurs in
the form of three-dimensional islands arid not via the expected layer-by-layer
model due to the presence of C and O impurities. On removal of these impuri-
ties by heating at 1200°C in hydrogen or ultra-high vacuum, normal two-dimen-
sional growth is restored [753, 754, 2327, 2361].
The chemical composition of the substrate exerts a strong influence on
nucleation, especially if the substrate reacts with deposition constituents
such as reactants, carrier gas or reaction by-products. The reaction of the
substrate with the deposition constituents disturbs the formation of good
crystallinity in the overlayer as in the case of heretoepitaxial silicon deposi-
tion from SiCl 4 or SiH 4 on sapphire and spinel [755—759]. Another example
can be taken from nucleation experiments in the SiH 4 —HC1—H 2 system at
temperatures between 900° and 600°C [750]. It was established that for Si0 2
substrates the saturation density decreases with decreasing temperature,
whereas Si 3 N 4 substrates show the opposite dependence. This behaviour is
explained by the influence of the adsorption of hydrogen on the nucleation
kinetics, especially for Si0 2 substrates.
Substrate temperature also has a decisive influence on the nucleation
process. Indeed, relatively high temperatures provide increased mobility
of adatoms to reach equilibrium position in the crystal lattice, and nucleation
occurs of a particular orientation that is preferred as a result of its interfacial
free energy being lower t h a n that of other possible orientations. In this case,
a monocrystalline film is obtained. At somewhat lower temperatures, the
nucleation of other orientations becomes possible and hence results in a poly-
crystalline deposit. At very low temperature, the mobility of adatoms is
so slight that they remain at their adsorption site, thus leading to an amor-
phous film deposit. For a given temperature range in some systems, such as
SiH 4 —H 2 , the saturation nucleus density (NB) decreases with increasing tem-
perature. From the Arrhenius plot, log N8 versus 1/7", an apparent activation
energy is obtained. This activation energy decreases with increasing supersa-
turation and increases with the addition of some reactant gases to the system
(for example, HC1 to the SiH 4 —H 2 system in polycrystalline Si deposition
on Si0 2 and Si 3 N 4 substrate). In other systems, such as SiH 2 Cl 2 —H 2 —N 2 or
SiHCl 3 —H 2 —N 2 , the saturation density of silicon clusters decreases with
decreasing temperature. The explanation is that the appearance of SiCl2, an
intermediate in the formation of silicon clusters, becomes more difficult
with decreasing teinperatures [749—752].
Reactant supersturation has the reverse effect of temperature on nucle-
ation. Thus, only very low supersaturations lead to nucleation of a particular

171
FUNDAMENTALS

orientation (epitaxial deposit). At very high supersaturations, random nu-


cleation takes place (amorphous deposits) and at intermediate supersatura-
tions the nucleation of more than one orientation occurs (polycrystalline
deposit). In general, the saturation density of adatom clusters is linearly
proportional to the input concentration of reactant.
The combined effect of temperature and supersaturation is such that
at very low supersaturation and high temperature epitaxial nucleation can
occur; at intermediate values of these parameters polycrystalline nucleation
occurs; and at very high supersaturation and low temperature random
nucleation is possible.
Additional reactive gases (HC1, etc.) and carrier gas type (H2, N2, etc.)
can enter the specific chain of chemical reaction which take place on the sub-
strate during the nucleation process, and hence their effect will be of greatest
importance. For example, the introduction of HC1 in the SiH4—H2 system
strongly reduces the nucleus density and increases the temperature depen-
dence of the nucleus saturation density. The introduction of hydrogen, which
is strongly adsorbed on Si0 2 substrates because of the formation of OH bonds,
blocks the adsorption sites resulting in the decrease in saturation density
of silicon clusters. The addition of N2 produces the opposite effect [749,752].
Substrate orientation also has a profund effect on nucleation [760, 2400].
There are substrate forces giving a slower or higher nucleation rate. Moreo -
ver, in many cases a substrate having a slight misorientation from a low index
plane is preferred, since it facilitates the nucleation of a good epitaxial film.
The nucleation for some -substrate orientations can be hindered, as shown by
the formation of facets in the vapour phase growth of silicon. Such facets
have orientations with the lowest growth rates.
The time of deposition is also an important experimental parameter in
the nucleation process. The dependence of the density of nuclei on the time
of deposition is usually measured for a constant substrate temperature and
fixed concentrations of reactants. An incubation period of a few seconds is
often observed, after which the density of critical clusters increases very
quickly, and finally reaches a saturation value with respect to exposure time.
Thus nucleation has a short duration, after which the size of clusters increa-
ses, until coalescence occurs. The existence of an induction period tt in the
initial stage of deposition was found, for example, in the case of polysilicon
deposition on Si0 2 or Si3N4 substrates from SiH4—-H2 or SiH2Cl2—H2 sys-
tems [761, 809] and in the case of silicon nitride deposition on bare silicon sub-
strate from the SiH4—NH3 system [643]. This short period is necessary to
overcome a barrier for film nucleation. Its value depends on the temperature
and the deposit bearing reactant (X°) according to the relationship:
— = KX° exp (-EJRT) (7.25)
U
where K is a constant, and Z?a is the activation energy of the nucleation.
The plot of log {ti-X°) as a function of 1/T is a straight line with a slope
E
m = 2. 303- —R from which the value of the activation energy can be
6J
calculated.

172
NUCLEATION A N D G R O W T H OF CVD FILMS

7.6 Heterogeneous Nucleation and CVD Film Structural Forms


CVD films exist in three structural forms: single-crystalline (epitaxial), poly-
crystalline and amorphous. Each of them can be formed by a nucleation and
growth mechanism [12, 19].
Single-crystalline (epitaxial) growth of CVD films is desired owing to
its superior features in comparison with either polycrystalline or amorphous
films. Epitaxy is defined as the growth of a single-crystalline layer on a sin-
gle-crystalline substrate (or even on a randomly oriented polycrystalline or
amorphous substrate).
Homoepitaxy or heteroepitaxy are said to occur if epitaxial film growth
takes place on a similar or a different substrate, respectively. It has been
shown that all cases of epitaxy can arise from epitaxial nucleation provided
that the surface energy of the nucleus-substrate interface is lower for the
epitaxial orientation than for other orientations, so that the nucleation rate
for the epitaxial orientation is greater under specific deposition conditions.
However the epitaxy can also result from growth processes or recrystalliza-
tion of the deposit following growth. There are three different growth mecha-
nisms in epitaxial growth, namely layer-by-layer growth, three-dimensional
growth, and intermediate (layer-by-layer plus three-dimensional) growth.
Polycrystalline films are useful in many technical applications. They
can be obtained more easily than the epitaxial ones, which require certain
conditions such as lattice match, suitable temperature and a suitable con-
centration of deposit-bearing reactant. Polycrystallinity is the randomly
oriented growth of a crystalline substance on a crystalline, polycrystalline
or amorphous substrate.
Lower temperatures and high gas concentrations lead, in general, to a
polycrystalline deposit. In this case, owing to the high arrival rate and low
surface mobility of adatoms, many nuclei of different orientation are formed
from which, upon coalescence, a film consisting of many differently oriented
grains will result. During film formation, favourably oriented grains grow
more rapidly at the expense of less favourably oriented ones. The occurrence
of more nuclei produced by a further increase in supersaturation and
decrease in temperature results in a finer-grained film.
Amorphous CVD films are desired in a number of applications. Amor-
phicity means that crystallization is completely absent. Unlike crystallinity,
amorphicity is obtained, in general, at lower temperatures and higher supersa-
turations. Indeed, under these conditions a very high number of adatoms
(nuclei) whose mobility is very low arises on the substrate surface. Any orien-
tation of these nuclei is completely prevented, and consequently, upon
coalescence a film consisting of unoriented grains is obtained.

7.7 Homogeneous Nucleation


It has been assumed that nucleation from the vapour phase onto a substrate
is heterogeneous, but in many cases a CVD process begins with homogeneous
nucleation in the gas phase [537, 561—563]. Generally, a reversible reaction

173
FUNDAMENTALS

such as the growth of Si from silicon halides (in which growth and etching are
simultaneous) occurs by heterogeneous nucleation, whereas an irreversible
reaction such as the pyrolysis of silane occurs either by heterogeneous or
by homogeneous nucleation. In the latter case, the resulting nuclei may
subsequently either be deposited on the substrate surface leading to the growth
of a film, or form a powder. Homogeneous nucleation, leading to the unde-
sired formation of a powder instead of a continuous deposit, usually arises
at relatively high react ant concentrations and gas temperatures. It can be
avoided by performing the reactions in the diffusion controlled regime, by
assuring a steep temperature gradient between the substrate and the surround-
ing gaseous ambient, by working with low input concentrations, and by
introducing some additional gaseous reactants to suppress homogeneous
nucleation (such as HC1 to SiH 4 pyrolysis). There is a model of gas phase
nucleation which predicts that the rate of formation of clusters in the gas
phase is proportional to the rate of formation of dimers [561].

7.8 Experimental Techniques


The main experimental techniques for investigating CVD film nucleation are
transmission (scanning) electron microscopy, mass spectrometry, field ion/
electron microscopy and ellipsometry.
Mass spectrometry enables us to examine the interaction between the
species of the gas phase and the substrate surface, which is particularly
useful for understanding the mechanism of nucleation and the growth of
thin films from the gaseous phase. This method, eventually coupled with
the molecular beam technique, permits the measurement of such nucleation
parameters as the number of adsorbed atoms, the number of desorbed atoms,
the adatom surface stay time, the sticking coefficients, and the adsorption
and desorption energies [733, 762, 763]. Molecular beam mass spectrometry
has been applied to the study of the nucleation mechanism for SiH 4 pyrolysis.
Silicon layer growth takes place according to a stepped model involving the
incorporation of silicon atoms into an atomic step if these atoms migrate
at the step edge before desorption.
Transmission electron microscopy using either the transfer replica
technique or thin substrates and scanning electron microscopy have been
intensively applied to study the nucleation mechanism [733, 764, 765]. The
appearance in the early stages of growth of three-dimensional islands is
easily distinguished. The densitiy of stable clusters and their sizes can be
obtained as a function of various experimental parameters. High resolution
transmission electron microscopy or scanning electron microscopy are the
most useful techniques available for the study of nucleation in CVD processes.
They have been used, for example, in the study of heterogeneous nucleation
of CVD Si on Si, sapphire, spinel, Si0 2 , and Si 3 N 4 substrates, as well as in
the study of gas phase nucleation during the thermal decomposition of silane
in hydrogen.
Field electron and ion microscopy (FEM and FIM) enables the behaviour
of individual atoms (their mobility and interactions) on surfaces to b e
observed [766]. Field microscopes are based on the magnification of the emis-

174
NUCLEATION A N D GROWTH OF CVD FILMS

Fig. 7.3 A combined field-emission/field-ioni-


zation microscope: I He'
1 — high voltage (negative for FEM and posi-
tive for FIM); 2 —liquid helium; 3 —emitting
t i p ; 4 — fluorescence screen; 5 — (helium)
image gas only used for F I M ; 6 — electrons
(FEM) or helium ions (FIM); 7—high vacuum 4
pump.

sion from the sample consisting of an extremely fine point induced by a


high electric field between the emitting tip and a counter electrode. A nega-
tively biased tip is used in electron emission, while a positive tip is employed
in the emission of ions, usually consisting of adsorbed helium or hydrogen
image gas. The parts of FEM/FIM instruments (Fig.7.3) include a sharply-
pointed emitter, a fluorescent screen, a high voltage source connected between
emitter and screen, an ultra-high vacuum pump, and an image conversion-
multiplication system. An emitter cooling mount and an image gas (He,
H 2 , Ne, Ar) are used only in the FIM mode. These techniques, which are
not applicable to flat samples, but only to pointed tip samples, have been used
on a limited scale to study the in-situ chemical vapour deposition of chromium,
carbon, silicon and silicon nitride (FEM) and of molybdenum, tungsten and
carbon (FIM) [766].
Ellipsometry is a method consisting of the measurement of the change
in polarization state of a monochromatic light beam after reflection from a
surface. In-situ ellipsometry has recently been found to be a very useful
method for the in-situ observation of nucleation and growth in CVD systems
[767-783].
The experimental set-up consists of an ellipsometer whose light beams
cross the CVD reactor walls through two quartz windows.
Variations in the ellipsometric angles (Δ and ψ) observed during the
nucleation process permit the thickness and filling coefficient of the layer
(giving the refractive index of the layer) to be deduced. The thickness
determined in this way is the thickness of a homogeneous layer consisting
of a mixture of deposited atoms and the voids between them whose ratio
is given by the filling coefficient. Optical properties of such a layer are equi-
valent to those of the nucleation layer.
This method has been applied to the study of the nucleation and struc-
ture of poly-Si on an amorphous Si 3 N 4 layer [767], MOVPE-GaAJAs and
GaAs on GaAs [ 7 6 8 - 7 7 3 ] , I n P , GalnAs, and GalnAsP on I n P [774, 775]
and a-Si:H [776—782] and a-Ge:H [783] on glass.
It permits the direct determination of the main nucleation parameters
such as induction time, filling coefficient [774, 775], transient growth
kinetics [776—782], and mean distance between nuclei.

175
FUNDAMENTALS

7.9 Experimental Results of CVD Film Nucleation

Heterogeneous nucleation experiments have been performed especially for


silicon on monocrystalline, polycrystalline and amorphous substrates.
Silicon nucleation during the pyrolysis of silane in vacuo [789—791] or tri-
chlorosilane at atmospheric pressure [792] on a monocrystalline silicon substrate
takes place by forming of three-dimensional nuclei. However, the growth is
two dimensional if surface contaminants such as C and O are first removed
by using vacuum heat treatment [762, 763, 795—797].
In the epitaxial nucleation of silicon on (111)-oriented substrate from
SiCl4 in a hydrogen carrier gas at 1200° C, it is observed that facets of exact
(lll)-orientation are formed. On these facets, nuclei of uniform size and dis-
tribution in the form of pyramids are formed. The density of these perfect
nuclei (i.e. nuclei without lattice defects) depends on substrate temperature
and SiCl4 concentration. The growth process is bi-dimensional (taking place
via lateral motion of steps) when the lateral growth rate is very rapid com-
pared with the vertical growth rate [48, 784—787].
Nucleation of silicon on monocrystalline A1203 [755—759, 3382] or quartz
[788] substrates takes place by means of three-dimensional islands. From
the examination of the early growth of silicon, the extent of the reaction occur-
ring between the A1203 surface and the deposited silicon at temperatures
higher than 800°C can be appreciated. This etching reaction is favoured
by using either high temperature and low silane concentration, or a chlorine-
containing silicon compound.
Polycrystalline silicon obtained by pyrolysis of silane in an H 2 atmos-
phere on Si0 2 or Si3N4 substrates nucleates by means of three-dimensional
nuclei [809]. It has been observed that nucleation on a foreign substrate
needs a higher supersaturation than nucleation on a clean silicon surface.
This fact has been applied to selective epitaxial growth of Si on windows
in Si0 2 and Si3N4 layers using SiCl4—H2, SiH4—H2, SiH4—HC1—N2 and
S i C l 4 - B r 2 - N 2 systems [1401, 2422-2424, 4370].
Nucleation of CVD polycrystalline silicon in the SiH4—HC1—H2,
SiH2Cl2—H2—N2 (at high temperatures) and the SiH4—HC1—H2 (at low
temperatures) has been studied experimentally and compared with the pre-
dictions of nucleation theories using a chemical kinetics analysis of the mono-,
mer silicon adatom concentration as a function of gas-phase composition
[749-752].
A two-dimensional nucleation mechanism has been observed in the
growth of polysilicon on top of a liquid-metal-coated graphite substrate,
from SiHCl 3 -HCl-H 2 system [793].
Homogeneous gas-phase nucleation of silicon during silane pyrolysis has
been studied in numerous papers [537, 561—563]. This gas-phase nucleation
is enhanced by adding impurities such as water vapour or oxygen to the gas
stream, whereas it is decreased by introducing HC1.
Investigations of nucleation processes in other CVD systems are relative-
ly scarce. An example is the study of the initial growth behaviour of InP
on Mo substrates, using an In—PC13—H2 reaction system [794].

176
8
Thin Film Structure

8.1 Introduction

The first investigation of CVD layers included in modern solid-state technology


should be their structural evaluation. Indeed, in many cases there is a direct
correlation between the structural properties and the device characteristics.
Although in some cases the influence of structural quality on device fabrica-
tion is not precisely known, it is clear that the structure is a key factor ih
determining device performance, reliability and yield. Present-day semicon-
ductor devices and integrated circuits include, among others, many CVD
layers of semiconductors, dielectrics and metals, deposited on a single-crystal
substrate. The continuous development of these increasingly complex multi-
layer sequences has lead to the need for precise structural measurement.
Interest is shown not only in coarse measurement of such things as crystallo-
graphic structure and lattice parameters, but also for fine evaluations of
such things as structural defects and the correlation of process, structure
and property. Structural examination has progressed continuously by consi-
derable improvement of experimental techniques and by development of
extremely sophisticated equipment.
Thin-film materials deposited using CVD (or other techniques) have
the following three main structural forms: single-crystalline, polycrystalline
and amorphous. Usually in solid-state technology, semiconducting films
are required in the single-crystalline and polycrystalline forms, while dielec-
tric films are used in the amorphous form.
All CVD materials, both semiconductors and dielectrics, have imperfec-
tions whieh can influence their properties. Imperfections may be nonlocalized
(native) — resulting from general film characteristics — or localized (process-in-
duced) — formed during processing.
The capabilities and limitations of methods and instrumentation sui-
table for the structural examination of crystalline and amorphous films are
discussed in a number of books and review articles [798—807]. In this chapter,
the main structural methods together with their CVD applications are briefly

177
FUNDAMENTALS

surveyed and then the nature and causes of imperfections in crystalline semi-
conducting and amorphous insulating CVD] layers are discussed. The
correlation of process, nucleation, and structure has already been examined
in Chapter 7.

8.2 Techniques for Studying Thin Film Structure

Techniques for detecting and characterizing defects in films can be divided


into X-ray, optical, electrical, electronic, chemical, electrochemical and me-
chanical (Table 8.1).
TABLE 8.1
Methods for Detecting and Characterizing Defects in Crystalline and Amorphous CVD Films [807]

1 X-ray Methods X-ray diffraction


Laue X-ray back-reflection
X-ray double crystal spectrometry
X-ray topography

2 Electronic Methods Transmision and replication electron microscopy


Scanning electron microscopy
Electron diffraction

3 Optical Methods Optical microscopy


Multiple beam interferometry
IR absorption spectroscopy
IR reflectance spectroscopy
Optical absorption
Light scattering
UV reflectance spectroscopy
Raman spectroscopy

4 Electrical Methods Inference from electrical properties


Standard and self-healing dielectric breakdown
Electrophoretic decoration
Decoration by means of electrostatic charging
Liquid crystal technique
Current-noise measurement

5 Electrochemical Methods Electrochemical autography


Electrolytic gas-bubble formation
Electrolytic (electrophoretic) copper decoration

6 Chemical Methods Selective solution etching of films or substrates


Preferential high temperature gas-phase etching

7 Mechanical Methods Surface profilometry


Sectioning techniques

8 Nuclear Methods Rutherford ion backscattering and channelling


Electron spectroscopy resonance

178
THIN FILM STRUCTURE

In this section, emphasis will be laid on summary descriptions of tech-


niques widely used for the structural examination of crystalline and amorphous
CVD films. The types of defects which can be detected by each method as
well as typical examples of CVD applications are also mentioned.

8.2.1 X-Ray Methods

8.2.1.1 X-Ray Diffraction

This is a very accurate and advantageous method of structural analysis


[808]. It requires only a small amount of sample, and is very rapid and non-
destructive. By using X-ray diffraction it is possible to measure the lattice
spacing parameter by which the composition of each phase of the sample
is established. The same parameter also allows the thermal-expansion coeffi-
cient, the lattice strain and the orientation to be determined. Moreover,
from the linewidth and line intensity the crystalline perfection and polarity
differentiation can be established.
The condition for obtaining constructive interference, i.e. the maximum
X-ray intensity following diffraction b y a crystalline film, is:
2dsmQ = nX (8.1)
where d is the spacing between two neighbouring planes, 2Θ is the X-ray
diffraction angle, n is the order, and λ is the X-ray wavelength. Thus, from
the measurement of 2Θ position, d is calculated, which is then used to deter-
mine the sample composition or orientation by comparing it with that for
the powder standards of a particular material.
The diffraction linewidth can be attributed to the mean crystallite size
and mechanical strain in the film. The mean crystallite diameter D and
the rel ative variation in the lattice parameter, due to the presence of strain
in the film Adjd, can be estimated by considering the relations:

P = -zr^r (8-2)
D cos Θ
and
2Ad tan Θ (8.3)
a
where the factor k is estimated to be close to unity for cubic crystallites, p
is the differential linewidth obtained by comparing it with a standard of con-
trolled grain size, and q is the linewidth due to the presence of strain in the
film crystallites. The effect of mechanical strain is considered negligible when
the 2Θ positions of the diffraction peaks are identical with those for the powder
standards and do not vary with the deposition conditions.
A diffractometer contains an X-ray beam source, a sample mount, a
counter for detecting the diffracted beam, a goniometer for measuring the
rotation angle of the sample Θ and of the detector arm 2Θ, and a recorder

179
FUNDAMENTALS

50 60 70 60
2-Θ- (degrees)
(α) »V κ
Ü ;\

Fig. 8.1 X-ray diffractometry:


a — X-ray diffractometer: 1 — X-ray source (Cu ΚΛ radiation λ = 1.5418 Ä); 2 — rotating
sample (crystalline thin film and substrate); 3 — reference plane; 4 — movable X-ray de-
tector ;
b — typical X-ray diffraction trace (diffractogram) obtained for polycrystalline silicon layers
(Bragg angles Θ, for the X-ray diffraction of Cu Ka radiation, are approximately 14°, 23°, 28°,
34°, 38° and 44° for the 111, 220, 311, 400, and 331 reflecting planes, respectively.

(Fig. 8.1#). An example of a diffractometer trace is given in Fig. 8.1δ.


Thin films examined by X-ray diffractometry should have a sufficient thick-
ness (0.1 — 1 μπι, depending on the nature of the film.)
X-ray diffraction has been extensively used in structural investigations
of nearly all CVD films. There is a large number of applications of this technique,
such as:
— the determination of film substrate orientation relationships in hetero-
epitaxial silicon [3395, 3452, 3453] as well as III—V or II—VI semiconducting
films on oxide subtrates;
— the determination of the orientation of various heteroepitaxial semi-
conducting films on semiconductor substrates (InP/CdS, BP/Si, AlP/GaAs,
ZnSiAs2/Ge or GaAs, CuInS 2 /GaP);
— discovering undesired polycrystallinity in some heteroepitaxial films
(AIN/sapphire);
— the determination of crystallite size and orientation in polysilicon —
the relative amounts of (111)-, (100)- and (lOO)-texture in poly-Si have been
found to depend on the deposition temperature, deposition rate and film
thickness [592, 809] ;
— the determination of the lattice constant and composition of epi-
taxial III—V or II—VI semiconductor compound alloys, such as In^Ga^ As,
AlsGa^As, G2Lxln1„xAsyP1_y, ZnS^Sej,.^, etc. — the lattice constant a varies
with composition according to Vegard's law, for example,
tfGaAs^sP* = 0GaAs(l ~ x) + #GaP# '» (8-3)
— the determination of. preferred orientations in various polycrystalline
semiconducting films (InP, GaAs, and ZnO);
— the confirmation of amorphicity for amorphous semiconducting films
(a-Si, chalcogenide glasses) and for dielectric films (Si0 2 , Si3N4, A1203, Ta 2 0 5 ,
Nb 2 0 5 , Ti0 2 , Zr0 2 and Hf0 2 ) ;

180
THIN FILM STRUCTURE,

— the determination of the lattice parameter and its modification with


deposition conditions for metallic films (AI, W, and Mo);
— phase determination in metal compounds, such as suicides (MoSi2,
WSi2, TiSi2, NbSi2), borides (TiB2, (Ta, Ti)B2, (Ti, Zr)B2, TaB2), oxides (Ti0 2 ),
nitrides (TiN);
— the structural investigation of transparent conducting films (deter-
mination of the grain size and polycrystallinity of Sn0 2 films on glass sub-
strates, observation of the transition from the poylcrystalline to the amor-
phous state for Sn0 2 : P once a critical level of P doping is exceeded);
— the determination of lattice constants and the identity of magnetic
films, such as garnets and ferrites (YIG, GIG, NiFe 2 0 4 ); and
— phase identification in superconducting films, such as niobium ger-
manide (Nb3Ge -f· Nb5Ge3).

8.2.1.2 Laue X-Ray Back-Reflection

Laue X-ray back-reflection uses a wide band of wavelengths instead of mono-


chromatic radiation, and reflection spots occur on a film for the same condi-
tion as before. By measuring the lattice spacing, this method allows any
orientation of a thin film crystal to be determined.
By using this method the film monocrystallinity has easily been confirm-
ed in many heteroepitaxial depositions, such as Si/sapphire [3390], GaAs/
spinel, GaP/spinel, AlAs/a-Al203, GaAlAs/a-Al203, ZnSe/spinel, ZnSe/a-Al203,
CdS/a-Al203, and BP/Si.

8.2.1.3 X-Ray Double Crystal Spectrometry

In X-ray double crystal spectrometry, X-ray diffraction is first performed


on a perfect and pure crystal (e.g. silicon) to obtain a nondivergent monochro-
matic beam and then diffracted by the sample crystal fixed to the symmetric
position (Fig. 8.2a).
The rocking curves of the diffraction line recorded are also shown in
Fig. 8.2b. This method is used to evaluate crystal perfection from the line-
width obtained.
In addition, it is frequently used to determine the lattice strain ε of a
substrate having an epitaxial layer grown on it, according to the formula:

- ^ 2 L = -cote^-ΔΘ
ε== (8.4)
a0
where a0 is the lattice constant for the substrate (e.g. silicon); Δα0 is the dif-
ference in the lattice constant between the substrate and the epitaxial layer;
the small angle ΔΘ is the difference between the Bragg angles of X-ray re-
flected from the substrate and the epitaxial layer; and QB is the Bragg re-
flection angle for the substrate.
This method has been applied to the measurement of lattice misfit and
its compensation in epitaxial silicon [810—812, 3441]. Lattice strain arises

181
FUNDAMENTALS

defector

" 0 10 20 30-30-20-Ώ 0 -10 0 10


ΑΘ (sec of arc)
(O
Fig. 8.2 X-ray double crystal spectrometry:
a, b — two geometries for double crystal spectrometers, showing
the parallel (symmetric) and aiitiparallel (asymmetric) positions,
respectively. The condition of the spectrometer can be describ-
ed by listing the radiation used and the reflecting planes for
each crystal. By convention, a positive sign for the second re-
flecting plane means t h a t the crystals are arranged in asymme-
tric geometry:
1 — incident X-ray b e a m ; 2 — first (fixed) crystal (e.g. either
pure and non-defective silicon substrate or specimen); 3 — se-
cond (rotating) crystal (specimen or silicon substrate); 4 —
detector;
c — X-ray rocking curves of Ka (511,-333) symmetric Bragg
reflection of epitaxial silicon films and substrates doped with P ,
Sn, and P plus Sn. A rocking curve has a main peak and a
secondary peak, corresponding to the diffraction lines satisfying
the Bragg condition for the substrate. Simultaneous doping of
tin and phosphorus leads to lattice strain compensation (after
Nishizawa et at. [812]; reprinted by permission of the publisher,
The Electrochemical Society, Inc.)

from doping epitaxial silicon with impurities, which causes a lattice expansion
(Sb) or contraction (B, P). Doping with carbon or germanium, respectively,
which are electrically nonactive elements, leads to a strain compensation in
epitaxially deposited silicon on silicon substrates. Strain compensation can
also be achieved by simultaneous doping of tin and phosphorus, tin and boron,
or antimony and phosphorus, as measured by an X-ray double crystal spec-
trometer. Other applications include, for example, finding the lattice constant
misfit in InP/InGaAs/InP structures and Ge/GaAs heterojunctions [813]
and the intentional lattice mismatch between film and substrate in epitaxial
garnet depositions.

182
THIN FILM STRUCTURE

8.2.1.4 X-Ray Topography (XRT)

Also named X-ray diffraction microscopy, this method is used to detect the
defects and strains nondestructively which exist near the surface or even in
the volume of crystalline thin films and substrates [814—817]. The method
relies on the fact that the diffraction image or topograph resulting from a
perfect crystal containing imperfect regions will present photographic con-
trast effects (black or white images on a grey background), characteristic
to these regions. There are two main experimental arrangements used in
obtaining X-ray topographs as illustrated in Fig. 8.3.: back-reflection using
the Berg-Barrett arrangement and the transmission Lang arrangement. In
the first case (reflection topography), the X-rays are back-diffracted out of
the same surface they have entered, while in the second case (transmission
topography), the X-rays enter one surface and exit from another surface of
the crystal film, only the diffracted beam being used in obtaining the image.
The method can also be applied for in-situ structural studies of CVD layers
[818, 819].
X-ray topography equipment consists of the following three main parts:
an X-ray generator, a camera containing the movable sample, and a photo-
graphic plate.
Both transmission and reflection X-ray topography have become well
established techniques for imaging the native and processing defects as well
as strains in the CVD films. X-ray transmission topography [821] allows one
to appreciate the degree of crystallite misorientation from the nominal orien-
5
I 1
J
g>»~< (a)

- ^ - (b)
Fig. 8.3 Three general types of topographic equipment (Berg-
Barrett, Lang and double-crystal X-ray topographic cameras): ■ ^
V- (c)
a — Berg-Barrett back-reflection m e t h o d ;
b, c, d, e,f — Lang transmission m e t h o d ; b — original (nar-
row X-ray beam) (Berg-Barrett) transmission; c — scanning
(Lang) transmission or projection topography with film un- , ψ* , (d)
parallel to sample surface and synchronous movement of
sample and photo-plate perpendicular to the diffracted beam ;
d — scanning (Lang) transmission with photo-plate parallel
to the sample surface and synchronous translation of sample
- ψ^) <e)
a n d photo-plate; e — scanning-oscillation (Lang) transmis-
sion, when sample and photofilm are a t the same time
translated parallel to the sample surface and rocked about (f)
the beam direction; / — anomalous (enhanced) transmission
(Bormann) mode for a thick crystal);
g — double-crystal X-ray topography:
1 — incident X-ray b e a m ; 2 — sample; 3 — diffracted b e a m ;
4 — transmitted b e a m ; 5 — photographic plate or film;
6 — direction of translation; 7 — pure and non-defective (9)
crystal.

183
FUNDAMENTALS

tation of the layer in heteroepitaxial structures, such as GaP/sapphire, GaAs/


spinel, the number of dislocations and stacking faults in epitaxial silicon layers,
and the defects in epitaxial Si—Ge, GaN or ZnSe layers and in Al films. X-ray
reflection topography has been used to observe dislocations at film-substrate
interfaces (Si/sapphire, InGaAs/GaAs, I n A s ^ PJInAs, GaAs, GaP, GaAs/
GaAs, GaAs/Ge) [820], cracks in layers (GaP/Si), and misorientation of layer
grains (GaP/spinel).

8.2.2 Electronic Methods


8.2.2.1 Transmission (TEM) and Replication (REM) Electron Microscopy

Elctron microscpy is widely used for obtaining informations on morphology


and defects of thin films [823,-825]. This method is based on obtaining a con-
trast due to both the differential absorption and especially diffusion and inter-
ference effects undergone by an electron beam in various regions of the sample.
The method is applied either by the direct (TEM) or the indirect (REM)
procedure.
TEM can only be used with very thin samples, usually 100—5000 Ä in
thickness. Thinning of the sample substrate and, if necessary, of film can be
done by four methods, depending on the substrate material, namely chemical,
jet, floating, or electrolytic methods. REM uses sample replication, which
is performed by coating the surface to be examined with a thin film of material
(such as carbon or collodion), easily penetrated by the electron beam. For
increasing image contrast, shadowing is often necessary, which is obtained
by evaporating a thin metallic layer of Pt, Pd, Cr or Au from a low angle
source onto the sample surface.
The electron microscope is based on the possibility of electron beam
focusing by electrostatic and magnetic field lenses, and consists of an electron
gun, a condenser lens, a sample mount, an objective lens, a projector lens
and a viewing screen or a photographic plate (Fig. 8.4). The instrument is
capable of giving very high resolution (3—5 Ä) unsurpassed by any other
method.
TEM is appropriate for studying morphological aspects of CVD films
such as grain size and defects, for example dislocations, stacking faults,
twins, and precipitates. Several examples illustrating the application of
TEM to structural investigations of CVD films are given below:
— visualization of dislocations, stacking faults, precipitates and voids
in epitaxial Si, Ge [826], and GaAs [827, 828] ;
— the appearance of misfit dislocations in layers of heteroepitaxial
silicon [829] and III—V compounds [830];
— observation of structural inhomogeneity in a-Si: H films — the in-
corporated hydrogen is located at intergrain boundaries and consists of two
phases, namely a high concentration phase (polyhydride species), situated
in intergrain space and a dilute phase (monöhydride species) situated in the
bulk region of the grain;
— observation of stacking faults in ferrite films ;

184
THIN FILM STRUCTURE

Fig. 8.4 Diagram of an electron microscope:


1 — electron gun; 2 — condenser lens; 3 — sam- fei-'
ple ; 4 — objective lens; 5 — projector lens;
6 — viewing screen or photographic p l a t e ; 7 —
intermediate image; 8 — final image.

/
El
/

E %

— investigation of morphologies of amorphous dielectric layers, such


as A1N-A1 2 0 3 (Al/^N,), Si: Si0 2 , Si: Si3N4;
— the determination of grain size in various films, such as A1N/Si, NbgGe/
hastelloy, Mo/Si, Ti02/NaCl, Zr0 2 /Si and grain boundaries in polycrystalline
semiconducting films (InP/Mo), as a function of deposition parameters;
and
— investigation of interface in artificially structured materials (e.g.
AlGaAs-GaAs [5297]).
Replication electron microscopy has been used primarily to study the
topography of epitaxial, heteroepitaxial and polycrystalline silicon. For
example, in the investigation of grain structure of poly-Si/Si02 layers obtained
from silane, an elongated dendritic structure is seen for films deposited at
600—700°C, while films obtained above 700°C show small crystals having
equal axes. This behaviour is related to the transition between a-Si and
poly-Si at 600—700°C. Grain size increases (from 0.50 μπι to 0.3 μιη) with
increasing deposition temperature (from 700°C to 1100°C). Another important
application of TEM or REM is in the study of CVD film nucleation (e.g.
Si/Si [831] and Si/Al 2 0 3 [3391, 3442, 3454]).

8.2.2.2 Scanning Electron Microscopy

In this technique [832—836], a narrow high-energy electron beam is scanned


across the film surface. The secondary electrons emitted from the interaction
of the incident electron beam with the film material are detected, amplified
and displayed on a cathode-ray tube. The contrast arises from the differences
in secondary electron emission in various regions of the specimen. The method
has many important advantages: sufficiently good resolution (100—200 A),

185
FUNDAMENTALS

Fig. 8.5 Diagram of an SEM:


1— electron gun; 2 — electron-beam lenses; 3 — scan-
ning lens; 4 — specimen t a b l e ; 5 — sample; 6 —
electron collector; 7 — video amplifier (scintillation
crystal plus photomultiplier); 8 — display t u b e ; 9 —
camera.
Three other detectors (not shown) which can be employ-
ed are the P I N detector (for reflected electrons), the
cathodoluminescence detector (when sample emits
light), and the current amplifier (for measuring current
generated in the sample — e.g. a p-n junction).

high contrast, high magnification, a large inspection field, a simple specimen


preparation procedure, arid a very small sample size. It is very helpful for
an easy and detailed examination of surface morphology and localized de-
fects. Insulating specimens can readily be made conductive to prevent surface
charging from the beam by coating them with a very thin film of metal.
A schematic diagram of an SEM is presented in Fig. 8.5. The instrument
contains an electron gun, a series of three lenses and associated controls to
reduce the diameter of the electron beam and to scan it, a specimen table,
an electron detector, an electron amplifier, a display tube, a camera, and a
vacuum pump.
SEM is one of the major methods for studying the structural properties
of CVD films, that can provide information on surface topography and crystal-
lite orientation. Some representative examples illustrating various applica-
tions of this method are the following:
— the investigation of nucleation of CVD films, for example polycrystalline
silicon on Si0 2 or Si3N4 and GaAs on spinel;
— the investigation of the surface appearance of heteroepitaxial semi-
conducting films such as Si/spinel [3432], GaAs/a-Al203, GaP/a-Al203, ZnSe/
GaAs as a function of the deposition parameters;
— the investigation of the surface structure and smoothness of piezo-
electric and electro-optic materials (AIN, GaN, ZnO) deposited on sapphire
substrates;
— the investigation of the surface morphology of heteroepitaxial and
polycrystalline semiconducting films for solar cell applications (InP/CdS,
poly-GaAs/W/graphite, poly-GaAs/Mo, poljy-InP/Mo, poly-AlAs/GaAs/gra-
phite, poly-Si/Mo;
— the investigation of the growth morphology of a-Si: H and a-Si: B: H ;
— the investigation of the morphology and local defects in dielectric
films [837] (pinholes in Si02/Si, microcracks in thick layers of fused boro-
silicate, defects in Si0 2 deposited on steep Si mesa walls, crystalline particles
embedded in doped Si0 2 after high temperature diffusion, the degree of sur-
face smoothness and tapering of CVD-PSG layers deposited and softened
at 1000°C over steps in the substrate, micropores and microcracks in CVD-
Si0 2 over Al metallization, defects in Si3N4 layers, etc.);

186
THIN FILM STRUCTURE

— the investigation of surface smoothness and defects in transparent


conducting films (ln 2 0 3 : Sn, Sn02) deposited using various reactants and
CVD methods;
— the investigation of surface morphology optimization for increasing
solar absorptivity in ZrB2 and TiB 2 films; and
— the investigation of the surface texture of many metallic (B/Mo),
metal compound (Ti0 2 ), and superconducting (Nb3Ge) films.

8.2.2.3 Electron Diffraction

This technique uses the diffraction of an electron beam by a crystalline lattice.


The diffraction pattern obtained often consists of diffraction rings (for a
sample with randomly oriented crystallites which are smaller than the beam
size). From the ring diameter the interplanar spacings in the crystal structure
are calculated, which in turn serve to identify the material:

D=- ^ - (8.5)
d
where D is the ring diameter, λβ is the electron wavelength, L is the distance
from sample to screen, and d is the distance between the planes causing dif-
fraction. Individual spots are obtained for single crystalline films if the
crystallites are larger than the beam size; lines will appear on the electron
diffraction pattern of a perfect single-crystal. Electron diffraction can be
obtained by using three configurations: transmission electron diffraction
(TED) [838], low-energy electron diffraction (LEED) [839, 840] and reflection
high-energy electron diffraction (RHEED) [839, 841]. A TED pattern instead
of an image of surface topography is obtained by slightly changing the optics
of a TEM. Both LEED and RHEED, in which the diffraction pattern is
obtained from backscattered (reflected) electrons, require specialized apparatus.
Electron diffraction apparatus (Fig. 8.6) consists of an electron gun, a
specimen table, a vacuum pump and an observation screen plus a photogra-
phic camera (RHEED) or an electron collector Faraday cylinder plus an
electronic scanning display system (LEED). By analogy with X-ray diffrac-
tion, electron diffraction is used to identify the amorphous, polycrystalline
and single-crystalline structural form of a film; the diagrams obtained consist
of broad rings, sharp concentric rings, and individual spots or lines.
Crystal size can be determined from the broadening of the interference
maxima. The method is also useful for observing the presence of imperfections,

Fig. 8.6 Diagram of an R H E E D ap-


paratus :
1 — electron g u n ; 2 — electron b e a m ;
m
3 — specimen table ; 4 — specimen; 5 —
observation screen; 6 — photocamera;
7 — vacuum pump. V
187
FUNDAMENTALS

such as amorphous areas in a crystalline material and crystallites in an amor-


phous material — LEED is used for the study of surface strudure.
Examples of the. application of this technique in the investigation of
CVD film structure are given below. TED has been used in the following
typical cases:
— the determination of the epitaxial (AIN/Si), polycrystalline (Ti0 2 /Si,
InP/Mo) or amorphous (Zr0 2 , Ta 2 0 5 , Nb 2 0 5 ) nature of a CVD film;
— the determination of the transition between amorphicity and poly-
cryställinity as a function of deposition temperature (Si: B); and
— the identification of the phases present in some CVD films: Al^O^N^
(AIN + AJ203) layers are composed of four phases: three polycrystalline ones
and one amorphous one, whose ratio depends on the NH 3 /C0 2 ratio in the
gas mixture; Si: Si0 2 and Si: Si3N4 are composed of two amorphous phases,
a-Si and a-Si0 2 or a-Si3N4, while niobium germanide contains two phases,
Nb3Ge and Nb5Geg. Only a few applications in the CVD field have been
reported for low glancing angle electron diffraction, namely the determina-
tion of polycrystallinity for A1203 films, amorphicity for Ta205—A1203 mix-
tures, and the surface structure of silicon films. On the contraray, RED has
served as a useful method for determining monocrystallinity or crystalline
imperfections as well as polycrystallinity and amorphicity, for example:
— single crystallinity was proved for LPMOCVD-GaAs, ß-SiC, BP/Si,
AlAs/a-Al303, Si/a-Al203, ZnS, ZnSe or CdS/GaAs, NiFe 2 0 4 , PECVD-ZnO,
polycrystallinity for poly-Sif WSiJSi, Ti02/oc-Al203, amorphicity for LPCVD-
BN, a-Si:H;
— the determination of high defect density near the film/substrate inter-
face, which improves considerably when the film thickness increases in GaAs/
sapphire, GaAs/spinel as well as Si/spinel, and Si/sapphire.

8.2.3 Optical Methods

8.2.3.1 Optical Microscopy

Optical magnification is the simplest and widest method used for nondestruc-
tively obtaining information on film surface topography as well as on the
type and density of localized structural defects.
The basic instrument is the standard optical metallographic microscope,
using various types illumination such as bright-field, dark-field, and oblique
or grazing lighting. Various optical contrast methods, such as polarized light,
phase contrast, and interference contrast are applied to reveal otherwise
invisible morphological details [801].
The phase-contrast microscope is based on shifting the phase of a direct
beam with respect to light reflected or diffracted from a specimen (Fig. 8.7).
In the interference-contrast microscope, the incident white light is polarized
and split into light with two perpendicular components which are slightly
laterally displaced. Upon recombination, if there is any phase difference due
to a path difference of the two rays, i.e. the components are out of phase,
a coloured interference image is obtained (Fig. 8.8).

188
THIN FILM STRUCTURE

Fig. 8.7 Phase-contrast microscope: Fig. 8.8 Nomarski differential


1 — light source; 2 — source ring s t o p ; 3 — phase interference-contrast microscope:
shift p l a t e ; 4 — objective; 5 — opaque surface; 6 — 1 — eyepiece ; 2 — analyser; 3 —
«yepiece. The whole direct beam passes through the half-silvered mirror; 4 — polari-
annular groove of the phase plate. Owing to the dif- zer ; 5 — white light source; 6 —
ferent plate thickness in t h a t region, the direct beam- Nomarski biprism (two birefrin-
phase is shifted by λ/2. Most of the light reflected gent quartz prisms); 7 — lens;
from the object will not pass through the phase-plate <S — object.
ring so that its phase will be different from the back-
ground light.

The Nomarski interference technique [842] has been used for observing
several surface defects in silicon epitaxial wafers, such as stacking faults,
twins (pyramid growths), as well as various depressions and projections on
the wafer surface. Other applications described in the literature are the investi-
gation of surface smoothness and defects of various epitaxial (GaP/GaP,
GaP/Si, AlAs/GaAs, (GaIn)As/GaAs, ZnSe/GaAs, ZnS^Se^/GaAs, NiFe 2 0 4 /
MgO), polycrystalline (Sn02/glass) and amorphous (AsSG/Si) films.

8.2.3.2 Miscellaneous Optical Methods

In the following, some optical methods restricted to special applications will


be briefly mentioned.
Optical multiple-beam interferometry (see Section 6) can also be used for
measuring the heights of steps and other irregularities on the film surface.
IR absorption spectroscopy is useful for determining the chemical bonding
structure, for example, in a-Si: H and a-SiC: H. The number of Si—H bonds
and even the relative distribution of these bonds between SiH, SiH2 and SiH3
groupings is estimated. Molecular structure is also investigated in LPCVD
and PECVD films, such as BN, Si^N^H*, and Si0 2 , which contain hydrogen
links, in doped films such as C-doped poly-Sif which contains Si—C links
in its structure, and in numerous other CVD films [843—846].

189
FUNDAMENTALS

IR reflectance spectroscopy serves to establish nondestructively if the


deposition process has introduced stress or damage at the substrate inter-
face. For example, band splitting and distorsion in IR reflectance spectra
is evidence of interfacial strain in silicon, A1N and gallium arsenide epitaxy
on the sapphire substrate.
The optical absorption of a film can also be correlated with its crystalline
quality [847, 3438, 3439, 3459]. Optical absorption was found to decrease in
value when the crystallinity of a deposited film such as silicon on sapphire
is improved. For AIN/sapphire spectra, an interesting feature is the existence
of an absorption tail which is more pronounced at the higher growth tempe-
rature, and may be related to the existence of an interface compound.
The light scattering technique serves for rapid examination of surface irre-
gularities such as pits or mounds in dielectric films [848]. The instrumen-
tation consist of a laser beam focused on a film surface, a photomultiplier
tube used as a detector for reflected light anomalously scattered by surface
defects, and a display screen.
Visible [849] and UV [850] reflectance spectroscpy and surface photovol-
tage techniques [851, 852] are useful in observing defects in crystalline layers
such as twinning in heteroepitaxial Si layers grown on sapphire.
Raman spectroscopy has been applied to determining the molecular
structure of films containing hydrogen bonds such as a-Si: H and poly-Si
[853].
The Raman microprobe technique has been used to evaluate the structural
quality of CVD films, such as implanted poly-Si [854], etc.
The laser scanning technique has been employed to determine the structural
quality of various CVD layer such as poly-Si and SOS [855].

8.2.4 Electrical Methods

8.2.4.1 Inference of Defect Structure from Electrical Properties

The electrical properties of a film are an important measure of film integrity


and quality. In general, the electrical parameters of a film are degraded by
the presence of localized defects. In the case of crystalline semiconducting
films, such electrical parameters are, for example, the carrier concentration,
the mobility and the minority carrier lifetime (the values of which decrease
with increasing defect density, such as dislocations and grain boundaries).
The correlation between the defect density and the electrical properties has
served to demonstrate qualitatively the defect structure in many crystalline
semiconducting films, such as homo- and hetero-epitaxial films of silicon,
germanium, GaAs and other III—V, II—VI, and IV—VI semiconductors,
as well as polycrystalline films of Si and compound semiconductors. In the
case of amorphous dielectric films, the interfacial, dielectric, current transport,
and dielectric strength properties are strongly affected by the presence of
any structural defect.

190
THIN FILM STRUCTURE

8.2.4.2 Standard and Self-Healing Dielectric Breakdown

Since localized defects in a dielectric layer lead to a decrease in the value of


dielectric strength, their presence can be inferred from dielectric breakdown
measurements. In the standard dielectric breakdown method [856—859],
which uses an MIS capacitor structure, only one minimum value of break-
down voltage is obtained because breakdown occurs at the weakest point
of the dielectric.
The self-healing brakdown method [860] permits many measurements
of the dielectric strength including the maximum (intrinsic) value on a single
MIS sample. In this method, after a breakdown event the metal electrode
is removed from the damaged area due to excessive local heating so t h a t no
conduction path exists, and the MIS capacitor remains available for further
measurements. By using ramp-voltage self-healing-breakdown apparatus,
the voltage across the sample is recorded as a function of time, and thus a
distribution of defects according to their voltage breakdown characteristics
is obtained. Typical examples of the application of the self-healing-break-
down technique have been presented for Si 3 N 4 and A1 2 0 3 films on silicon.

8.2.4.3 Electrophoretic Decoration

The electrophoretic decoration technique [861, 862] is based on the deposi-


tion of charged colloidal decorating particles on the defective sites of the
sample which is one electrode of an electrophoretic cell (Fig. 8.9). Decorating
particles include UV-fluorescing phosphors, such as manganese-activated
zinc silicates (yellow) or europium-activated yttrium vanadate (red) and
various white powders of oxides and silicates, such as lead alumino-silicate
glass. This technique offers a very sensitive means for detecting pinholes,
microcracks, thin spots and other defects in an insulator layer covering a
conductive or semiconductive surface.

8.2.4.4 Decoration by Electrostatic Charging

This method consist of electrostatic charging of the sample followed by its


immersion in an insulating liquid containing charged decorating particles
[863]. In the first step, ions from an atmospheric-pressure d.c. glow discharge
(corona) are selectively deposited on the insulating surfaces of the sample.
Then the sample is placed in a suspension of charged carbon-black particles

i.i.f
Γ+1"!'
Fig. 8.9 Electrophoretic decoration apparatus (after Kern and
Comizzoli [863]; reproduced by permission of The American Institute 2
of Physics):
5
1 — power supply; 2 — glass or stainless steel t a n k ; 3 — (non)aqueous
carrier liquid containing decorating powder (phosphors, carbon blacks,
oxides and silicates); 4 — dielectric covered silicon wafer; 5— stainless
steel electrode.
FUNDAMENTALS

■Γ^Γ^
ΓΡΙ
\M°\

(a) (b) (c)


Fig. 8.10 Electrostatic (corona) charging process (after Kern and Comizzoli [863];
reproduced by permission of The American Institute of Physics):
a — apparatus: 1 — power supply; 2 — ammeter; 3 — voltmeter; 4 — corona
grid wire a r r a y ; 5 — negative ions; 6 — sample wafer; 7 — anode p l a t e ;
b — direct decoration of defects after corona charging of insulator regions with
ions of the same sign as decorating particles;
c — reverse decoration of defects after corona charging of insulator regions with
ions of the opposite sign to t h a t of decorating particles.

in an insulating liquid. Depending on the relative signs of the charged parti-


cles and of the deposited surface ions, the charged particles are attracted to
the charged insulating regions, resulting in reverse decoration, or to the defects,
resulting in direct decoration (Fig. 8.10). This detection method is nondestruc-
tive, rapid, sensitive and simple and has been applied not only to overmetal
passivation layers of CVD Si0 2 and PSG, but also to any insulator on a (semi-
conductor substrate.

8.2.4.5 Liquid Crystal Technique

Liquid crystal technique is an interesting technique for visualizing dielectric


defects [864—866]. The experimental arrangement shown in Fig. 8.11 is a
liquid crystal cell consisting of a drop of negative nematic liquid crystal
placed between the wafer to be tested and a thin cover glass coated with a
transparent conductive layer of tin oxide. A test voltage is applied between
the wafer back and the Sn0 2 layer, the sample being observed under a micro-

Fig. 8.11 Arrangement for visualizing dielectric defects used


in the liquid crystal technique (after Keen [864]; reproduced
by permission of The Institution of Electrical Engineers):
1 — cover slide; 2 — SnO a coating; 3 — liquid crystal; 4 —
metallization; 5 — dielectric layer; 6 — silicon substrate; 7 —
stainless steel vacuum chuck; 8—voltage source; 9—microscope.

192
THIN FILM STRUCTURE

scope. If there are pinholes in the dielectric (Si0 2 , etc.) above a threshold
voltage, various vortex flow patterns are observed in the otherwise clear
liquid crystal around the defective sites.

8.2.4.6 Current-Noise Measurement

Constrictions of metal films deposited on steps in dielectric layers presenting


localized defects can be sensed by using current-noise measurements at high
current densities. This technique can therefore be useful for the indirect
detection of some defects in dielectric layers such as surface irregularities
and cracks [867].

8.2.5 Electrochemical Methods

8.2.5.1 Electrochemical Autography

This technique is based on the local anodic oxidation of colourless benzidine


giving a blue reaction product in areas corresponding to defect sites in the
dielectric film in which conductive silicon substrate is exposed. The apparatus
for electrochemical autography of dielectric defects is an electrochemical cell
(Fig. 8.12) having a wafer with a dielectric coating as anode placed with face
down on a membrane filter, impregnated with an electrolyte solution containing
a benzidine salt, and a counter electrode. This technique is rather simple,
but often requires a high power microscope to observe the replicated defects
obtained without enlargement [868, 869].

8.2.5.2 Electrolytic Gas-Bubble Formation

In this electrolytic method, the test sample (a dielectric film on a semicon-


ductor substrate), connected to the cathode of an electrolytic cell, is immersed
in a dissociable dielectric liquid (such as methanol or acetone) and a copper
anode is immersed in the same organic electrolyte above the wafer (Fig. 8.13).

2 Ή*η
\
Fig. 8.12 Apparatus for electrochemical autography of 3
dielectric defects (after McCloskey[868] ; reprinted by Θ
6
permission of the publisher, The Electrochemical Society,
Inc.): 1 — current source; 2 — stainless steel weight; 3 — \ W\
VI
silicon wafer placed with face down; 4 — electrolyte Vd 1 Θ i i
saturated Millipore filter; 5 — electrolyte saturated cu-
shion; 6 — stainless steel plate.

193
FUNDAMENTALS

Fig. 8.13 Apparatus for visualizing dielectric defects using


electrolytic gas-bubble formation and electrophoretic copper
decoration ([870]; reproduced by permission of Siltec Corpo-
ration) :

t
1 — current source; 2 — copper electrode (anode); 3 —
\ organic liquid; 4 — glass cylinder; 5 — Si wafer with
dielectric; 6 — metal support (cathode).

τ a. IH
Dielectric anomalies (such as pinholes) are microscopically observed as
the sites of emerging trains of fine hydrogen gas bubbles arising from either
the electrochemical decomposition of the electrolyte or minute traces of dis-
solved water [870, 871].

8.2.5.3 Electrolytic (Electrophoretic) Copper Decoration

The equipment for copper decoration by using electrolysis [871] is similar to


that described above (Fig. 8.13). Owing to anodic oxidation, positively charged
colloidal particles of insoluble copper oxysalts are released in the electrolyte.
These particles migrate, owing to the potential gradient, towards the cathodic
sites consisting of dielectric film defects and instead of plugging the defects
they accumulate on the surface of the surrounding dielectiic.
A refined instrument based on both electrolytic gas-bubble formation
and electrophoretic copper decoration is available commercially for observing
defect sites in any dielectric film [870].

8.2.6 Chemical Methods

Selective chemical etching either in solution or in the gas phase is a widely-


used method for defect characterization, both for crystalline and amorphous
films. Chemical methods, such as chemical dissolution and anodic sectioning,
are also useful destructive methods for the structural depth profiling of CVD
films.

2.. m ca <-1 C331itllfre Films


8
Selective wet chemical etching is one of the most commonly used techniques
in the defect analysis of crystalline semiconducting films.
Detection of some defects such as dislocations, stacking faults, twins
and grain boundaries occurs by etch-pit formation, because the region contai-
ning the defect usually etches more rapidly than the rest of the crystalline
layer. On the contrary, a selective etchant will reveal the inclusions by lea-
\ing ihem unattacked. Table 10.3 gives examples of etchants for detecting
dislocations, stacking faults, twins and grain boundaries in some crystalline

194
THIN FILM STRUCTURE

semiconducting CVD films. Etch-pit formation was also found useful in the
determination of an important structural feature of a crystalline film, namely
its crystallographic orientation (Table 10.3).
Etching is also extensively used for specific applications in microstructu-
ral investigations. For example, in the preparation of samples for microscopic
examination, chemical polishing of semiconductor surface is usually required
before etching in order to reveal dislocations. Etching is also necessary for
thinning samples to be used for transmission electron microscopy.
-Various etchants used for these purposes are summarized in Table 10.3.
Junction delineation of angle-lapped specimens of layers that are epitaxial,
diffused, or combinations thereof is performed by staining, etching and/or
plating techniques (Table 10.3).

8.2.6.2. Selective Substrate Chemical Etching for Amorphous Dielectric Films

Selective chemical etching allows the detection of localized defects such as


pinholes and microcracks in dielectric films. In this technique, the etchant
attacks preferentially the substrate by penetrating through the defect open-
ing [872]. The substrate can be either a thick semiconductor (Si, etc.) or a
metal film (Al) on a thick semiconductor. Partial defects can be detected as
a function of dielectric layer depth by using successive dielectric and substrate
etching. Several selective solutions have been reported for detecting pinholes
in silicon dioxide layers on silicon substrates (pyrocatechol-ethylenediamine-
alcohol (110°C); catechol-hydrazine-water [8731; and 10% NaOH (70°C)
[874], over aluminium metallization (75 vol. H 3 P 0 4 8 5 % + 20 vol. H 2 0 +
5 vol. H N 0 3 70% at 50°C [875]) and over nickel-chromium resistors (a
solution of eerie sulphate). There is also a preferential gas-phase etching
technique, using dry chlorine gas at 900—1050°C [876] which attacks only
the silicon substrate, and an R F plasma etching technique using a CF 4 +
0 2 gas mixture in which the underlying Si substrate is etched with F *
[877].

8.2.7 Mechanical and Miscellaneous Methods

Surface profilometry (see also Section 6) is very useful for measuring the sur-
face profiles and roughness of both CVD layers and their substrates [878].
Sectioning techniques such as small angle lapping are destructive techni-
ques for structural depth profiling of both semiconducting and dielectric films.
Rutherford ion backscattering and channelling detect foreign or host
interstitials in single-crystalline CVD layers such as Si/Al 2 0 3 [879, 880] and
HgTe/CdTe, thus indicating the quality of epitaxial growth.
Electron spin resonance spectroscopy (ESR) has been applied successfully
for investigating the molecular structure of films containing hydrogen bonds,
such as a-Si: H, a-Si: B : H, and a-Si 3 N 4 : H [881].

195
FUNDAMENTALS

8.3 Structural Defects in CVD Thin Films


Structural defects appear normally in any CVD thin film. It is therefore im-
portant to know the type and concentration of these defects, their cause,
proper techniques for their characterization, as well as ways of minimalizing
various effects of the process variables leading to defect formation.
Imperfections can be classified according to several criteria (such as
the structural form of the film) as well as their nature, origin, causes and
location. Thus there are: imperfections occurring in crystalline semiconducting
or amorphous dielectric films; imperfections of a structural or a chemical
nature; imperfections occurring during deposition or induced on subsequent
processing; nonlocalized imperfections resulting from general film properties;
localized imperfections resulting from processing; and surface or bulk imper-
fections.
The ensuing discussion presents the type of defects occurring in crystalline
and amorphous CVD films. Techniques for their characterization as well as
their origin and control are also briefly mentioned.

8.3.1 Defects in Crystalline Semiconducting Thin Films

There are many crystallographic imperfections existing in CVD crystalline


semiconducting films. They may have many origins such as imperfect quality
of substrate surface, mismatch between layer and substrate, chemical reaction
between layer and substrate, nucleation difficulties, nonoptimized deposition
variables (too low substrate temperature, too high growth rate), contamina-
tion during deposition, mechanical and thermal strain during deposition,
deformation or contamination on subsequent processing and others. Lattice
defects are of several kinds according to their geometrical shape: point
defects (vacancies, interstitials); linear defects (dislocations); bidimensional
defects (stacking faults, twins, grain boundaries); and three-dimensional
defects (voids, cracks, inclusions, etc.). Lattice defects, inherently appearing
in all polycrystalline semiconducting films, can be removed partially or
completely by means of film recrystallization.
Vacancies occur in a crystalline semiconductor film if a single atom is
lacking from the lattice. Vacancy concentration can be inferred from electri-
cal measurements. Inability to dope many III—V and II—VI materials is
explained by self-compensation due to generation of native defects such as
vacancies. For example, Ga vacancies associated with Se dopant arise in
Ga—V materials used for LEDs.
Interstitials are additional atoms situated between the normal lattice
sites. The estimation of interstitial density is difficult, but the presence of
interstitials can be inferred from their effect on the electrical properties of
the semiconductor film. Ion backscattering and channelling are often used
to detect both host or foreign interstitials in CVD films.
Dislocations are of several kinds. The most common type is the edge
dislocation occurring at the termination of a sheet of atoms due to defor-
mation of crystal bonds when the crystal is subjected to shear. Dislocations

196
THIN FILM STRUCTURE

can be introduced at nearly all stages of processing both in and after CVD
film growth. During film growth, they can appear as a result of propagation
from the substrate, mechanical and thermal stresses, variation in deposition
rate, and growth on an unclean or defective substrate. So-called misfit dis-
locations also occur as a result of differences between the lattice constant
of the film and the substrate on which it grows. These differences appear
in heteroepitaxial growth (or even in homoepitaxial growth if the film doping
is different from that of the substrate). After crystal growth, the dislocations
are generated by subjecting the crystal to an excessive stress, such as that
occurring during heating or cooling, from the diffusion of impurities into the
lattice.
Dislocations can be inferred from measurement of electrical properties of
the respective films. Dislocation detection can be carried out directly in
several ways, primarily, by etching, decoration, double-crystal X-ray spec-
trometry, X-ray topography and transmission electron microscopy/diffrac-
tion.
Dislocation can be detected and counted by etch-pit formation due to
the fact that the area near a dislocation is etched more rapidly than the re-
maining crystal. Dislocation etchants useful for the main crystalline semicon-
ductor films and substrates have already been listed in Table 10.3. Another
way for detecting dislocations is by means of decoration, namely by using
segregation of some elements along dislocations. For example, copper is used
for decorating silicon dislocations. Double-crystal X-ray spectrometry is
applied to studying high concentrations of dislocations, while X-ray trans-
mission topography is useful only for low concentrations of such defects.
In the first method, the width of the spectral lines is proportional to the
square root of the dislocation density. Transmission electron diffraction and
transmission electron microscopy can be used to observe dislocations in a
way similar to X-ray methods. These are destructive methods requiring
sample thinning.
Dislocations have been intensively investigated in homor [882] and-
hetero [883, 884, 3449] epitaxial silicon as well as in compound semiconducting
films [885].
Stacking faults are errors in the stacking order of the layers of a film,
occurring only when the succeeding layers arc different. When a layer is
omitted, the stacking fault is intrinsic; when a layer is introduced it is ex-
trinsic.
They are caused by the initial presence of defects on the substrate, such
as dislocations, scratches, impurity segregation areas, particulate contami-
nants (such as dust or reaction products), or a mechanical y damaged surface.
In some cases, stacking faults arise after oxidation.
Observation of stacking faults can be carried out by using X-ray topo-
graphy, transmission electron-beam diffraction, surface etching or even
Nomarski interference contrast microscopy. Etching, which is the most
commonly used method, relies on producing grooves at the intersection of
the fault planes with the surface. The outline of a stacking fault given by
surface etching is primarily triangular, but it can sometimes be hexagonal
or circular. Etchants suggested for the detection of stacking faults for some
of the more common semiconductor materials are given in Table 10.3.

197
FUNDAMENTALS

Stacking faults are commonly present in homo- [886—890] and hetero- [891]
epitaxial silicon, but they can also appear in other epitaxial semiconductors
(GaAs, etc.) or magnetic (ferrite) films.
Twins consist of two contacting regions of different orientation having
a common interface consisting of a crystallographic plane (twin plane).
Twins occur in semiconductor epitaxial layers such as Si/Si or Si/sapphire
during growth; they are caused by variations in temperature or contamina-
tion. Twin regions can often be observed directly where twin planes inter-
sect the surfaces or by using various selective etches including those used for
the determination of crystallographic orientation or for the definition of dis-
locations. Some etches recommended for twin definition in some semiconduc-
tor epitaxial layers or substrates are also given in Table 10.3. Twinning in
heteroepitaxial Si on sapphire has been investigated using UV reflectance
spectrometry.
Grains and grain boundaries are bidimensional lattice defects appearing
in all polycrystalline thin films. Indeed, thin films of metals and semiconduc-
tors also occur in the polycrystalline form, i.e. they are formed by joining
a large number of crystalline grains having different dimensions and orien-
tation, between which there are contact surfaces of various configurations
depending on the preparation conditions. A single grain boundary can be
delineated primarily by etching (Table 10.3). Other methods, such as X-ray
diffraction topography or electron microscopy, can be used when the density
grains becomes large.
Voids occur in a crystalline film, when the nucleation and growth process
is prevented by various contaminants. They are usually observed by using
optical microscopy.
Microcracks are introduced in a thin film as a means of relieving the
large strain developed in a film-substrate structure. The strain in the compo-
site structure arises from either the lattice mismatch between the two mate-
rials or differential thermal contraction between the two layers.
Cracks are detected by selective etching. An illustrative example is
cracking in epitaxial A1N layers on sapphire, which can be eliminated by
slow cooling from the growth temperature.
Inclusions are separate phases of identical or different composition
included in the crystalline lattice of a film. Inclusions can be detected by
etching, X-ray diffractometry, X-ray topography, electron diffraction,
transmission electron microscopy, or optical microscopy. Their composition
is determined by using method such as X-ray microprobe analysis. Inclusions
appear, for example, in homoepitaxial Si owing to metallic impurity precipi-
tates [892] and in MOCVD-A1N heteroepitaxial layers as a result of homoge-
neous powder formation incorporated into the growing film.
Recrystallization of semiconductor films. Thin semiconductor films,
especially when deposited at relatively low temperature, on foreign nonorien-
ting substrates, contain a very high density of structural defects such as
dislocations, stacking faults, twins, or grain boundaries. These defects may
modify the electrical properties by acting as scattering centres or by causing
chemical inhomogeneities through the segregation of impurities. Consequently,
it is not only of basic scientific interest to understand the intrinsic properties
of thin semiconductor film, but also of practical value in the fabrication

198
T H I N FIUVL S T R U C T U R E

of semiconductor devices to produce films that are as nearly monocrystalline


and perfect as possible. It is often desired to obtain semiconductor films con-
taining large monocrystalline regions supported on readily available nono-
rienting substrates. The above requirements can be met b y recrystallizing
the semiconductor film after deposition. An illustrative example is the recry-
stallization of CVD poly crystalline silicon deposited on insulating substrates,
which usually requires melting of the layer by using either thermal annealing
or an intense laser or electron beam.

8.3.2 Defects in Amorphous Dielectric Thin Films

Structural imperfections in amorphous dielectric layers are often intro-


duced during either CVD of the films or subsequent processing steps, such
as surface preparation and photolithographic patterning processes. The
main localized structural defects in amorphous dielectric films include
pinholes, microcracks, microcrystallites, voids, hillocks, thin spots, and
embedded impurities.
Pinholes are one of the most common defects in dielectric films. They
are defined as film discontinuities in the form of invisible holes in the dielectric
layer having a (sub) micron size diameter. Partial or complete pinholes usually
arise from incorrect CVD processing (particulate contaminants as well as
dust or reaction products either in the gas stream or adsorbed on the sub-
strate surface). They can also appear during subsequent chemical patterning
processes if the dielectric film is not adequately protected from etchants.
. Pinholes can be detected by using SEM, REM, selective substrate liquid
chemical etching, preferential high-temperature gas-phase etching, selective
plasma etching, electrolytic methods, electrophoretic decoration with copper
oxysalts or UV luminescence phosphor, electrolytic copper decoration, elec-
trochemical autography, electrostatic charging followed by direct or reverse
decoration with phosphor or carbon black particles, and liauid-crystal light
scattering technique. Partial pinholes can be detected as a function of die-
lectric depth by using sequential selective chemical etching of dielectric layers
followed by selective demarcation substrate (metal or semiconductor) etching
(the metal-insulator sequential etching method).
Pinholes are present in all CVD dielectric films (Si0 2 , Si 3 N 4 , A1 2 0 3 , etc.)
as well as in thermal Si0 2 , their density varying with the number of particu-
lates dispersed in a CVD reactor. Often, such as for a CVD protective overcoat
of Si0 2 deposited on an aluminium-metallized integrated circuit, it is
required to immerse the sample in etchant to dissolve the exposed Al. This
enlarges the area beneath the defects, allowing their microscopic observation.
Microcracks (cracks having submicron width) in insulating films are
usually caused by large stresses between the dielectric film and the substrate
or between different types of films. This excessive stress can appear during
deposition, pattern etching or heat treatments if the thermal expansions of
the components are very different. Microcracks often surround crystalline
particles embedded in a dielectric layer, the cause also being thermal mis-
match. Microcracks are revealed by Nomarski differential interference-con-

199
FUNDAMENTALS

trast microscopy, scanning electron microscopy, selective chemical etching,


electrolytic and electrophoretic methods, and current noise measurements.
Microcracks have been detected, for example, in CVD glass over alu-
minium (by immersing the sample in aluminium etchant: H 3 P0 4 (85%) —
HN03(75%) — H 2 0 at 55°C and by using optical microscopy), in thick
Si3N4 layers on Si (by using SEM), and even in multilayers such as Si02—
PSG-Si 3 N 4 [893].
Crystalline particles (microcrystallites) embedded in a dielectric film
can appear under certain conditions (for example, in a doped Si0 2 layer after
high temperature diffusion). They are easily made visible by etching and/or
SEM.
Surface irregularities, such as voids or pits (depressions) and hillocks
or mounds (projections), are caused by the presence of particulate conta-
minants during the CVD process. They are detected by using optical contrast
microscopy, SEM, optical scattering techniques, current noise measurements,
surface profilometry and optical multiple-beam interferometry.
Thin spots in a dielectric film appear as a result of improper CVD pro-
cessing (with particulate contaminants in the gas stream or on the substrate
surface) as well as the particular topography of the substrate to be coated
(sharp edges, corners at the base of steep steps, and steep mesa walls). They
are usually revealed by SEM.
Large surface roughness, a topographical defect appearing during CVD
under incorrect process conditions, is measured by using surf ace profilometry.
An example is the case of AsSG films [894].
Other localized defects, such as embedded impurities caused by impurities
in CVD system and gases may be evidenced indirectly, for example, by means
of dielectric breakdown measurements since they lead to a local weakening
of the dielectric strength. Their composition can be determined by using
methods of localized composition analysis such as electron-probe microana-
lysis, ion-probe mass analysis, electron spectroscopy for chemical analysis,
scanning Auger microprobe analysis, neutron activation analysis, radioactive-
tracer analysis, and X-ray and electron diffraction.
9
Analysis of CVD Films

9.1 Introduction

When investigating the quality of CVD films, it is necessary to ascertain the


chemical composition of their structural defects, chemical contaminants
and the changes in their chemical composition (non-stoichiometry).
All these important investigations are performed by using analytical
techniques which can be divided into techniques for investigating the impu-
rities in the entire layer (bulk analysis), and techniques for investigating only
the surface of layers (surface analysis) [895 — 904].
The choice of a method for a given problem depends on many factors,
such as: the material type, detectable elements, sensitivity, surface survey
ability, nondestructiveness, sample consumption, reproducibility, effect of
the matrix on impurity sensitivity, undesired charge-up of insulating samples,
beam induced chemical changes, lateral and in-depth concentration pro-
files, element identification resolution, analytical time and equipment price.
A remarkable variety of analytical methods (Table 9.1) is available
today, but there is no universal method. Thus, it is often necessary to use
a combination of complementary techniques to solve a specific problem in
CVD thin film analysis.
The purpose of this chapter is to review the major methods available
for both bulk and surface analysis of impurities in CVD thin films. For each
method, the basic principle, modern instrumentation, capabilities and limi-
tations as well as a number of practical examples are presented. An overview
of methods for measuring the average composition and depth concentration
profiles is also presented.

9.2 Analysis Techniques of Thin Film Bulk


Bulk analytical methods such as wet chemical analysis, emission spectroscopy,
atomic absorption spectroscopy, neutron activation and radioactive-tracer
analysis are restricted to special applications and will be mentioned only
very briefly.

201
FUNDAMENTALS

TABLE 9.1
Comparison of Methods for Analysis of CVD Thin Films [897]

Principle Maximum Sur-


Non- Repro- Probe
(primary sensitivity Dura- face Pressure
des- duci- dia-
No. Method excitation Coverage tion survey required
truc- bility meter 1
/detected abs. rel. (min) capa- (torr) tive (%)
emission) (g) (ppma) bility

0 1 2 3 4 5 6 7 8 9 10 1 ii
1 Wet solution/ All ele- 10-9 300 No ambient No
chemi- various ments
cal
analysis

2 Emission opticaly All ele- io-9 60 No ambient No


spectro- excited ments up to
scopy vapour/ 10-6
optical
analysis
3 Atomic optically 50 ele- io- 10 30 No ambient No
absorp- excited ments up to
5
tion vapour/ io-
optical
analysis

4 Neutron neutron 75 ele- IO"12 600 No ambient Yes


activa- bom- ments up to
tion bard- 10~6
ment/
particle
energy
analysis
5 Radio- Radio- All ele- 300 No ambient Yes
tracer tracer ments
inclusion/
γ or β
radioac-
tivity
measure-
ment
-6 X-ray X-ray Z^9 10~7 1-100 30 Yes ambient Yes ±1 IO4
fluores- bombard-
cence ment/
X-ray
i analysis

202
A N A L Y S I S O F CVD F I L M S

Sampling Examples of CVD application


depth Cali- Depth
Advan- Disad- Compo-
bra- profi- Depth
tages vantages Doping Impurity sition/
atomic tion ling profiling
(μπι) Stoichio-
layers
metry
12 13 14 15 16 17 18 19 20 21

bulk No No Quanti- Slow B in Si 3 N 4


tative Sample poly-
Cheap dissolu- Si
set-up tion re-
quired
Bulk
analysis
102 Yes No Quanti- Bulk
tative analysis

bulk Yes Yes Quanti- Special Na in O in


tative sample Si02 SIPOS;
preparation B in a-
Very Si:B:H
wide
range of
detection
limits
Bulk
analysis
bulk Yes No Quanti- glow Metals
tative Bulk in epi-
analysis Si; Na
Safety in S i 0 2
problems

Yes No Quanti- Slow p- and Na in H in


tative Bulk n-type Si02; Si02
analysis dopants Surface
Safety in Si impuri-
problems ties on
semicon-
ductors

10 104 Yes No Quanti- No late- P in Si in Sn02:


tative ral reso- PSG Al, Mo, Sb;
Readily lution Ta films MoSi 2 ;
applied to Z^9 deposi- TaSi2;
insula- ted on WSi2;
tors Si or TiSi 2
Fast SiO z
Nondes-
tructive

203
FUNDAMENTALS

0 1 1 2 3 A 5 6 7 8 9 | 10 j 11

7 X-ray X-ray Z^3 10~ n 0.1- 120 Yes 10~6 Yes ±20 104
photo- bom- 1%
electron bard-
spectro- ment/
scopy electron-
energy
analysis

8 Solids radiation All 10~12 0.01- 60 No 10~4 No ±20 10-


mass ionized up to 1.0 100
spectro- vapour/ 10-8
graphy ion mass
analysis

9 Electron- electron Z^4 10" 14 100- 60 Yes 10~5 Yes ±2 1


probe bom- 1000
micro- bard-
analysis ment/
X-ray
analysis

10 Auger electron Z^3 10-14 0.01- 30 Yes 10"7 Yes ±20 25-
electron beam/ 0.1% 100
spectro- electron
scopy energy
analysis

204
A N A L Y S I S OF CVD F I L M S

TABLE 9.1 (continued)

12 13 14 15 16 17 18 19 | 20 21
3
10 2 Yes Yes Readily Semi- Interface
applied quantita- analysis
to insu- tive (Si —Si0 2 ,
lators Slow Si02-
Informa- No late- -Si3N4)
tion on ral reso- SiXOyNz\
chemical lution Si^NyHe
bonding Low de-
tection
sensitivi-
ty
Requires
skilled
operation

1 103 Yes No High Semi- Si in Trace H in


sensiti- quantita- (j-a ASj_2; XT % impuri- a-Si:H
vity tive and ties in and
Surface I n ^ G a ^ P SnO a :Sb, Si i r N 2 / H z
charging In 2 O a :Sn
of insu-
lators
Expensi-
ve in-
strument

1 102 Yes Yes Quanti- Surface Si:SiO a ;


tative charging Si:Si 3 N 4 ;
Nondes- of insu- SIPOS;
tructive lators Si02 ;
Fast Z ^ 4 PSG;
Expen- AsSG;
sive in- Si 3 N 4 ;
strument A1N;
Ta2N;
A1 2 0 3 ;
SnO a ;
GaAs ;
Al^Gaj.^As
ZnSe

1(Γ4 2 Yes Yes Depth Semi- Sur face a-Si:B;


profile quanti- impuri- Si 3 N 4 ;
Fast tative ties (Na, SIPOS;
Limited K on Si) SisOyN*;
sensiti- O in ZnO;
vity Si 3 N 4 ; BN;
Surface Ga in Si02;
charging Si0 2> ZnSe;
of insu- Si 3 N 4 , Interfa-
lators or A1 2 0 3 ces:
Requires Si — S i 0 2 ;
skilled Si3N4-
opera- -Si02;
tion Pd-a-Si:

205
FUNDAMENTALS

0 1 2 j 3 4 5 6 7 8 9 10 11

11 Scanning electron Z^3 0.1- 30 Yes Yes ±20 4-


Auger beam/ 1% 15
micro- electron
analysis analysis

12 Ion scat- ion bom- Z^3 0.1- 180 Yes 10~7 Yes ±20 103
tering bard- 1%
spectro- ment/
metry ion mass
analysis

13 Ion-pro- ion bom- All 1 0 -12 0.1- 30 Yes 10~7 No ±2 1-


be micro- bard- up to 100 300 j
analysis ment/ion 1(Γ8
mass
analysis

14 Seconda- ion bom- All lO" 15 0.1- 30 Yes 10~8 No ±2 103


ry ion bard- 100
mass ment/
spectro- ion mass
metry analysis 1

206
ANALYSIS O F CVD F I L M S

TABLE 9.1 (continued)

12 13 14 15 16 | 17 18 19 20 21
UHV re- :H;
quired Si02-Al,
etc.
III-V
com-
pound
oxides
2 Yes Yes Area and Semi-
line scans quanti-
Depth tative
profile Limited
Fast sensiti-
vity
Surface
charging
of insu-
tors
Expan-
sive in-
strument
UHV re-
quired
10"4 1 Yes Yes Depth Semi- Surface
profile quanti- impuri-
Readily tative ties on
applied Poor Si
to insu- depth
lators and late-
Analysis ral reso-
of the lution
outer- Slow
most
atomic
layer
10-2 10 Yes Yes Depth Semi- 1 Impuri- III-V B , P , As
! profile quanti- ties in com- implan-
Excellent tative epi- pound ted in
mass Requires GaAs oxides Si
in-depth skilled
and late- operation
ral reso- Matrix
lution effects
Surface
charging
of insula-
tors
Fast Expen-
sive in-
strument
UHV re-
quired
1(Γ4 3 Yes Yes Depth Semi- Surface H in
profile quanti- conta- a-Si:H;
Informa- tative mination Clin
tion on Expen- of epi- Si02
chemical sive in- -Si;

207
FUNDAMENTALS

0 1 2 3 4 5 6 7 8 9 10 Π !

15 Ruther- 4 He+ Heavy ΚΓ 11 120 No 10~3 Yes


ford back- ion bom- elements
scatter- bard-
ing spec- ment/
troscopy 4 He+
ion ener-
gy ana-
lysis

16 Nuclear 1 proton Light 120 No ambient Yes


reaction or deu- elements
analysis teron
bombard-
ment/ γ-
or a-ray
energy
analysis

17 Glow- glow All 60 Yes 10~3 No


dischar- dischar-
ge mass ge ion
spectro- bombard-
metry ment/
sputter-
ed ion
mass
analysis
18 Glow- glow All 60 Yes 10"3 No
dischar- dischar-
ge opti- ge ion
cal spec- bombard-
trometry ment/
sputter-
ed atom
optical
analysis
ANALYSIS OF CVD F I L M S

TABLE 9.1 (continued)

12 13 14 15 | 16 17 18 19 20 21
bonding strument O in epi-
Good Requires Ga^Als
mass and skilled As;
in-depth opera- I Interface
resolu- tion contami-
tion Matrix nation
Fast effects (Cr, Ga
Poor la- in
teral re- ZnSiAs 2 /
solution GaAs ;
Surface SixOyNzl
charging InSb)
of insu-
lators
U H V re-
quired
1 Yes Yes Quanti- Poor Implan- Trace Epi layer Cl in
tative sensiti- ted and impuri- interface S i 0 2
Nondes- vity to diffused ties on Dielectric
tructive trace dopants surfaces layers
High amounts in Si Implan- (Si0 2 ,
sensiti- of light ted ions Si 3 N 4 , j
vity elements in Si0 2 , A1 2 0 3 ,
High High la- Si 3 N 4 , Ta 2 O ä )
mass re- boratory and Al-poly-
solution space re- A1 2 0 3 Si inter-
quired Metal face
Expen- impuri-
sive in- ties in Si
strument
1-5 Yes Yes Ability Restric- H in
to de- ted to Si a ; N i / H z
tect light low Z and
elements elements a-Si:H;
on hea- High la- O andN
vier sub- boratory in
strates space re- SixOyNz
Ability quired
to dis- Expen-
tinguish sive in-
isotopes strument
Any Yes Yes Fast Surface Dopants Impuri- Stoichio-
charging in GaAs, ties in metry of
of insu- Si GaAs, GaAs
lators Si and
Expen- other
sive in- III-V
strument com-
pounds

Any Yes Yes Fast Expen- Diffused


sive in- and im-
strument planted
dopants
in Si
FUNDAMENTALS

Wet chemical analysis consists of passing the film into solution and then
determining the trace or major constituents by using gravimetric or volu-
metric analysis. This technique has been applied, for example, to determine
the boron concentration in doped polysilicon l?yers [3717] and the two con-
stituents of Si3N4.
Emission and atomic absorption spectroscopy is based on the principle
of the optical analysis of excited vapours obtained from thin film. Emission
spectroscopy relies on the identification and measurement of characteristic
UV and visible radiation intensity which is produced by subjecting the sample
to a high temperature source, such as an arc, a flame, or a spark.
Atomic absorption spectroscopy relies on the absorption measurement
of radiation emitted from a high-intensity light source, containing emission
lines of the specific element to be analysed, by means of a gas burner flame
into which the sample has been injected. The latter method has been used
to determine the Na content in Si0 2 films [905—909] , the O content of
SIPOS films [910], and the B content in a-Si: B:H films.
Neutron activation analysis consists of irradiating the sample with neu-
trons, which converts some impurities into radioactive species, whose activity
and half-life is then measured.
Examples [illustrating the application of this technique are the deter-
mination of trace metallic impurities in epitaxial silicon [911], the investi-
gation of Na migration in Si0 2 [919]and Si3N4 [912—915] films, the study,
of the anodic oxide of GaAs [916] and the analysis ,of P content in PSG
films [917].
Radioactive-tracer analysis [918] uses the radiation detection of a radio-
active isotope of a particular element for tracking its movement as a function
of processing. This method is, therefore, useful for elucidating the mechanism
of various processes related to the preparation and properties of CVD films
such as Na migration in Si0 2 [919] and Si3N4 films [920, 4382, 4383], H incor-
poration in Si0 2 films, the blocking of the p- and n-type impurity diffusion
in Si by Si3N4 layers [920], and P incorporation in epitaxial silicon [921].

9.3 Analysis Techniques of Thin Film Surfaces

Presently in the field of electronic materials, interest has shifted from bulk
to surface analysis. There is a number of modern techniques which will be
presented further according to the type of their primary excitation: X-rays
(X-ray fluorescence spectrometry and X-ray photo-electron spectroscopy),
thermal radiation (spark source mass spectrography), electrons (electron-probe
microanalysis, Auger electron spectroscopy and scanning Auger microana-
lysis), ions (ion-probe microanalysis, secondary ion mass spectrometry, and
ion scattering spectrometry), and nuclear particles (Rutherford backscatter-
ing spectrometry and nuclear reactions).

210
ANALYSIS OF CVD FILMS

9.3.1 Techniques Based on X-Ray and Radiation Interaction with Matter

X-ray fluorescence spectroscopy. X-ray fluorescence occurs when an inner-shell


electron is knocked out of orbit by a primary X-ray and the resulting vacancy
is filled with a higher energy outer-shell electron; this electron transition
leads to the emission of a secondary X-ray that is characteristic of the excited
element.
In a typical X R F spectrometer (Fig. 9.1) X-rays from an X-ray tube
bombard the sample and the secondary X-ray emitted are detected and
analysed by means of an X-ray detector and an X-ray spectrometer, respec-
tively.
This technique is nondestructive, quantitative, fast, fairly sensitive,
and readily applied to insulators. It has a sampling depth in the micrometer
range, no lateral resolution and cannot detect elements below fluorine in the
periodic table.
X R F spectrometry [922] has been used to analyse the phosphorus con-
tent of reflow and passivation glasses [924], the Cu, Si, or Mg concentrations
in Al films, the composition of metals (Mo, Au, Ag, Pd, Pt, Ta) or alloys
on Si or Si0 2 , the composition of Mo, Ta, W, and Ti suicides, the composition
of HgCdTe wafers and films, and the Sb/Sn ratio in S n 0 2 : Sb films [925, 926].
X-ray photoelectron spectroscopy. This technique is based on the mea-
surement of the kinetic energy of electrons, which are ejected from the sample
surface by a primary beam of X-ray photons of known high enough energy,
Av [927—930] . From the measured kinetic energy Ek one can immediately
derive the electron binding energy EB (defined as the difference in energy
between the vacuum level and the initial electron energy level) which is
characteristic of the kind of atom present in the sample and the particular
electron level involved in the transition, also giving information concerning
its molecular state (EB = Av — Ek).

/^γ
Fig. 9.1 Elemental analysis using X R F spectrometry ([923]; reproduced
by permission of Kevex Corporation):
a — X R F spectrometer: 1 — X-ray t u b e ; 2 — primary X-rays; 3 —
specimen (P-doped SiO a ); 4 — characteristic secondary X-rays (P and Si
X-rays from SiO a layers and Si X-rays from pure Si substrate); 5—X-ray
detector; 6 — X-ray spectrometer analyser ; 7 — data displayer;
b — X R F spectra corresponding to a phosphorus glass layer.

211
FUNDAMENTALS

(α)
250 500 7%0 lÖÖÖ 1250, ._.
(b
Kinetic energy (eV W
Fig. 9.2 Analysis using X-ray photoelectron spectroscopy:
a — schematic diagram of a photoelectron spectrometer (after
Kelly and Tyler [931],© 1973 Hewlett-Packard Company; reprodu-
ced with permission): 1 — X-ray source; 2 — crystal monochro-
m a t o r ; 3 — t a r g e t ; 4 — retardation lens system ; 5 — energy
analyser; 6 — multichannel detector; 7 — multichannel analyser ;
8 — output display;
b — photoelectron spectrum of a silicon nitride target. In addition
to nitrogen and silicon photoelectron lines, owing to carbon and
oxygen contamination, the Ci s sand O l 8 lines are also observed (after
Raider et al. [939]; reprinted by permission of the publisher, The
Electrochemical Society, Inc.).

XPS, also called electron spectroscopy for chemical analysis (ESCA),


requires complex instrumentation [931] consisting of an X-ray source, a
crystal monochromator, a sample manipulator, a retardation electrostatic
electron lens system, an electron spectrometer, a multichannel detector and a
multichannel energy analyser system (Fig. 9.2a). A typical XPS spectrum
(Fig. 9.2b) shows the electron number signal intensity N(E) as a function of
the binding electron energy E.
XPS is the only method capable of yielding (via electronic bonding
energies) information concerning the chemical structure of atoms located
within the first few layers of a solid surface. The method can readily be
applied to insulators, but has no lateral resolution, is slow, and has only limited
detection sensitivity.
Some typical examples of the application of this technique in the field
of CVD are the determination of the chemical composition and structure
of a-Si: H [933, 934], a-SiC: H [935], silicon oxynitride or plasma deposited silicon
nitride [936], the. observation of the thermal nitridation of Si0 2 [937], the
surface oxidation of Si3N4 films at room temperature [939, 940], the
plasma oxidation of GaAs [938], the study of the interfaces Si—Si02, Si02—
Si3N4, and Pd—a-Si: H [933], the analysis of the O content and chemical
state of Si (elemental Si, Si 2 0 and Si203) in SIPOS layers [932], the investi-
gation of the CVD-Si02—GaAs interface [941], and the depth profiling of
interfaces obtained by thermal, anodic or plasma oxidation of III—V com-
pound semiconductors.
Spark source mass spect-rometry. This method relies on the analysis of
ions emitted from a sample excited by thermal radiation [942—944].
The basic components, as shown in Fig. 9.3, are a spark RF circuit for
vaporizing and ionizing the sample, spark source electrodes, a surface scanning

212
A N A L Y S I S OF CVD FILMS

Fig. 9.3 Schematic layout of a spark source mass


spectrometer (after Honig [897]; reprinted with
permission from T H I N SOLID FILMS, ©1976 Elsevier
O^
Sequoia S.A.):
1 — pulsed R F spark circuit; 2 — sample electrodes;
3 — accelerating electrodes; 4 — electrostatic ana-
lyser ; 5 — magnetic analyser; 6 — ion-sensitive pho-
tographic p l a t e ; 7 — vacuum enclosure.
·%&Μτ*
unit, the sample, accelerating electrodes, an electrostatic analyser for energy
selection, a magnetic analyser for mass selection, an ion sensitive plate — or
better an electrical detection system - - and a vacuum enclosure.
SSMS is a relatively fast, quantitative, very sensitive, but destructive
technique with a sampling depth in the micrometer range.
Examples of the use of this technique in CVD film analysis are the deter-
mination of H content in α-Si: H films and in plasma Si^N^H^ films [945],
trace impurities in Si^O^N^ S n 0 9 Sb and In 2 (V.Sn films, as well as back-
ground impurity (Si, etc.) concentrations in GaAs^Rj; and I n ^ G a ^ P
layers.

9.3.2 Techniques Based on Electron Interaction with Matter

Electron-probe microanalysis. Electron-probe microanalysis (EPM) is based


on the emission of X-rays characteristic of each element caused by the
impact of a high energy beam of electrons [946 — 950].
A scheme of the equipment, which is often combined with SEM, is given
in Fig. 9.4. It contains an electron beam source, an electrostatic or magnetic
beam deflection system, a sample mount, a crystal detector combined with a
pulse analyser (or a monochromator plus a conventional X-ray detector),
and a display system.
This method is fast and quantitative and allows the elemental analysis
of sample volumes of micrometer range dimensions to be nondestructively
performed. It has an excellent lateral resolution (but is applicable only to
elements with Z ^ 4), has a poor detection sensitivity, is not readily applied
to insulators owing to surface charging problems, requires standards for com-
parison, and suffers from a negative effect due to the matrix sensitivity to
impurities.
This technique is one of the most extensively used technique* in the analy-
sis of CVD film. The composition of films such as SIPOS, Si : Si0 2 , Si : Si 3 N 4 ,

Fig. 9.4 X-ray microprobe:


1 — electron-beam source ; 2 — electron beam ; 3 — deflec-
tion plates; 4 — sample; 5 — X-rays; 6 — crystal detector;
7 — pulse-height analyser; 8 — crystal monochromator; 9 —
X-ray detector; 10 — display (cathode-ray oscilloscope).

213
FUNDAMENTALS

Si0 2 [951], PSG, AsSG, Si3N4, A1N, Ta2N, TaN, A1203> Sn0 2 : Sb, Sn0 2 : P
GaAs, Ala; G a ^ As, ZnSe and many others is easily determined.
Auger electron spectroscopy and scanning Auger microanalysis. Auger elec-
tron spectroscopy is an analytical technique used to determine the elemental
composition of the top of a surface (0—50 A). It involves the measurement
of the energy distribution of secondary electrons emanating from the sample.
The secondary electrons are generated by bombarding the sample with a
beam of primary electrons. Of interest in AES are only the secondary elec-
trons generated in Auger transitions. The Auger electrons have specific ener-
gies that are characteristic of the atoms from which they originate. These
electrons produce small peaks in the secondary electron energy distribution
and the measurement of the energy at which these peaks occur provides direct
identification of the type of atom producing them. Since the height ot an
Auger electron peak is proportional to the number of atoms producing it,
quantitative measurements can be made by calibrating the system [952—957].
The major components of AES equipment [Fig. 9.5a) include a primary
electron gun, an electrostatic cylindrical mirror system enclosed in a magnetic
shield used to measure the energy distribution curve of the electrons ema-
nating from the specimen surface, a carousel sample holder, a sample mani-
pulator, a sputter ion gun used for depth [profiling, an ultra-high vacuum

(^)|B^
%
O
&

50 100 150 2O0 250 300 350 LOO L50 500


Kinetic energy (eV)
(b)
Fig. 9.5 AES analysis:
a — schematic diagram of AES equipment (after Palm berg et al. [954]; reproduced by permis-
sion of Perkin Elmer— Physical Electronics Division):
1 — primary electron gun; 2 — carousel target holder; 3 — cylindrical mirror analyser ;
4 — sputter ion gun; 5 — electron gun; 6 — magnetic shield; 7 — electron multiplier; 8 —
sweep supply; 9 — X-Y recorder or oscilloscope; 10 — lock-in amplifier; 11 — multiplex
control;
b — AES spectrum of CVD silicon nitride film (after Wittberg et al. [936]; reproduced by
permission of The American Institute of Physics).

214
A N A L Y S I S OF CVD FILMS

system, and associated electronic equipment. The latter is composed of an


electron multiplier, an oscilloscope, an X—Y recorder, a system of standard
modulation and phase sensitive detection electronics allowing electronic
differentiation, as well as a multiplex control permitting in-depth profiles
to be obtained for up to six different elements.
The output of the system, shown in Fig. 9.5b, is the energy distribution
of the electrons, the curve N(E). Because the Auger peaks are very small,
the standard approach to data analysis is the derivative of the upper curve
dN(E)
—-obtained by electronic differentiation where the energy positions of
dE
the Auger transitions are defined as negative peaks in the spectrum.
AES is strictly a surface analysis technique because of the shallow
escape depth eof Auger electrons, which necessarily originate within appro-
ximately 50 A of the surface. This technique is fast, nondestructive, free of
matrix effects, capable of giving three-dimensional concentration profiles
and extremely sensitive, allowing the detection of 10~14 g of an element,
but can induce chemical changes in certain samples.
Scanning Auger microanalysis (SAM) [971] uses smaller electron-probe
diameters and an electronic rastering circuit for obtaining area and line scans
which provide concentration profiles within the plane of the surface for any
selected element.
The method is fast, but its detection sensitivity is an order of magnitude
lower than that of A E S . When combined with ion sputtering, in-depth con-
centration profiles can be obtained.
Insulators, semiconductors, and conductors are easily analysed using
Auger electron spectroscopy or scanning Auger microprobe analysis. The
problems studied are the investigation of the chemical composition of the
films, the width of the interface between insulator and semiconductor or
metal in MIS structures as well as between two semiconductors in semicon-
ductor heterojunctions, the distribution of dopants and impurities in insula-
ting films, and interdiffusion between different layers. Representative
examples are the determination of component ratios for α-Si: B, plasma
Si 3 N 4 [958-965], SIPOS [932], SieO„Ne, ZnO, BN, Si0 2 , ZnSe; the deter-
mination of trace contaminants, such as O in Si 3 N 4 [963]:, Ga in Si0 2 , Si^O^N^
Si 3 N 4 , and Al-r02/Nz films [962] used as encapsulants for annealing implanted
GaAs; the study of film interfaces, such as Si—Si0 2 , Si 3 N 4 —Si0 2 , P d - a - S i :
H [933], Si0 2 —Al, Si 3 N 4 —Al; the study of the thermal, wet, or plasma ano-
dization of III—V compounds (GaAs, GaP , I n P , InAs, InSb, GaSb [966—
968]; the study of various metal-semiconductor, metal-metal or epitaxial
III—V compound interfaces [969]; and the evaluation of dopant profiles
in semiconductor films, e.g. P profiles in P-doped^>oZjy-Si[970].

9.3.3 Techniques Based on Ion Interaction with Matter


Ion scattering spectroscopy (ISS). In this technique, the energy distribution
of low energy ions scattered from the first atomic layer of the sample is
measured. The mass of the scattering centre can be deduced from the energy
of the scattered ion [972, 973].

215
FUNDAMENTALS

An ion scattering spectrometer [974, 975] contains the following com-


ponents mounted in an ultra-high vacuum system: a primary ion source, a
target assembly, a charge neutralization filament, an electrostatic energy
analyser and a channel electron multiplier.
The main advantages of ISS are that the composition of the outermost
surface layer can be determined without resorting to sputtering (which is
preferential and therefore results in the distortion of the composition of the
underlying layers). It can analyse insulators without surface charging pro-
blems, yield the surface atom and impurity densities of semiconductor sub-
strates and films, and determine the polar crystal orientation. Its main
limitation is poor lateral and depth resolution. Application of ISS to the
CVD field is limited to the surface analysis of silicon, the determination of
polar crystal orientation (CdSe) [976], and the analysis of GaAs anodic
oxide [977].
Ion-probe microanalysis (IPM). Ion-probe microanalysis is based on the
sputtering process (the emission of neutral and charged particles from the
surface region of a solid) by means of ion impact, which results in the pro-
duction of secondary ions which are then mass analysed [978].
Ion probe microanalysers are grouped into two classes: direct imaging
mass analysers [979, 980], and the scanning ion microprobes [981, 982].
The direct-imaging mass analyser commercially available from Cameca
(Fig. 9.6) consists of a duoplasmatron for producing primary positive or
negative ions, a system for focusing and deflecting the primary ion beam on
the sample surface, the sample, an immersion lens for extracting and imaging
the secondary positive and negative ions, a magnetic analyser and an elec-
trostatic mirror for image mass analysis, a lens for image acceleration and
projection, an imaging camera, an ion-to-electron converter, and a detector
(fluorescent screen, ion counter or recorder).
The scanning ion microprobe available from ARL (Fig. 9.7) uses a duo-
plasmatron ion source, a ion mass spectrometer for mass selection, a focusing
and rastering system for the primary ion beam, the sample, an optical system
for extracting secondary ions, a double focusing mass spectrometer consisting
of an electrostatic and a magnetic analyser, an ion detection system (ion ima-

Fig. 9.6 Schematic diagram of


the Cameca direct-imaging mass
analyser (after Evans [978]):
1 — gas; 2 — primary ion source
(duoplasmatron); 3 — primary ion
b e a m ; 4 — beam steering plates;
5 — beam focusing lenses; 6 —
sample; 7 — ion lens and aper-
ture ; 8 — magnetic prism ; 9 —
mass resolving aperture; 10 —
electrostatic mirror; 11 — secon-
dary ions from sample; 12 — ion
projection lens; 13 — ion image
converter.

216
ANALYSIS OF CVD F I L M S

Fig. 9.7 Schematic diagram of the


ARL scanning-ion microprobe (after
Evans [978]):
1 — primary ion source; 2 — pri-
mary ion mass spectrometer; 3 — Gas
beam centering plates; 4 — conden-
ser lens; 5 — deflection plates; 6 —
objective lens; 7 — provision for
viewing the sample; 8 — sample ;
9 — secondary ion extraction optics;
10 — electrostatic analyser; 11 —
magnetic analyser; 12 — ion detector;
13 — ion image; 14 — ion counter;
15 — recorder.

ger, ion counter or recorder), and provision for viewing the sample during
bombardment.
Both secondary ion microanalysers have excellent lateral and in-depth
resolutions, high sensitivity, high sample consumption, provide area and
line scans of samples, and allow depth profiles to be obtained. However,
they are destructive, very expensive, and the matrix effect is very pronounced;
secondary ion yields are very different from element to element, making
a quantitative evaluation of depth profiles difficult.
Ion-probe microanalysis has been applied only on a limited scale to
CVD systems: the analysis of impurity distributions in homoepitaxial
GaAs films, the study of the plasma oxidation of GaAs, and the study of
depth profiles of B, P, and As ion concentrations implanted in silicon.
Secondary ion mass spectrotnetry (SIMS). This is based on the same
principle (the emission of secondary ions under ion bombardment of solid
surfaces) as in ion probe microanalysis, but the system is simpler and less
expensive, having a poor lateral resolution, and a low sample consumption
[983-987].
SIMS equipment consists of a primary ion gun, a sample manipulator,
a secondary ion electrostatic analyser, and a quadrupole mass spectrometer,
all mounted in an ultra-high vacuum envelope (Fig. 9.8).
The main advantages of SIMS are the following: the information depth
comes from one monolayer, it can detect isotopes or compounds (by means,
of the molecular ions emitted), and hydrogen as well.
This method is used almost exclusively for measuring dopant and impu-
rity distributions by sputter depth profiling in semiconductors. It has also-
been applied to the measurement of the transition width and the study of the
distribution of contaminants in heterojunctions and superlattices. Other
studies have been concerned with dopant and alkali impurities in the Si0 2 /Si
interface, thermal, plasma and anodic oxidation of GaAs and other III—V
compounds, and metal contact films.
Typical CVD investigations include the impurity depth profiles a n d
surface contamination of epitaxial and heteroepitaxial silicon [988], oxygen

217
FUNDAMENTALS

Gas Fig. 9.8 Schematic diagram of a SIMS system (after


Reuter and Baglin [986]):

\r
1 — gas inlet; 2 — ion g u n ; 3 — mass analyser; 4 —
Einzel lens; 5 — raster plates; 6 — sample ; 7 — energy
filter; 8 — mass spectrometer; 9 — secondary ion
detector.

<jy

contamination in epitaxial Ga^AlaAs layers, the contamination of epitaxial


semiconducting layers of GaAs and ZnSiAs2 due to out diffusion of Cr and
Ga from Cr-doped semi-insulating GaAs substrates, the study of S i ^ O ^
layers on InSb substrates, the H profile in a-Si: H [989], BSG composi-
tion [990], the chlorine profile in silicon oxides prepared in HC1 ambients
[991], Si surface contamination [992, 993], and the composition of GaAs
oxides [994].
Glow-discharge mass spectrometry (GDMS). Recently proposed, this
technique is a combination of d.c. or RF glow discharge sputtering and mass
spectrometry [995—998]. The sample to be analysed forms the cathode
of the discharge, which is maintained in a rare gas such as argon and the
ionized sputtered atoms are monitored by means of a mass spectro-
meter. The instrument comprises three main parts: an ion source (a glow
discharge), an analyser (a high resolution mass spectrometer), and a detection
system (two complementary detectors for high or low intensity signals).
GDMS is a very sensitive method for determining trace elements and the
composition of thin surface layers of solids. An example is the detection of
impurity elements in GaAs epitaxial layers. Also, the stoichiometry of III—V
compound semiconductors can be determined by using this technique.
Glow-discharge optical spectroscopy (GDOS). This technique is a combi-
nation of glow-discharge sputtering and optical spectroscopy [999—1002].
Neutral atoms ejected from the sample, which is mounted on the cathode
of d.c. glow discharge, are collisionally excited and emit light of characte-
ristic wavelengths. The intensity of an atomic emission line has been shown
to be proportional to the elemental concentration in the sample. With appro-
priate calibration, monitoring the emission intensity as a function of time
yields a profile of concentration versus depth.

218
A N A L Y S I S O F CVD F I L M S

The GDOS system contains a discharge chamber provided with a UV


transmitting window and an impurity detection system consisting of a UV
quartz lens, a double grating monochromator and a photo-multiplier tube.
GDOS has been used to measure implanted and diffused impurities in silicon
and to analyse various thin films.

9.3.4 Nuclear Techniques

Ion beam backscattering. Ion beam backscattering [1003—1005], also called


Rutherford backscattering spectroscopy (RBS), is based on the energy loss
of light ions (p, He) elastically scattered from atoms in the analysed sample.
The equipment contains a particle accelerator, a magnetic analyser required
to provide monoenergetic ion beams, a collimator, a target enclosed in a
vacuum system, a detector (a surface barrier-type detector with an electro-
static or magnetic analyser), an amplifier and a pulse-height analyser (Fig. 9.9).
The method is best suited to the nondestructive determination of the depth
distribution of heavy elements in a light matrix, the accessible depth being
about 1 μιη. The major disadvantages of this technique are its poor sensitivity
to trace amounts of light elements, its high cost, and the large space required.
Applications of this method to solid-state technology are the following:
the investigation of implanted impurities and diffused dopants in substrates
and films, trace impurities on surfaces, the analysis of dielectric layers such
as Si0 2 , Si3N4, A1203, Ta 2 0 5 , and the study of suicide formation in the metal-
lization of silicon substrates. Typical CVD applications are the determination

i0

?/ β
(α)

Fig. 9.9 Analysis using ion beam backscattering:


a — equipment: 1 — particle accelerator; 2 — ion
beam magnetic analyser; 3 — t a r g e t ; 4 — current
integrator; 5 — surface barrier detector; 6 — pre-
amplifier ; 7 — amplifier; 8 — pulse-height analy-
ser; 9 — electrostatic analyser; 10 — ion de-
os ae w tector ;
Portich energy (MeV) b — backscattering spectra of silicon oxynitride on
silicon. Inserted arrows indicate the energy of
(b) 2MeV He+ ions backscattered from each element.

219
FUNDAMENTALS

of the composition of Si3N4, Sia.OyNe [1007, 1008] layers, the study of the ano-
dization of Si3N4 and A1203 films on Si [1009], the investigation of the inter-
action of Al and poly-Si layers [1010], the analysis of the distribution of im-
planted ions in Si0 2 , Si3N4 and A1203 layers used as encapsulants for GaAs
substrates [1011], the depth profiling of residual chlorine in Si0 2 grown or
deposited in HCl-containing ambients [1006], and the evaluation of α-Si den-
sity [1012].
Nuclear reaction analysis. In nuclear reaction analysis [1013], the energy
of the bombarding ions (usually protons) can be varied in such a way that
the energy required for a nuclear reaction with a sharp resonance in the
cross section is reached at a given depth. The intensity of the emitted signal
(usually γ-rays) is monitored as a function of the bombarding ion energy,
thus providing the concentration of the bombarded atom versus depth.
An exexnple is the 19F (Ή, αγ) 16 0 nuclear reaction which is used for
measuring hydrogen in CVD films such as Si3N4 [1014, 1015]. For this reac-
tion, 19F incident on 1 H results in α-particle and X-ray emission and leaves
residual 16 0 nuclei. By using an 19F beam with energy greater than the reso-
nance energy (E>6A MeV) incident on the target, the ions lose energy until
at some depth in the solid their energy is equal to the resonance energy
(6.4 MeV). At this energy and at the corresponding depth there is a large
cross section for resonant nuclear reaction between the 19F and 1 H. Measu-
rement of the yield of the γ-rays produced versus energy therefore gives the
hydrogen concentration versus depth (Fig. 9.10).
The main advantages of this method are its ability to detect low Z con-
taminants in hosts of high Z and to distinguish isotopes, the accesible depth
being about 1—5 μπι. Its limitations include generally poor depth resolution

%Ή«π'6°
^7Me\/9F H Profile

19 MeV
FEnergy *
Fig. 9.10 Schematic illustration of nuclear
reaction analysis of hydrogen in solids (e.g.
Si3N4) using the 1 9 F (ιΐ£, αγ) 1βΟ resonance
N Cone. near 6.4 MeV (after Peercy et al. [1014];
reprinted with permission from JOURNAL
OF ELECTRONIC MATERIALS, Vol. S,
pg. 11, 1979, a publication of The Metal-
Depth 5000 A lurgical Society, Warrendale, Pennsylvania).

220
A N A L Y S I S O F CVD F I L M S

(except in cases with sharp resonances) and its application is restricted to


low Z elements.
Nuclear reactions such as 1 6 0 (d, p) 1 7 0 and 14N (d, a) 12C have been
used to measure the total amounts of oxygen and nitrogen in Si^O^Ng films.
Another resonant nuclear reaction ( l5 N (p, αγ) 12C) has been applied to the
quantitative H profiling of plasma-deposited Si^N^H^ and ß-Si: H.

9.4 Film Composition Measurement


The importance of determining the composition of a CVD film arises from the
following reasons:
(1) the properties of many nonelemental or multicomponent CVD films
may depend on composition; (2) films of dissimilar composition may be
deposited in different deposition system under identical deposition conditions;
(3) films deposited by some CVD techniques such as PECVD are non-
stoichiometric and have variable stoichiometry; (4) it is desirable to under-
stand in detail processes involving CVD films. As already mentioned, the
composition may be determined by using the methods of bulk and thin film
analysis such as X R F , X P S , EPM, AES, SIMS, RBS, and the nuclear reac-
tion technique. But, it is more convenient to ascertain the film composition
by using a simple, rapid and nondestructive determination which is provi-
ded by optical methods, such as infrared absorption spectroscopy, Fourier
transform infrared spectrometry, multiple internal reflection infrared absorp-
tion spectroscopy, attenuated total reflection infrared spectroscopy and UV
and visible optical absorption spectroscopy. Also, the etch rates of a CVD
film (especially a glass) in selective etchants have proved to be a useful means
of determining film composition, all the more so as little instrumentation is
required. However, this method is destructive and complicated, since the
etch rate strongly depends on the specific preparation conditions. A typical
example is the determination of phosphorus concentration of CVD PSG. The
tedious and expensive techniques (neutron activation, electron microprobe,
X R F , etc.) can be replaced by various fast and inexpensive techniques, such
as the gravimetric, infrared spectrometry, etch rate variation, and diffusion
techniques [917, 4138].
It has been proved that composition determinations based on I R absorp-
tion spectra are useful in the case of vapour-deposited borosilicate [1016,
1017, 4185], phosphosilicate [1018, 1019] and arsenosilicate [1020, 4191] glasses.
F T I R can be used to determine the P concentration of reflow and passi-
vation glasses [925]. Optical absorption [1021] and MIR [1022, 1023] spectro-
scopy enables the N—H and Si—H bonding in CVD Si 3 N 4 to be measured.
ATR spectra of Si^Cy^ films deposited on Si exhibit several bands which
may be related to N, O, and H in the films [1024]. The position of a defined
optical absorption edge in the near UV and visible spectra can be useful for
measuring the Si/N ratio as well as the thickness of glow-discharge deposited
Si 3 N 4 .

221
FUNDAMENTALS

9.5 Depth Concentration Profiling


Methods used for the measurement of elemental concentration as a function
of depth (depth concentration profiling) have increased in importance for
the measurement of microelectronic structures [1025—1029].
Depending on whether the removal of sample surface is required or
not, depth profiling methods can be regarded as destructive or nondestructive.
Destructive methods rely on the removal of the surface by using a mechanical
(small-angle lapping, ball cratering), chemical (chemical dissolution, anodic
sectioning), or sputter etching [1027] procedure. In all destructive methods,
either the remaining sample surface (neutron activation analysis, Auger
electron spectroscopy, scanning Auger microanalysis, X-ray photoelectron
spectroscopy, ion scattering spectroscopy),' or the removed material (secon-
dary ion mass spectroscopy, glow-discharge optical spectroscopy, glow-dis-
charge mass spectroscopy) is investigated. In nondestructive methods, the
sample interior is probed by means of a penetrating ion or electron beam.
Depth information is obtained from either the energy loss of ions (Ruther-
ford backscattering spectroscopy — RBS, nuclear reaction analysis) or the
attenuation of the electron signal by varying the take-off angle of electrons
with respect to the sample surface (AES and XPS).
Sputter sectioning (i.e. sectioning caused by the emission on ion impact
of neutral and charged particles from the surface region of a solid) has become
the most important method of sectioning for depth profiling. Its main advan-
tages are simplicity, speed, and the possibility of performing sectioning and
analysis in the same high vacuum environment. However, there are many
inherent negative factors depending* on the instrumentation, the sample,
the ion beam, and the method of analysis. The measurement of a sputter
depth profile means recording a signal versus time which is then converted
into concentration as a function of depth. Sputter depth profiling has been
extensively applied to the measurement of elemental distributions in substra-
tes, films and interfaces (such as dopants and impurities in semiconductors),
the study of the insulator/semiconductor and insulator/metal interface, the
measurement of metal films, and the study of the metal/semiconductor and
metal/metal interface.
10
Properties of CVD Films

10.1 Introduction

Knowledge of the properties of CVD films is of great importance because of


the direct correlation between the properties and technological applications.
This knowledge is also necessary since many properties of most CVD films
are different from those reported for the bulk material and depend on the
deposition parameters [1030—1032].
In this chapter, the properties of CVD layers and methods for evalua-
ting them are discussed. The properties of CVD films can be divided into several
groups, namely mechanical, thermal, optical, electro-optical, electrical, mag-
netic and chemical properties. The main mechanical and thermal properties
of CVD films are the adhesion, hardness, density, stress, thermal expansion,
and conductivity. The piezoelectric properties and the thermal treatment
behaviour are also examined. Among the optical properties, the most inten-
sively investigated are the refractive index and the absorption and reflection
of light. The electro-optical properties, which are very important in optoelec-
tronic applications, include photoconduction, the photovoltaic effect, electro-
luminescence, photoluminescence, cathodoluminescence, and stimulated emis-
sion of light. Studies performed on the electrical characteristics of CVD films
comprise the resistivity (for metals and semiconductors), electrically active
impurity concentration (for semiconductors), as well as interfacial proper-
ties, the breakdown voltage, the dielectric constant, and the conductance
(for dielectrics). The effects induced by energetic radiations are also in-
cluded. The following magnetic properties are of interest in CVD films: the
Hall effect, properties of magnetic bubble materials, and superconducting
properties. The chemical properties which are dealt with are the chemical
etching (wet and dry etching), impurity diffusion, and oxidation.

223
FUNDAMENTALS

10.2 Mechanical Properties

The mechanical properties of CVD thin films have not been studied so exten-
sively as the optical and electrical properties. However, there are two impor-
tant motivations for the investigation of these properties, namely the need
for reducing or eliminating the large internal stresses which often lead to a
deterioration of many CVD films, and correlation between the structural and
mechanical properties which may lead to a better understanding of solid
films.

10.2.1 Adhesion

Adhesion is a macroscopic property of a film-substrate system involving


mechanical and (eventually) chemical bonding in the interfacial region [1033].
Adhesion of CVD films depends greatly on the nature of the substrate,
cleanliness, microstructure temperature, deposition process parameters, and
subsequent treatment [1034]. As a general rule, good adhesion is obtained
with a clean support that has few structural flaws and is heated to high tem-
peratures during the deposition process. However, this last condition leads
to an increase in the number and intensity of mechanical stresses of thermal
origin in the film. Subsequent heat treatment performed in vacuo or in an
inert atmosphere improves the adhesion between the sample and the support.
Adhesion can be qualitatively evaluated using a scratch test with the diamond
stylus of a profilometer or a microhardness tester. The stylus is moved while
it is in contact with the sample; a lack of adherence is indicated by the expo-
sure of the support along the track. Usually, CVD films deposited at tempe-
ratures greater than 200°C pass this test. Tests for adherence are of particular
interest for microelectronic aplications, especially for noble metals (Pt on
Si), PECVD films (ZnO on glass or sapphire), and LPCVD films (BN on Si).

10.2.2 Microhardness

Thin film microhardness can be measured using the Vickers [1035] or Knoop
[1036] technique. In these techniques, a diamond indenter (either a tetrago-
nal or a rhombic pyramid) is applied dynamically, and the microhardness is
determined by reading with an optical microscope, the shape and magnitude
of the indentation left on the film surface. Vickers or Knoop microhardness
values are estimated using the following relations:
Mv = KvPld* Pv = d/1 (10.1)
or
Mk = KkPld* Pk = rf/30.5 (10.2)
2
where Mv is the Vickers microhardness (in kg/mm ), Kv is 1854.4, P is the load,
dis the diagonal of the square or rhombic trace, Pv (or Pk) is the depth of
film penetration, Mk is the Knoop microhardness, and Kk is 14228.

224
P R O P E R T I E S OF CVD FILMS

The Knoop technique is prefered for thin films because of its lower pene-
tration. Microhardness measurements have been reported for relatively few
CVD films, such as a-B {Mk = 3350), a-C: H(Mk = 1250-1650), Si3N4
(Mk = 2000-4500), BP (Mv = 4700), TiN (Mv = 1600-2000), TaB2(Mv =
2500), TiB2{Mv = 2900-3700), ZrB2(Mv = 2200), (Ti, Zr)B2 (Mv =3700),
Ta2B(Mv = 2430), (Ta0.75 Ti0.25)B2 (Mv = 3100).

10.2.3 Density

Thin film densities can be determined by the weighing, flotation, or beta-


particle backseattering methods. The first method consists of weighing the
wafer on a (semi)microbalance with and without a deposited film, measuring
the film thickness and the wafer diameter, and using the values thus found
to compute the density value. The second method consists of covering a small
portion of thin film with a salt solution having a starting density something
higher than that anticipated for the sample. Next, the density is gradually
lowered by adding more solvent. The average of the two densities (determin-
ed by weighing a known volume of solution) of the last liquid mixture in
which the sample still rises and of the first mixture in which it sinks is taken
to be the sample density. The third method [1037] is based on the dependence
of the backscattered radiation intensity on the atomic number, density, and
thickness of the sample. This metod also allows the film thickness to be deter-
mined if the density is known. However, it requires calibration and is only
applicable to relatively thick metallic films. Density measurements are of
interest especially for amorphous (α-C: H (p = 1.5—1.8), a-Si: H(p = 1.92—
2.1), Si3N4(p = 2.75-2.95), Si0 2 (p = 1.4-2.7)) and polycrystalline (Ti0 2
(p = 2.40-2.49), ZrB 2 (p~6.00). Fe203(p = 4.84)) thin film materials. By
selecting the optimum deposition parameters, it is possible to obtain a
film density closer to the theoretical (bulk) value (i.e. no film porosity) as
required in applications.

10.2.4 Mechanical Stress

Stress is a mutual fprce between two neighbouring surfaces, which in thin


films manifests itself as a strain of the film-substrate assembly [1038 — 1041].
In general, the stress of deposited films has two components, i.e. the intrin-
sic stress at the deposition temperature σ^ which is an intrinsic characteristic
determined by the deposition process and is independent of the substrate onto
which the films are deposited, and the thermal stress a th induced by tempera-
ture changes after the deposition which is caused by different thermal expan-
sion coefficients of film and substrate. Thus, the measured total stress at
room temperature, a t , is given by the relation:

<*t = <*i + °tn ( 10 · 3 )

225
FUNDAMENTALS

The thermal expansion coefficient mismatch stress is given by the rela-


tion :

°t* = K - «.) τ ^ - τ (ΤΑ - Γ) (10.4)


1 - vf
where af and as are the termal expansion coefficients of film and substrate,
respectively; E f and vf are two mechanical constants of the film, namely the
Young's modulus and the Poisson's ratio; Td is the deposition temperature;
and T is the temperature at which stress is measured (e.g. room temperature).
The slope of the oth versus T curve is

^ÜL = ( a e - a f ) T ^ - (10.5)
άΤ 1 — vf
If the film side of the sample is bent convex, the film is internally com-
pressed, tending to expand; by convention the sign of this stress is negative.
For a tensile stress, the film tends to contract and bends concave; the sign
of this stress is positive. When considering only the thermal component, it is
observed that the stress is positive (tensile) if the expansion coefficient of
the film is greater than that of the substrate (a f >a s ) and negative (compres-
sive) if a f < a s .
The CVD film stress is determined by using a number of methods, measu-
ring either the substrate strain (X-ray diffraction technique [1042]), or the
substrate curvature due to the CVD film (the interferometric method of New-
ton's rings [1043]; Michelson-type interferometry [1044—1046]; measure-
ment of focal length [1047]; measurement of the change in focus of an optical
microscope focused across the wafer surface; laser interferometry [1048];
the laser beam deflection method [1049, 1050]; and reflection and transmission
X-ray topography [1051]).
The X-ray diffraction technique is applicable when the layer is crystal-
line. I t allows the strain to be measured as the deviation of the lattice para-
meter from the bulk value. Then, the stress may be calculated from:
σ = — ^ - ?*=± (10.6)
1 — vf a0
where a0 and a are the unstrained and strained lattice parameters. An X-ray
double crystal spectrometer can also be used (see Section 8.2).
Using any one of the methods from the second group, the substrate
curvature due to stress in the layer can be determined. Next, the stress in the
film is calculated from the sample curvature using the relation:

or the simplified formula:


E t2
σ = ^ (10.8)
6(\-vB)tfR
where ais the stress (in dynes/cm 2 ); E8 is the Young's modulus of the substrate;
vs is the Poisson's ratio of the substrate; tB is the substrate thickness (in cm);

226
P R O P E B T I E S OF CVD FILMS

t f is the film thickness; S is the deflection of substrate normal to the substrate


surface (in cm); and R is the radius of substrate (in cm).
In the Newton's rings method, the circular wafer is placed with the film
side in direct contact with an optical flat. By using monochromatic light, the
curvature of the wafer causes an interference fringe pattern which is photo-
graphed. The total room temperature film stress is calculated according to
relation (10.7), by substituting S = , where An is the difference in the
number of interference fringes before and after film deposition, λ is the
wavelength of light used (5 890 A), and R is the distance from the centre of
the fringe pattern to the last fringe. From this the deflection δ is obtained.
In the method of Michelson-type interferometry, the radius of curvature
is deduced from any pair of fringes:
R=m-L*) U-ήλ (10.9)
where Lif Lj are the radial positions of the interference minima of the ith and
yth orders, respectively.
In the method of measurement using the focal length of the substrate,
the term 1/4/ can be substituted for δ/i? 2 , w h e r e / is the optical focal length
of the substrate.
The next method is based on focusing an optical microscope on one wafer
edge and measuring the change in focus at various points on t h e surface of
the wafer, which is moved transversely.
In the laser interferometry method, the measuring samples are strips.
In the laser beam deflection method, the curvature is calculated from
the change in deflection of a laser beam projected onto a screen when the
sample is translated. The stress is calculated using the formula (10.8).
Both reflection and transmission X-ray topographs are useful for crystal-
line epitaxial films. The radii of curvature of a silicon substrate are given
by the simple formulae:

R = 5.73 — (10.10)
ΔΘΒ
and
R = 206 ΔΧ/ΔΘΒ (10.10α)
respectively, where AX is the position on sample (in mm); ΔΘ is the change
in Bragg angle (in seconds of arc); and R is the radius of curvature (in m).
These values are directly read on the image of a reflection X-ray topograph
or on the ΔΘ versus AX trace obtained from transmission X-ray topographs»
Nearly all CVD (heteroepitaxial, dielectric, metallic, magnetic and super-
conducting) films are under stress due to the differences in thermal contrac-
tion between the film and substrate.
The stress may be compressive (Si/a-Al 2 0 3 , σ = — 1 χ 1010 dyne/cm 2 ;
GaAs/spinel, σ = — 1 X 109 dyne/cm 2 ; thermal Si0 2 /Si, σ = — 3 x 109 dyne/
cm2) or tensile (LTCVD Si0 2 /Si, σ = + 2 . 5 - 3 . 1 X 109 dyne/cm 2 ; P S G / S i , c =
+ 2 . 4 - 3 . 0 X 109 dyne/cm 2 ; Si 3 N 4 /Si, σ = + 8 - 1 0 x 109; Al 2 0 3 /Si, σ =
+ 1.8 X 1010 dyne/cm 2 ). In many instances, the stress may be compressive

227
FUNDAMENTALS

or tensile, depending on the deposition parameters (NH3/B2H6 ratio in the


deposition system for BN/Si) or the substrate type (Hastelloy B or Ta for
Nb3Ge). In some cases, the stress may be extremely low, for example in
SijCyN^ films which are a combination of Si3N4 and Si0 2 films (which usually
exist in tension and compression, respectively) and when the thermal expan-
sion of the film matches that of the substrate (InP on glass, Mo or C). Stress
has been found to degrade such properties of the film as Hall mobility (for
semiconductors), magnetic properties (for ferrites), superconducting proper-
ties (for superconductors), electro-optic properties (for compound semicon-
ductors), piezoelectric properties (for piezoelectric semiconductors) and many
others.
Stress has been determined in many CVD film-substrate composites,
such as SOS [1052, 1053], GalnAs/InP [1054], poly-Si/Si [1055, 1056], Si0 2
and silicate glasses on Si [1057-1062], Si3N4/Si [1063-1065], Si3N4/Si02/Si
[1066, 1067], and various multilevel thin film dielectric layers used in
Si ICs [1068].
Some parameters useful in evaluating the stress in epitaxial films, i.e.
the lattice constant and thermal expansion coefficient, are given in Table 10.1.

10.2.5 Elastic Effects (Piezoelectric and Piezorezistive Effect)


Certain CVD films show two elastic effects important for applications, i.e.
the piezoelectric effect (direct and inverse) and the piezoresistive effect
[1069]. The direct piezoelectric effect consists of developing electric charges on
a crystalline thin film surface, when subjected to a compressive or tensile
stress. The inverse piezoelectric effect consists of generating a compression
or dilatation when placing these materials in an electric field. The crystallo-
graphic direction, in which tension or compression develops electric polari-
zation parallel to the strain, is called the piezoelectric axis. The piezoelectric
effect presented for example by A1N [1070], GaN, GaAs, ZnO, CdS, ZnS
layers, epitaxially deposited on monocrystalline substrates (sapphire) is applied
to a number of devices, such as piezoelectric transducers. The piezoresistive
effect consists of changing the electric resistivity of the sample (e.g. a layer
of poly crystalline silicon) subjected to compressive or tensile strains. Pie-
zorezistive transducers are based on this effect.

10.3 Thermal Properties


Thermal properties such as thermal expansion, conductivity and endurance
are of great interest for evaluating film stress, power dissipation ability and
stability, respectively. Moreover, it is possible to improve film structural
quality by using heat treatments.

10.3.1 Thermal Expansion


Thermal expansion is usually given by the thermal expansion coefficient,
a material parameter important in characterizing the thermal behaviour of

228
P R O P E R T I E S OF CVD FILMS

a CVD film [1071 — 1075]. Knowledge of its value is required, for example,
for calculating the thermal stress in a deposited thin film, as shown
previously.
The thermal expansion coefficient of a CVD film may be determined
by two methods.
In the first method, the following expression is used for the radius of
curvature i?, which can easily be derived:

R =■
1 EJl
(10.11)
6(as - af) ΔΓ Eft\
where a, E, tf and t8 are the thermal expansion coefficients, the Young's
modulus, and the thickness of the film and of the substrate, and ΔΓ is the dif-
ference between the room and film deposition temperatures.
By determining R (for example by transmission X-ray diffraction topo-
graphy), the value of af can be calculated.
In the second method, the thermal expansion coefficient is calculated by
definition, from the simple relation:
M
af = ■ (10.12)
ΙΔΤ
where I is the distance between two marks scribed on the sample, and ΔΖ is
the variation of this distance in the temperature interval ΔΓ of sample
heating. The measurement of changes in length may be performed by means
of a cathetometer or a dilatometer.
Thermal expansion coefficients have been measured, for example for
CVD films such as Nb3Ge(a = 7.7 x 10"β/Τ), Nb3Sn(a = 8 X 10"6/°C),
ZrB2(a = 5.9 x 10-6/°Q, Si3N4(a = 4.2 x 10"6/°C). Thermal expansion coef-
ficients for epitaxial semiconductors are given in Table 10.1.

TABLE 10.1
Some Structural, Thermal and Optical Parameters of Epitaxial Semiconductor Films [143,
3224, 3385]

Thermal
Melting Band gap
Lattice parameter a ) expansion
No. Material temperature energy c )
"o(A) coefficient 0 *
Tm(°C) Egat300K(eV)
aCC-^X 10e
0 1 2 3 4 5

1 Si 5.430 1420 4.0


2 Ge 5.657 936 5.75
3 SiC 2200-2700 2.1«
4 BP 2000 2.0*
5 BAs 1.45d
6 A1N a 0 = 3.11; c 0 - 4 . 9 4 <2400 4.8 5.9
7 AIP 5.451 >2000 2.43«
8 AlAs 5.661 1740 5.20 2.16«
9 AlSb 6.135 1080 4.9 1.65*
10 GaN a 0 = 3 . 1 8 ; c 0 =5.16 dissociates 5.6

229
FUNDAMENTALS

T A B L E 10.1 (continued)

0 1 2 3 4 5

11 GaP 5.451 1467 5.91 2.26*


12 GaAs 5.653 1238 6.63 1.43d
13 GaSb 6.095 712 6.7 0.73 d
14 InP 5.869 1070 4.75 1.35*
15 InAs 6.058 943 5.16 0.35*
16 InSb 6.475 530 5.5 0.17*
17 ZnO W : a 0 = 3.24 1975 || a 4.3 3.3*
c0 = 5.19
18 ZnS Zb: 5.409 1645 6.5-8.5 3.6*
W : a 0 = 3.82 1 c 5.9-6.5
c0 = 6.26 || c 4.5
19 ZnSe Z b : 5.668 1515 9.44 2.78*
W : a0 = 4.003
c0 = 6.54
20 ZnTe Z b : 6.10 1238 8 2.26*
W : a 0 = 4.27
c0 = 6.99
21 CdS Z b : 5.82 1750 6.0
W : a0 = 4.137 I c 5.0
c0 = 6.716
22 CdSe Z b : 6.05 1350
II * 2 · 5
W : a 0 = 4.30
c0 = 7.01
23 CdTe Z b : 6.48 1041 6.2 1.44*
W : a 0 = 4.57
c0 = 7.47

a)
T h e lattice parameter of a ternary alloy can be obtained from Vegard's law, e.g.:
« G a A s ^ P s = aGaAs(1-*> + «GaP*
e
Ga 1 . y InyAs 1 . a .P i C = «GaAs*1-™1-*» + «InAs^ 1 -** + «GaP* 1 -^* -f «InP**
ö)
The thermal coefficient of expansion may also be assumed to vary linearly with
composition in ternary alloys.
c)
The band gap energy Eg(x) of a ternary alloy varies with its composition x according
to the relationship Eg(x) = Egl -j- bx + ex2, where Egl is the band gap energy of the lower
band gap binary, and b and c are constants with Egz = Egl -f b + c; Eg2 is the band gap energy
of the higher band gap binary. For example:

Egiln^G&^P) = 1.35 + 0.735* + 0.70* 2

^ ( I n ^ G a ^ A s ) = 0.35 + 0.63* + 0.45* 2

^ ( I n A s ^ S b * ) = 0 . 3 5 - 0 . 7 7 1 * + 0.596* 2

JSgtlnAsj-eP«) = 0.35 + 0.891* -f 0.10* 2

^ ( G a A s ^ S b i ^ ) = 0.725 - 0.32* + 1.005*2

E^ZnSgS^g) = 2.78 + 0.176* + 0.63* 2

* d e n o t e s a direct band gap material


^denotes an indirect band gap material
Zb = zincblende; W = wurtzite

230
P R O P E R T I E S OF CVD FILMS

10.3.2 Thermal Conductivity

Thermal conductivity is of significance when a thick semiconducting film


must serve as conductor for the power dissipated in the device. It has been
established that GaAs is less suitable for such applications, since it has ther-
mal conductivity lower than that of Si. Also, the thermal conductivity of
poly-Si thick layers (prepared from the SiCl4—H2 system) used in poly-Si
electrically isolated ICs has been found to be about 80% of that in single-
crystal Si parallel to the long dimensions of the grains and about 50% per-
pendicular to the grains.

10.3.3 Thermal Endurance

Thermal stability of CVD films depends primarily on the type of film and
its preparation method. High thermal stability is a distinctive feature of
most homoepitaxial films (such as Si) and of other films (garnets) which
are usually prepared by APCVD at relatively high temperatures. However,
during heat treatment structural or compositional changes may occur in
many types of CVD layers (e.g. dielectrics and amorphous, polycrystalline
and monocrystalline semiconductors, especially those prepared at relatively
low temperatures by PECVD, LPCVD or even APCVD). These structural
changes lead, in turn, to modification of the mechanical, optical, electrical,
and chemical properties of the layers. Thus, post-growth annealing of CVD
films in various oxidizing, reducing or inert ambients may be useful for impro-
ving their crystalline quality and/or properties, and is best performed by
using rapid thermal processing [1076—1079].
Annealing of CVD films is illustrated by the following examples [1080 —
1138].
(1) Heat treatment at moderate temperatures of amorphous dielectric
films (Si0 2 , Si3N4, A1203, Ti0 2 , PSG [1083-1087], BSG, S i ^ N , etc.), depo-
sited at low temperature, leads to densification of their structure and to a
decrease in their etch rate, whereas thermal densification at higher tempera-
ture tends to form crystalline modifications and to lower etch rates dras-
tically.
(2) Thermal annealing at temperatures as high as 550°C or 600—700°C
in some cases (or better RF annealing at temperatures below 400°C) has been
found effective in removing radiation damage caused by electron beam or
X-ray lithography, implantation, plasma etching, etc. from MOS structures.
(3) Interface charges beneath insulators on silicon can be reduced by
thermal heating (e.g. at 800°C in moist 0 2 for A1203) or by the energy of a
laser beam, the latter process allowing the relaxation of interface strain bonds
by thermal processes [1090].
(4) Annealing of PECVD Si3N4 films [1088, 1089] (usually performed
for removing H which would be detrimental to device characteristics by
diffusing into the gate oxide layer) prepared from the SiH4—N2 and SiH4—
NH 3 systems is easier in the former system since there are fewer or more
stable H bonds.

231
FUNDAMENTALS

(5) Thermal annealing above the deposition temperature is known to


drive out hydrogen from hydrogenated amorphous silicon (tf-Si:H) (which
is followed by a concomitant decrease in conductivity and Ee), while annea-
ling in atomic hydrogen tends to reverse the process. The amorphous-
polycrystalline transition of CVD amorphous Si films is obtained by heating
them in the 650—700°C range [1092]. a-Si has been recrystallized using laser
[1093, 1094] or tungsten halogen lamp [1095] annealing. Annealing in H 2
has been also found useful for improving the electrical properties of poly-
silicon resistors [1091],
(6) Recrystallization of polycrystalline silicon films (Fig. 10.1) can be
effected by melting with the help of various energy sources, such as electron
[1096-1101] or laser ([1102-1111] beams, halogen lamps [1112-1117], strip
carbon heaters [1118-1125], RF heaters [1126], or other procedures [1127,
1128].
(7) Recrystallization of thin polysilicon films amorphized by silicon
ion implantation on annealing at temperatures as low as 500°C could lead
to monocrystalline or large grain polycrystalline silicon films [1129—1138].
(8) On annealing in 0 2 , polycrystalline ZnO films become single crystals,
transparent and have a lower propagation loss.
(9) The conductivity of undoped or doped ln 2 0 3 films has been increas-
ed by annealing the films at 300°C in vacuo or in a reducing ambient in
so-called forming gas (20% H 2 , 80% N 2 ).
(10) Annealing PECVD W ^ S i ^ leads to a marked decrease m resis-
tivity.
(11) A desirable stability in their optical properties is observed when
ZrB 2 films used for photothermal solar absorbers are thermally cycled at
500°C in air.
(12) The crystalline quality of heteroepitaxial silicon layers grown on
sapphire substrates is improved by thermal or laser annealing [1080—1082].
(13) Thermal annealing in vacuo or in a reducing (H2) or oxidizing (02)
atmosphere has been found effective in creating high quality heteroepitaxial
layers of III—V compound semiconductors grown on oxide substrates (e.g.
GaAs on spinel).
(14) Heat treatment at 1100°C of NiFe 2 0 4 films on Nd 3 Ga 4 0 12 substra-
tes permits great improvement of their magnetic properties.
(15) Annealing of homo- and heteroepitaxial compound semiconductor
layers (e.g. Se doped GaAs layers) is a means for improving their carrier
concentration and mobility.

Fig. 10.1 Recrystallization of polysilicon from the


g scanned melted zone (after Kamins [Hoc], (C) 1982
• Hewlett-Packard Company; reproduced with permis-
sion) :
5 I — heat source (electron beam, laser beam, halogen
£ lamp or strip heater); 2 — large-grain polysilicon;
3 — molten polysilicon; 4 — fine-grain polysilicon;
7 5 — dielectric layer; 6 — single crystal silicon sub-
strate; 7 — heater; 8 — cap; 9 —scanning direction

232
P R O P E R T I E S OF CVD FILMS

(16) Thermal fusion of some fusable dielectrics (PSG or BPSG) deposited


over steps of oxide and polysilicon produces an adequately rounded contour
needed for ensuring continuity and uniformity of subsequently deposited
metallization. The temperature range required to obtain glass flow, usually
performed in steam or dry N2 for 30 min, depends on glass composition.
Typical fusion temperatures are 1000— 1100°C for PSG (6—8 wt% P) and
700-750°C for BPSG (6 wt% Band 3 wt%P). A second fusion called reflow
may be required after opening vertical vias in the fused glass by means
of wet or dry etching processes. Flow and/or reflow tapering using BPSG
has been used in the fabrication of various silicon-gate MOS integrated cir-
cuits [4182, 4183].

10.4 Optical Properties

Investigation of their optical properties [1139] have led to important con-


clusion about the structure, composition, and other physico-chemical pro-
perties of CVD films. Among the optical properties, the most intensively
investigated are the refractive index [1140—1142] and absorption and re-
flection.
Optical properties have been investigated for both dielectrics usually
by means of IR spectroscopy [1143, 1144] and semiconductors usually by
means of UV-visible spectroscopy (e.g. LPCVD poly-Si [1145], #-Si: H [1146],
and a-SiC:H [1147].

10.4.1 Refractive Index

The refractive index can be established by two main methods: interfero-


metry and ellipsometry. Another method used is based on liquid standards
[1140, 1141]. In the optical interference technique, a smooth wedge is pro-
duced on the sample surface by removing part of the CVD film. Half of the
sample is then plated with a film of aluminium. The displacement of sodium
light (λ = 5900 Ä) interference fringes when light from the wedges in the
metallized and non-metallized areas is crossed can be measured by means
of an interferometer.
Using the relations:
d = p\\l (metallized area) (10.13)
and
d = qk\2(n — 1) (non-metallized area) (10.14)
the result n = 1 + q\p is obtained (where p and q are the fringe displace-
ments over the metallized and non-metallized areas respectively, d is the
film thickness and n is the refractive index). The measurement of the re-
fractive index by means of an ellipsometer (e.g. for AlGaAs [1142]) is described
in Section 6.4.3. The refractive indices determined for most CVD films depend
strongly on the preparation technique and the deposition conditions (tem-
perature, reagent ratio, substrate type, etc.).

23a
FUNDAMENTALS

10.4.2 Light Absorption and Reflection

When a beam of monochromatic light crosses a thin layer, the intensity


of the light decreases as a result of reflection and absorption phenomena
which take place in the layer.
Absorption. The ratio of the transmitted light intensity I to the inci-
dent light intensity I0 on passing through an absorbing thin film is given
by the Lambert law, which assumes that no reflection phenomena occur:

T = — = e~ai (10.15)

where a is the absorbtion coefficient, T is transmission, and t is the film


thickness.
Taking the natural logarithm of each side of this equation, we obtain

In— = <ri (10.16)

The quantity od, called the optical density of the thin film, determines
the transmission (or absorption) of the material studied. The dependence
of the absorbtion coefficient a on the frequency and wavelength of the light
is called the absorption spectrum of the film studied. Most experimental
determinations record the transmission spectra, i.e. the relative transmittance
versus wavelength. The absorption coefficient can be determined from these
spectra by using the following equation, which also includes the phenomenon
of reflection:
1 = *-*>(!-K)2 (10.17)
I0 1 - Ä2e-2ai
If the complex dielectric constant N = n — ik is used for the optical
characterization, the absorption coefficient is given by:

a=-^- (10.18)
λ
where k is the extinction coefficient.
The absorption spectra, especially those in the infrared range, are ex-
tremely important because they provide informations regarding the mole-
cular structure and composition of the layer. Indeed, the absorption peaks
obtained correspond to various chemical bonds existing in the respective
layer. Furthermore, the method based on absorption spectra represents a
simple and excellent method for determining nondestructively the thickness
of many films.
Using the absorption spectra, in the UV-visible range, the band gap
width of various semiconducting films can be determined.
In the case of amorphous semiconductors such as a-Si, the following
relation for the absorption coefficient is used:
a = £2(Av - £g)2/Äv (10.19)

234
P R O P E R T I E S OF CVD FILMS

Ί\
Fig. 10.2 Typical optical absorption curve (plot of
(oc/zv)1/2 against photon energy) of an a-Si : H film,
prepared by glow discharge decomposition of silane \600-
(Eg = 1.8 eV).
r^ 500-
^ 400-
"V 300-
-Q
£ 200-
100-
I
< ^ _ _ ' 1
II I I I I
a5 10 1.5 20 25
Photon Energy hi(ev)

where B is a constant, Eg is the optical gap, and Av is the photon energy.


The optical gap Eg may thus be obtained from the straight line intercept
of (OCÄV)1/2 versus Av curves, as shown in Fig. 10.2. Also, III—V and II—VI
compounds, in general, have band gap absorptions (direct and indirect) that
occur in a wide UV to IR range. Forbidden optical energy gaps for various
semiconductors are given in Table 10.1. The optical band gap Eg which can
also be obtained by means of photoluminescence measurements can be used
to determine the composition of compound semiconducting films such
as I n ^ G a ^ A s , ZnS^Se^^., G a ^ I n ^ / A s ^ P ^ . For instance, in the case of
I n ^ G a ^ A s , the alloy composition is given by the relation (E is given in
e V a t Γ - 300 K ) :
Eg(x) = 0.35 + 0.63* + 0.45* 2 (10.20)

Reflection. By definition the reflection is given by the ratio of the re-


flected light intensity to the incident light intensity when light passes through
a thin layer: R = / R /i" 0 ·
The reflection R at the interface between two media of refractive indices
nx and n2 is given by the following relation: R = [(nx — n2)l(n1 + n2)]2-
If medium 2 is air, n2 = 1, and then R= [(% — l)/(% + l)] 2 .
A reflection spectrum is obtained as the dependence of R on the light
frequency of the wavelength. This spectrum may be recorded in the infrared
or the UV-visible range by the same spectrophotometers used for the mea-
surement of absorption spectra, except for the provision of a reflectance
attachment.
The reflection spectra are useful, as previously shown, for measuring
the thickness and for evaluating the structure and stoichiometry of films.
As an example, we can cite the usefulness of I R reflectance spectra in the
investigation of heteroepitaxial semiconducting films. These spectra can
provide information on the surface perfection of sapphire or spinel substrates,
the amount of divergence in stoichiometry or crystal structure from the
bulk (which is small for GaAs films on spinel), and the nature of film-sub-

235
FUNDAMENTALS

s träte interfacial bonding (for AlN/sapphire the appearance of new bands


shows that the interface region is highly strained). Also, reflection is the
cause of loss of light incident on the transparent and conducting films used
in solar cells. In such cases, the deposition of antireflection layers providing
minimum reflection is useful. The conditions for obtaining zero reflection
are the following:
n\ = n8 (10.21)
and
nt-t = m\l4. (10.22)
where m is an integer (usually taken as 1).
Thus the optimum refractive index of an antireflection layer with optical
thickness around one-quarter of a wavelength is:
n{ = nll2 (10.23)
In the case of Si substrates (n8 = 3,6), nt = 1.9. In this case, an Si3N4
film (n = 1.8) can be used.
These layers reduce reflectance by utilizing destructive interference
within the layer.

10.5 Photoelectric Properties

The study of electro-optic (photoelectric) phenomena such as photoconduction,


the photovoltaic effect, electroluminescence, stimulated light emission, catho-
doluminescence, and photoluminescence in semiconducting films has con-
tributed to both the enrichment of semiconductor theory and the realiza-
tion of devices of great practical utility.

10.5.1 Photoconduction

Photoconduction denotes the increase in the conductivity of some semicon-


ductor films under the action of light. This effect is applied to photoresistors
and photocathodes.
A special situation arises for a-Si: H where the reduced density of de-
fects and gap states results in a drastic increase in photoconductivity.

10.5.2 Photovoltaic Effect

The photovoltaic effect consists of the occurrence of an electromotive force


in a semiconductor structure, such as a p-n junction, a heterojunction or
metal-semiconductor, subjected to illumination. These photovoltaic devices,
which can directly convert solar energy into electrical energy, are named
solar cells (see Chapter 16).

236
P R O P E R T I E S O F CVD FILMS

10.5.3 Electroluminescence (EL)

Electroluminescence [1148—1150] is the radiative recombination of injected


charge carriers in a forward biased p-n junction and is extensively applied
to the realization of solid-state diodes which emit light in the visible portion
of the spectrum (light-emitting diodes — LEDs).
Among the materials with potential for LED applications, III—V epi-
taxial materials have been found to be the most useful. These include direct
band gap materials, in which the recombination process is the simplest and
most probable, or indirect band gap materials, in which the recombination
process is more complex and more improbable. However, in the latter case,
radiative recombination can be increased by introducing certain impurities
that act as recombination centres. Examples of EL materials are G a A s ^ P ,
(*<0.49)-red, Ga^A^As (x< 0.27)-red, In^Ga^P {x < 0.70)-red to
yellow, Ι η ^ Α Ι , Ρ (χ < 0.40)— red to green and GaN—green, blue, violet (di-
rect band gap materials); and GaP: N—yellow to green, GaAs^P : N—red to
yellow Ga^^Al^P—green, AlAs—yellow, and AIP—green (indirect band gap
materials). Also, junctions prepared in a-Si:H, SiC, ZnO, and (AlGa)(InP)
epitaxial films show infrared, blue, green and orange electroluminescence,
respectively. A typical EL spectrum is given for GaAsP with and without
N doping (Fig. 10.3).

10.5.4 Photoluminescence (PL)

Photoluminescence is the emission of light of a characteristic frequency when


a film is excited by a light beam and has proved to be a very powerful me-
thod to characterize many semiconducting films. In an experimental set-up
used to record PL spectra, monochromatic light from a double grating mono-
chromator is focused on the sample and the luminescence excited is selected
by means of a double prism monochromator or an optical filter, detected with
a photomultiplier and recorded on a strip chart recorder as the excitation
wavelength is varied (Fig. 10.4) [1151].
i
Without N x=W
— — With N x=0.5
A\
£>
-x=0.4 ΓΧ
# V \ 11 1
M 1 \ ■1 1
\
ί\ Λ^
111
v% ## 1 I \\ pf ii 1
I * l 111
C

/
/ \
\
7/ ! l
XM \
/\
1 | / 1 1
1 1 \

/ Y'O V
·*»
^C
/ \ f! ι \ ' I / H i
5:
/ft'« 1
/ /Av
*.£: / Λ; \ i l l *
/*
o
Q: / / / \ t \
\\ *x Fig. 10.3 Electroluminescence for GaAsx-a; Pa-
diodes with and without nitrogen do-
s ^y / \J / \ \1 ping (after Craford et al. [3062]; reprin-
kS-—ill/ -* 1 1 -i—i—i I '
ted with permission from JOURNAL OF
ELECTRONIC MATERIALS, Vol. 2, pg.
L——
7600 ,—,—
7200 , — , —6400
6900 ,— 6000 5600 5200 137, 1973, a publication of The Metallur-
Wavelength (A) gical Society, Warrendale, Pennsylvania).

237
FUNDAMENTALS

hV(ev)
3 1.8 1.7 1.615 U 13 12 1.1
1 /
I I 1
®—i Γ

□HZl·
/
5
■—; (a)

it 0.9 1.0 V
Wavelength tjum)
(b)
Fig. 10.4 Photoluminescence:
a — experimental set-up: 1— light source; 2—double grating monochromator; 3- sample;
4 — double prism monochromator; 5 — photomultiplier; 6 — recorder;
b — typical spectrum for OMVPE A ^ G a ^ A s layers with x = 0.32 (T = 77—298K), showing
the edge emission and non-edge emission peaking in the ~ 1.8 eV and ~ 1.2 eV regions, res-
pectively. The composition can be determined from the peak wavelength of the room tempera-
ture near edge emission, using the relation hv = 1.424+ 1.247*. Width a t half-maximum (half-
width) for room temperature edge emission is useful for determining the n-and p-type carrier
concentration(after Stringfellow and Hall [2968]; reprinted with permission from J O U R N A L
OF ELECTRONIC MATERIALS, Vol. 8, pg. 201, 1979, a publication of Tha Metallurgical
Society, Warrendale, Pennsylvania).

PL at 300 K or especially at 77 K (or lower, e.g. 4.2 K) is extremely


useful for identifying dopant impurity levels responsible for the emission
bands observed in infrared and visible p-n junction diodes and lasers. PL is
also widely used for compositional analysis of III—V compounds, particularly
for tertiary and quaternary alloys, as well as for compositional profile analysis
by using scanning techniques along an angle-polished sample with graded
composition layers.
PL spectra have been reported for GaP, I n P , GaN, (AlGa)As, (InGa)As
(InGa)P, (AlGa)(InP), ZnO, ZnSe, CdS, and CdTe doped or undoped epita-
xial films as well as for some amorphous films (α-Si: H, a-B: H). PL spectra
of compound semiconductors such as I n ^ G a ^ A s , Z n S ^ S e ^ , (Gain) (AsP),
and Ga(AsP) are useful for determining chemical composition. Also, PL spectra
can be used for analysing contaminants in epi-Si [1152—1154] and in III—V
semiconductors grown by means of OMCVD [1155].

10.5.5 Cathodoluminescenee

Cathodoluminescence refers to the emission of light of a characteristic fre-


quency when a film is bombarded by an electron beam. I t can yield information
similar to that of photoluminescence measurements. Cathodoluminescence
apparatus [1156, 1157] is shown in Fig. 10.5 and consists of an electron gun,
a vacuum enclosure where the substrate is mounted, a double prism spectro-
meter and a photomultiplier. Cathodoluminescence spectra have been repor-

238
P R O P E R T I E S OF CVD FILMS

6000 7000 8000 9000 1000011000


Wavelength (A)
(b)
Fig. 10.5 Cathodoluminescence (after Heath and Stewart [1157]; reprinted with permission
from Solid-State Electronics, (0) 1972 Pergamon Journals Ltd.):
a — equipment: 1 — electron-beam gun; 2 — sample; 3 — double prism monochromator;
4 — photomultiplier; 5 — recorder; 6 — concave mirror;
b — typical spectrum of GaAsj.^P^ layer showing the band-edge emission at 1.88 eV and t h e
I R emission at 1.3 eV.

ted for GaAs, GaAs^P^, GaP: N, ZnSe, ZnS, and CdS epitaxial layers. They
can be used to determine the composition of compound semiconductors such
as Al^Ga^As.

10.5.6 Stimulated Light Emission (the Laser Effect)


The laser (light amplification by stimulated emission of radiation) effect can
be obtained in a laser diode [1158].
The laser diode consists of a p-n junction with a specific impurity profile
in a direct band gap semiconductor. Its operation is based on efficient carrier
recombination caused by the application of a forward bias as in the LED,
but in addition there is an optical cavity. Electron population inversion is
also required (Fig. 10.6).
The optical cavity consists of a confined region of high refractive index
sandwiched between the two p and n diode regions. It has two parallel facets
reflecting the emitted light back and forth through the material until laser
oscillations begin.

Fig. 10.6 Structure of a laser diode consisting of


three regions: the p-type region (I), the n-type
region (III) and the active lasing region near the
p-n junction (II): d — the active region w i d t h ;
L — the resonant cavity length; Rt and R2 — the
mirror reflectivities; ε-μ ε2, ε 3 — the dielectric
constants of the three regions (ε2 > εν ε 3 ); nlf n2,
nz — the refractive indices of the three regions
(w2 > n±, n 3 ).

230
— Fig. 10.7 Cross sections of main types of laser diode structures:
P ♦
"GoAs P
^ a — homojunction laser; b — single heterojunction close-confined
n "f"~ laser; c — double heterojunction laser; d — large optical cavity
(LOC) laser.

GaAIAs P*
P d
GaAs \
1 n

GaAIAs p .
GaAs P
GaAIAs n*

GoAlAs P* +
GoAs _ e «JL
GaAIAs n* ♦ ,

Population inversion (a non-equilibrium state where more atoms are in


the higher energy state than in the lower energy state) can also arise in a con-
fined region near the heavily doped (degenerate) semiconductor p-n junction
on injecting a current higher than a threshold value into the diode.
The laser diode, which is usually obtained from direct band gap heavily
doped (degenerate) III—V semiconductor materials, emits pulsed or conti-
nuous intense monochromatic coherent radiation in the ultraviolet, visible,
or infrared range at cryogenic or room temperature.
Laser diodes include four main structures, i.e. the homojunction laser,
the single heterojunction laser, the double heterojunction laser and the large
optical cavity laser (Fig. 10.7).
These may be fabricated from CVD epitaxial layers by using binary
III—V semiconductors (GaAs, InP, InAs, InSb), ternary III—V semiconduc-
tors (Al^Ga^As, GaAs^P*, Ια,ΑΙ^Ρ, In^Ga^P, In^-As^P.,., In^Ga^As,
InAs^SfrJ or IV—VI semiconductors (PbTe, PbSe, PbSi^Se^., Pb^Sn^Te,
Pb^Sn^Se).

10.6 Electrical Properties


Electrical characterization of CVD films [1159—1162] is of considerable prac-
tical interest, primarily because these films are used in electronic applica-
tions such as semiconductor devices and integrated circuits. The most impor-
tant electric property of thin films is their electric conductivity. According
to their conductivity, films can be divided into good electrical conductors
(metals) and electrical insulators (dielectrics); between them, there are semi-
conductors. In this section the currently used methods for electrically charac-
terizing metallic, semiconducting and dielectric films will be considered.
Thin film interaction with nuclear radiation, leading essentially to ä
modification of the electrical properties, will also be discussed.

240
P R O P E R T I E S O F CVD FILMS

10.6.1 Electrical Properties of Metals and Metal Compounds

The electrical resistivity of metallic films is the single electric property


that is considered important in electronic applications, where low resistivity
films are usually required. All CVD metallic films have an electrical resisti-
vity that is greater than the corresponding value for bulk materials and
highly dependent on the deposition technique. The differences observed
between the resistivities of film and bulk material can arise from structural
defects (grain boundaries) and impurities. Therefore, the resistivity measure-
ment (usually performed by the four-point probe method) is an excellent
method for evaluating the global quality of a CVD metallic film. Thus, a
lower resistivity value near that of the bulk material shows the existence of
a decreased number of structural defects and impurities in the metallic film.
Of special technological interest are the films of refractory metals (W, Mo)
and refractory metal suicides (MoSi2, WSi2, TaSi2, TiSi2, NbSi2) having
resistivity two to four times higher than their bulk resistivity (15—50 μΩ cm)
but lower than that of poly-Si.

10.6.2 Electrical Properties of Semiconductors

The electrical characterization of CVD semiconducting layers means prin-


cipally the measurement of resistivity [1163—1182] and carrier concentra-
tion [1183—1222]. Carrier mobility is commonly measured by the Hall effect
(see Section 10.7).
Deep level impurities [1223—1233] and lifetimes [1234—1236] are also
often determined.
The main electrical properties of several semiconducting films are sum-
marized in Table 10.2.

10.6.2.1 Resistivity

Resistivity can be measured by the four-point probe technique [1163—


1169], the three-point probe techniques [1170—1173], as well as the sprea-
ding resistance technique [1174—1182].
The four-point probe resistivity measurement technique, described ori-
ginally by Valdes [1163] (Fig. 10.8), utilizes current passed through two outer
probes while two inner probes are used to measure the induced voltage drop
across the distance s2. The outer probes are placed at distances s± and s3 from
the respective inner probes. For the simple case of a large area semiconductor
sample and a four-point probe, where sx = s2 = s3 = 5, the resistivity p of the
semiconductors is given by

where V is the measured voltage and / is the measured current.

241
FUNDAMENTALS

Fig. 10.8 Schematic representaticn cf the linear fcur-pcint resistivity


probe geometry: s = probe spacing (typically of the order of 0.02 cm).
*~®-m

For an epitaxial semiconducting film, the resistivity can be determined


by the relation:

0 =C't' — (10.25)
* I
where C is a correction factor (C = 4.5) and t is the layer thickness.
The four-point probe [1166—1168] is the most generally used means
for measuring-resistivity of, for example, epi-Si [1166—1168] or epi-Ge
[1169] in the semiconductor industry. The metod is nondestructive and can
be used for measuring thin epitaxial semiconducting films when they are
deposited on a substrate of the opposite type. It may also be used to measure
the resistivity of epitaxial layers grown on substrates of the same type but
only if the epitaxial layers are of much lower resistivity than those of the
substrates. However, the method is not applicable when the thin epitaxial
layer is of the same type as the substrate, but of higher resistivity. The four-
point probe combined with bevelling or with succesive layer removal is used
for resistivity profiling
The three-point probe technique (Fig. 10.9), described originally by Brown-
son [1170], measures the breakdown voltage of a surface probe diode. The
breakdown voltage is then related to resistivity.
This nondestructive method is suited for measuring thin high-resistivity
layers on low-resistivity substrate (n/n+ or p/p + ), and can be used to mea-
sure the resistivity profile on a bevelled sample as well the conductivity type

11 «-) r-TT- .
2 5 6Ό°2 5 610*2 5 Ö10"
impurity concentration (atoms/cmJ)

(a) (b)
Fig. 10.9 Three-point probe method: a—instrumentation; b — calibration
curve for epitaxial silicon (after Allen et al. (1172]; reprinted by permis-
sion of the publisher, The Electrochemical Society, Inc.).

242
P R O P E R T I E S OF CVD FILMS

of the epitaxial layer from the nature of the breakdown characteristic. How-
ever, the method requires calibration and is useful only in a limited range of
resistivity and thickness.
It can be used for Si [1170-1172], GaAs [1173], and other films.
The spreading resistance technique developed by Mazur and Dickey [1174]
is based on the assumption that the potential of a metal-point contact on a
semiconductor is a direct measure of the resistivity of the semiconductor mate-
rial under the point.
In the case of an epitaxial structure, the spreading resistance Rsp is
given by the relation:

8P (10.26)
I Ar

where V is the potential on the contact, I is the curent through the contact,
r is the radius of the contact, p is the epitaxial layer resistivity, and F is
a correction factor which depends on layer and substrate resistivity and
thickness, and on the probe spacing.
The experimental arrangement usually uses three probes, two of which
are connected to a current source, the voltage being measured between one
of these and the third probe, as shown in Fig. 10.10. Rather than attempting
to calculate p from the above equation, the usual procedure is to prepare a
calibration curve (Rsp measured for several known resistivities). This method
can be used to mesure resistivity in a very large range: 10~3—103 ohms cm
when the layer and the substrate are of the same or opposite conductivity
type, e.g. for epi-Si [1174-1180], heteroepitaxial Si [1181], and GaAs [1182].
When coupled with angle lap, it also serves to measure the depth profile and
the p-n junction depth in a thin film-

I—®-

1
tö3 icf lö io io} ic? io
3 (ohm cm)
(a) (b)
Fig. 10.10 Spreading-resistance probe method (after Gardner
et al. [1175]; reprinted by permission of the publisher, The
Electrochemical Society, Inc.):
a — typical arrangement;
b — typical calibration curves for epitaxial silicon.

243
FUNDAMENTALS

10.6.2.2 Carrier Concentration

For measuring the density of electrically active dopants (carrier concen-


tration) in CVD semiconducting films, which is often in the range 1015—1020
cm"3, electrical and optical measurements are used, namely the correlation
of semiconductor resistivity (measured by the four-point probe, the three-
point probe or the spreading resistance methods) with dopant concentration;
diode capacitance-voltage measurements [1183—1206]; the Hall effect
[1207—1210]; IR reflection [1211 — 1218] or absorption [1219] spectra; and
various optical techniques based on total internal reflection or optical IR
interference [1220—1222].
In addition, a number of analytical techniques are available which, while
not ordinarily as sensitive as resistivity-based measurements, are- generally
specific to a given atomic species and can thus be used to identify dopants
(for example MeV ion backscattering, SIMS, ion microprobe, neutron acti-
vation, and radiotracer techniques). However, as electronic device operation
depends on the electrical activity of the species and not on their chemical
concentration it is more appropriate to evaluate the material by measuring
its electrical characteristics.
Correlation of the resistivity of semiconductors such as silicon to dopant
concentration has been performed by Irvin [1995—1997].
Using the Hall effect, the dopant concentration can be determined ei-
ther directly or from resistivity measurements assuming a value for carrier
mobility:
n,p=-±- (10.27)
and
p=-^- (10.28)

where nt p are the electron and hole concentrations, p is the resistivity, RH


is the Hall constant, e is the electronic charge, and μ is the Hall carrier mo-
bility.
In capacitance-voltage measurements, the capacitance of a Schottky
barrier diode [1195] (or of a p-n diffused junction diode or an MIS structure)
is measured as a function of the reverse voltage. This measurement yields
the dopant concentration as a function of depth below the surface (Fig. 10.11).
Indeed, for a metal-semiconductor diode, we have the following expres-
sions :

eeA*[ dV J eeA2&L
dV
* = ■*§■ (10.30)
where N is the dopant concentration at the edge of the depletion region, C
is the capacitance (measured by a high-frequency capacitance bridge), V is
the applied reverse voltage, e is the electronic charge, ε is the permittivity

244
P R O P E R T I E S OF CVD FILMS

^:
" τ ^ Χ
«? 1
751
7/0 1 1 I 11 1 11|
;
xfjum)
(a) (b)

Fig. 10.11 Differential capacitance technique:


a — arrangement: 1 — capacitance bridge; 2 — voltage supply;
3 — mesa diode made on the shallow-diffused epitaxial layer
surface;
b— typical impurity profiles: 1 — nonuniform impurity profile
showing a high resistivity region near the epitaxial layer-
substrate interface; 2 — uniform impurity profile.

of sample, A is area of the sample junction, and x is the depletion layer depth.
Hence, on measuring C as a function of V, N (x) is found. The diode can
be obtained destructively by means of evaporation of a metal spot, by shal-
low diffusion of an opposite type impurity, or nondestructively by using
a mercury probe [1187—1189] or even an electrolyte-semiconductor junction
[1196 — 1206]. C-V measurements have been widely used to determine the
impurity profile of epitaxial films (e.g. GaAs, ZnSe) either directly (limited
depth) or coupled with etched steps or continuous electrolytic dissolution
(unlimited depth).
Optical measurements make use of either reflection or absorption spectra.
The reflection spectra of doped semiconducting films show a minimum in
reflectivity caused by free-carrier reflection effects. The wavelength of the
minimum is related to p-orn-type dopant density. In general, the reflecti-
vity method requires an independent means of calibrating the wavelength
at which a minimum in reflectivity occurs in terms of dopant density. Curves
correlating the dopant density with the wavelength of the minimum in reflec-
tivity have been reported for p- and n-type Si [1211 —1213] as well as for
p- [1214, 1215] and n- [1213, 1216-1218] type GaAs.
The absorption spectra of doped semiconducting films show a maximum
in absorption. In order to correlate free carrier absorption to dopant density,
it is required to calculate the absorption coefficient a by knowing the films
thickness:
I n ( 7
a = ■ Ä (10.31)
where I0 is the transmittance without the sample, / is the transmittance with
the sample, and t is the film thickness of the doped semiconductor film. This
method can be used only if the substrate is not heavily doped.
Electrical properties have been reported for all undoped or doped homo-
epitaxial, heteroepitaxial, polycrystalline and amorphous semiconducting
films (Table 10.2). They are somewhat dependent on the crystalline structure
and, hence, on the deposition method and conditions.

245
FUNDAMENTALS

TABLE 10.2
Electrical Properties of CVD Semiconductor Films

Con-
F i l m thick- Growth process duc-
No. Film/Substrate Dopant
ness (μιη) tivity
type
0 1 2 3 4 5

Homoepitaxy
1 Si/Si SiH4-H2 — n
SiH4-H2 P H 3 ; AsH 3 n
SiH4-H2 B2H6 P
SiH2C]2-H2 — n
o i r i 2 C j 2 —H2 P H 3 ; AsH 3 n
SiH 2 Cl 2 —H 2 B2H6 P
0.1-50 SiCl4-H2 n
0.1-50 SiCl4-H2 PH 3 (AsCl 3 ) n
0.1-50 SiCl4-H2 ^2Ηβ P
0.1-50 SiCl4-H2 PCJ 5 n

2 Ge/Ge <20 GeH4-H2 __ P


^ 20 GeH4-H2 AsH 3 n
s$20 GeH4-H2 ^2^6 P
0.2-25 GeCl4-H2 PH, n
0.2-25 GeC]4-H2 B2He P

3 SiC/SiC SiH 4 — C 3 H 8 —H 2 - n

4 GaP/GaP Ga-PCl3-H2 n
Ga-HOl-PHg — n
TMG-PH3-H2 — n
TMG-PH3-H2 H 2 Se n
TMG-PH3-H2 DEZ P

5 GaAs/GaAs Ga-AsCl3-H2 __ n
Ga-AsCl3-H2 Cr02Cl2 SI
Ga-AsCl3-H2 H2S n
Ga-AsCl3-H2 HaS n
Ga-AsCl3-H2 H 2 Se n
Ga-HCl-AsH3-H2 — n
Ga-HCl-AsH3-H2 H2S n
TMG-AsH3-H2 — norp

MO CVD n
MO CVD DMZn p
MO CVD H2S n
LPMOCVD(TMG-AsH3- — n
-H2)
LPMOCVD(TMG-AsH3- SiH4; n
-H2) GeH4;DET

6 GaSb/GaSb CTCVT(GaSb-I2) — P
7 InP/InP In-PCl3-H2 __ n
In-PCl3-H2 H2S h
In-HCl-PH3-H2 — n
In-HGl-PHg-Ha Te n

246
P R O P E R T I E S OF CVD FILMS

Resistivity Carrier concentration Carrier mobility


(cm - 3 ) References
(Ocm) (cm^V-is-1)

6 7 8 9

< 500 ^ 1013 [2359]


30-10-3 5 x 1014 - , 5 x I019 1.4 X 103 [2359]
30-10-3 5 x 10 14 - 5 x 1019 [2359]
[2370, 2372]
8 X 10-2 - 36 5 x 1014 - 1 χ 1 0 " [2370, 2372]
4 x 10-2 - 8 5 x 1016 - 5 χ 1018 [2370, 2372]
1012 - 1013 [2349]
8 X 10-2 - 8 X 10-4 10" - 1020 1.2 x l O 3 [2349]
13-10-3 1015-1020 [2349]
8 X 10-2 - 50 1014- 10" [2349]

1 [2532]
[2532]
[2532]
1 . 6 - 1 . 5 x 10-3 1Q15-1019 [2349]
2 . 5 - 6 x 10-4 1015-10 2 0 [2349]

0.4 - 200 (77K) [2538, 2539]

1015-10" [2876]
— 1015-10" 150 [2877]
— 5 X 1015 [2890]
0.07-1.29 4 x 1016-1018 137-79 [2890]
0.27-0.54 6 X 1016-2 x 10" 103-67 [2890]
_ 1013-1014 9 X 103 [2599-2601]
108 — — [2682]
6 3
1015-101 ( 3 . 5 - 5 . 5 ) x 10 [2602]
( 0 . 6 - 2 ) x 10-3 2 x 10"-6 x 1018 ( 0 . 5 - 4 ) x 103 [2613]
5 X 10-4 2 x 10"-1019 ( 1 . 1 - 4 ) x 103 [2613]
( 4 - 9 ) X 1015 [2716]
1015-5 X 1018 ( 3 - 6 . 5 ) x 103 [2716]
1 x 1015-1 x 10" (n) < 6 2 6 0 (n); [2720, 2721,
3 x 1015-4 x 10" (p) < 385 (p) 2734, 2743]
( 3 . 7 - 5 ) X 1014 (1.36-1.39) x 105(77 K) [2730, 2735]
4 x 1016-1 x 1019 [3031]
8 X 1016-2 x 1019 [3031]

1015 ( 6 . 5 - 7 ) x 103 [305]

1016-1018 ( 3 . 5 - 5 . 5 ) x 103 [305]


3
4.3 x 10-3 1 X 1018 1.6 x 10 [2900]
3
4 x 1014-lOie 5.4 x 10 [2901]
2 x 1016-5 X 1018 3.8 x 103 [2901]
8 X 1015 3.5 x 103 [2908]
1016-1018 1 0 4 - 103 [2908]

247
FUNDAMENTALS

TABLE 10.2 (continued)

ol i 2 3 4 1 5 !
APMOCVD(TMI-PH3- n
-H„)
APMOCVD(TEI-PH3- — n
-H2)
APMOCVD(TMIn: n
TMP-H2)
APMOCVD(TMIn: n
TEP-H2)
LPMOCVD(TEI- n 1
-PH3-H2)
8 InAs/InAs In-AsCl3-H2 n
0.1-7 TMIn-AsH3-H2 — n
9 InSb/InSb 1 MOCVD(TEIn-TMSb-
-H2) — n
10 Al 0 #1Ga0 9 As/GaAs MOCVD n
MOCVD DET n
MOCVD DEZ P
Al 0 9Ga0 jAs/GaAs 0.1 LPMOCVD — n
Al 0 7 Ga 0 3 As/GaAs 1.6 LPMOCVD SiH 4 n
Al 0 7 Ga 0 3 As/GaAs 1.6 LPMOCVD DEZ P
11 GaAs 0 6 P 0 4 /GaAs 10-40 Ga-AsH3-PH3-H2 n
10-40 Ga-AsH3-PH3-H2 DET n
12 GalnAs/GaAs 1-2 TMG-TEI-AsH3-H2 - n
13 GaAsj ^Sb^/GaAs Ga-HCl-AsH3-SbH3 - P
(x = 0 . 0 1 - 0 . 8 )
GaAsj^Sb/GaAs, In As, MO C VD(TMGa - AsH 3 - — P
InP, sapphire -TMSb-H2)
(x = 0 . 0 5 - 0 . 7 0 )
14 In 0 . 49 Ga 0 . 51 P/GaP Ga-In-HCl-PH3-H2
1 Ga-In-HCl-PH3-H2 H 2 Se
Ga-In-HCl-PH3-H2 Zn
In 0 49 Gao. 51 P/GaAs LPMOCVD(TeIn- — n
-TEGa-PH3-H2)
15 InAs 0 ΧΡ0 9 /GaAs, GaP In-HCl-AsH3-PH3 n
In-HCl-AsH3-PH3 Zn P
16 Ga 0 . 75 Al 0 . 25 Sb/GaSb CTCVT(GaAlSb-I 2 ) Te n
17 GalnAsP/InP LPMOCVD(TEGa- H 2 S ; DEZn n
^ P
-TEIn-AsH3-PH3-
-H2-N2)
^a0.35^n0.66^S0.74^0.26 2-5 Ga-In-HCl-AsH3- — :n
—PH 3 —H 2
18 (Al 0 . e Ga 0 . 4 ) 05 Tn 0 . 6 P 1.4 LPMOCVD(TMA- H 2 Se 'n
-TEGa-TEIn-PH3)
(Al 0 . e Ga 0 . 4 ) 0 . 5 In 0 . 5 P 1.4 LPMOCVD(TMA- DMZn P
-TEGa-TEIn-PH3)
19 CdTe/CdTe CVT (CdTe + H 2 ) - P
CdTe/CdTe, GaAs, InP,
InSb, MgA10 4 DMCd-DETe-H2
1 — si 1
248
P R O P E R T I E S O F CVD FILMS

6 | 7 | 8 I 9
3
1015-lOie 3.5 x 10 [2926-
- 2 9 3 1 , 2933]
3
( 1 - 7 . 9 ) X 1015 ( 3 . 5 - 5 . 3 ) x 10 [2911, 2914,
2915, 2920]
( 3 . 8 - 8 . 4 ) x 1015 ( 3 . 3 - 4 . 2 ) x 103 [2939]

( 1 - 3 ) x 1015 4.5 x 103 [2936]


3
3.8 X 1015 4.2 x 10 [307, 2 9 4 2 -
- 2 9 4 5 , 2947}
1015 3 x 104 [2952]
[2957]
( 2 - 8 ) X 1016 4 x 104 [2959, 2960]

5 x lOie 2.8 X 10 3 [2968]


1017-1019 [2968]
4 x l O i e - 3 x 1020 [2968, 3031]
5 x lOie [2992]
2 x 1018 [2992]
5 x 1017 [2992]
1015 4 x 103 [304η
1016-1018 [3053]
lOie ( 2 - 7 ) x 103 [3109]
3 X 1015-9 x lOie 400-21 [3135]

3.7 X l O i e - 1 x 1017 20-1500 [2899, 3139,


3140]

2 x 1015 [3146]
5 x 1017-2 x 1018 ( 1 . 7 5 - 1 ) x 103 [3146]
5 x 1017-1019 [3146]
1017 [3153]

lOie 2 x 103 [3163]


1016-5 x 1018 80-40 [3163]
2.6 x 10-3 6 x 10i8 400 [3173]
3
8 x 1015-4 X 1017 ( 3 . 2 - 4 . 1 ) x 10 [3132]

1015-lOie ( 2 . 4 - 4 ) x 103 [3179]

0.01-0.1 1017-1018 130 [3207, 3211]

0.1-10 1017-1018 20 [3207, 3211)

2 x 1014 80 [3317]
[3332]

249
FUNDAMENTALS

TABLE 10.2 (continued)

ol i 2 3 4 1 5
20 HgTe/CdTe MOCVDrHg(DMHg)- - n
-DETe-H2l
21 Hgo. 8 Cdfr. 2 Te/CdTe MOCVD[Hg(DMHg)- n
-DMCd-DETe-H2l
10-20 CVT[(CdHg)Te + H21 n
Heteroepitaxy
22 (100)Si/(1102)a-Al 2 O 8 0.5 1.0 SiH4-H„ PH3 n j
(lll)Si/(lll)MgAl204 0.5 1.0 SiH4-Ho PH3 n
(100)Si/(100)MgAl 2 O 4 0.5 1.0 SiH4-H^ PH3 n
(100)Si/(ir02)a-Al 2 O 3 0.5 1.0 SiH4-H2 B2He P
(lll)Si/(lll)MgAl204 0.5 1.0 SiH4-Ho B2He P
(100)Si/(100)MgAl2O4 0.5 1.0 SiH4-H2 B
2 H
6 P
23 Ge/spinel 2 GeH4-H2 P H 3 ; B 2 H fl p;n 1
24 ScN/(ir02)a-Al 2 O3 4.8 Sc-HCl-NHg — n
25 (1120)GaN/(0112)a-Al 2 O3 5 Ga-HCl-NH3 n
(1120)GaN/(0112)α-Α1 2 0 3 4.8 Ga(CH3)3-NH3 n
(1120)GaN/(0lT2)a-Al 2 O 3 2.2 Ga(CH3)3-NH3 Zn n
(1120)GaN/(0n2)a-Al 2 O 3 5.0 Ga(CH3)3-NH3 Zn SI
26 (Hl)GaP/(0001)a-AloO 3 6 Ga(CH3)3-NH3 - n
(lll)GaP/(lll)MgAl2b4 10 Ga(CH3)3-NH3 P
27 (Hl)GaAs/(0001)a-AloO 3 4-20 MOCVD n
(11 l)GaAs/(l 1 l)MgAl 2 b 4 2-20 MOCVD n
(100)GaAs/(1122)BeO 4-40 MOCVD n
GaAs/(0001)a-Al 2 O 3 <8; 8-25 MOCVD H 2 Se n
GaAs/(lll)MgAl 2 0 4 or > 10 MOCVD ri2S', H 2 S^ n
(110)MgAl2O4
(lll)GaAs/(0001)cc- 1 MOCVD DEZ; DMC
-A1 2 0 3 ; (100)GaAs/
(110)α-Α12Ο3
(Hl)GaAs/(lll)MgAl 2 0 4 ; 1 MOCVD DEZ P
(100)GaAs/(110)MgAl2O4 _P
28 (1120)ZnO/(0ll2)a- CVT(Zn + H 2 0 )
-A1 2 0 3 n
(1120)ZnO/(0112)a- 10 CVT(ZnO + HC1) -
.A1 2 0 3 n

29 (111) ZnSe/(0001)a- 10 CVT(Zn + H 2 Se) — —


-A1 2 0 3
30 (0001)CdS/(0001)a- 10 CVT(Cd + H 2 S) n
-A1 2 0 3
31 (0001)CdSe/(0001)a- 10 CVT(Cd + H 2 Se) — —
-A1 2 0 3
Heterojunctions
32 l SiC/Si n
1 SiCl 4 — C^Hg—H2 —
33 AlAs/GaAs Al-HCl-AsH3-H2 — n
34 A IP/Si A1-HC1-PH3-H2 — n
35 j B P / S i 0.5 Β«ΗΛ — P H ,—H« _^ n.p 1

250
P R O P E R T I E S OF CVD F I L M S

6 I 7 8 I 9
( 1 - 4 ) x 1016 ( 7 - 1 1 ) x 104(77 K) [3344]

4.5 x 1016 1.5 x 104 [3353]


2
3 x 10- 7 x 1015 3 x 104(100 K) [3348]

1 x 1016 430; 500 [3415]


- 1 x 1016 200; 480 [3415]
1 x 1016 140; 300 [3415]
1 x 1016 90; 120 [3415]
- 1 x 1016 50; 240 [3415]
1 x 1016 30; 80 [3415]
— 10i6_l0i9 1200 [3425]
- S.6 x 10i9 158 [3495]
( 1 - 3 ) x 1018 110-150 [3478]
0.303 3 x 1019 75. [3478]
0.306 4 x 1017 51 [3478]
10 9 - - [3478]
1.4 x 1018 70 [3496]
10i6_loi8 80 [3497]
5 x 1016 5 x 103 [3507]
6 0 - 7 x 10-3 5 x 1016-3 x 1017 600-3500 [3507]
5 x 101* 3 x 103 [3507]
4 x 1016 2 x 10 3 ; 4 x 103 [3504]
2 x 1017-1 x 1019 [3505]

1016-. 1019 100 [3502]

8 x 1018-5 x 1019 50-90 [3505]

10- 2 1019 30 [3235]

1-10 - - [3230]

102 — — [3263]

1 0 - 2 - 102 — — [32 6 3]

5 x 1 0 6 - 1 χ 107 [3315]

10-3-10-1 1019 40-400 [2553]


2
2 χ 10- ( 0 . 8 - 1 . 5 ) x 10!8 130 [2847, 2850]
1 x 1018 70 [2845]
1
ιο^-ιο- 1018-10 20
80-10 [2821, 2822]

251
FUNDAMENTALS

TABLE 10.2 (continued)

o 1 2 3 4 5
36 GaSb/GaAs Ga-HCl-SbHg P
CTCVT(GaSb + HC1; P
SbCl 5 ; or I 2 )
37 GaP/Si 36 Ga-PClg-Hp n
GaP/Ge 100 Ga-PCl3-H2 n
38 InP/CdS 2-7 In-HCl-PH3-H2 - n
39 ZnO/Si, CdTe P E M O C V D ( D E Z - C0 2 ) - n
40 ZnSe/GaAs 5 LPMOCVD TEA n
(DEZ-H2Se-Ho)
ZnSe/GaAs CVT(ZnSe-H 2 ) " n
41 CdS/CdTe 20 CVT(CdS + Ha) - n
42 ZnS 0 . 12 Se 0 . 88 /GaAs CVT(ZnSe-H2S-H2) - n
43 ZnSiAso/Ge or GaAs Zn-SiH4-AsH3-H2 - P
Poly crystalline SCs
44 Si/Si02 SiCl4-H2; SiHCl3-H2;
SiH 4 -H 2 (1100°C) !p 1
SiH4-H2(650°C) P
SiH4-H2 PH3 n
(650°C; 1100°C)
SiH4-H2 B2He
(650°C; 1100°C) P !
L P C V D ( S i H 4 - H 2 , 600°C)
L P C V D ( S i H 4 - H 2 , 600°C) P(II)
P
45 Ge /graphite GeCl4-H2 PH3 n
46 GaAs/Mo 10 TMG-AsHg-Ba n
GaAs/Ge/Mo 5 TMG-AsH3-H2 ■ n
GaAs/W/C Ga-HCl-AsH3-H2 n
47 InP/Mo 18 In—PCJ3-H2 n I
18 In-PCl3-H2 H2S n
InP/C or glass 50 In-PCl3-H2 ZnCl 2 P
48 ZnO/glass 0.1 DEZ-02 - n 1
49 CdS/glass SP (CdCl 2 —thiourea) - n 1
50 Zn 3 P 2 /mica 10 CSVT - P
51 Sn0 2 /glass 0.1-0.5 MOCVD(DBTDA-Oa- n 1
-HoO)
0.1-0.5 MOCVD(DBTDA-02- SbCl fi n
-Η,Ο) j n
TMT-02 n
TMT-02 PH3
52 ln 2 0 3 /glass 0.1-0.9 MOCVD(DPMI-Oa- n |
-H20)
0.1-0.9 MOCVD(DPMI-02- DBTDA n
-H20)
53 Cd 2 Sn0 4 /glass SP(CdCl2-SnCl4) - n |

Amorphous SCs
54 Si:H 1 PECVD(SiTJ4-Fo) n
1 PECVD(SiH4-H2) PH 3 n
1 PECVD(SiH4-H?) BoH- P

252
P R O P E R T I E S OF CVD FILMS

6 1 7 8 | 9
&
4.3 x ΙΟΐβ-1.9 x 10i 120-336 Γ2895]
2 x 10 w 700 [2896, 289η

2.4 x 1016 150 [3559, 3560]


2 x 1016 130 [3563]
4 x 1 0 i e - 4 x lO" [3608]
10-2-10 2-14 [3253]
0.05 1.6 X 10" 400 [3290]
3
1-10 1014-loie [3281]
103-10-2 1018 100 [3309, 3310]
1-103 5 x 1016 100 [3366]
9
6 x l O i s - 5 x IO1 40-60 [3649]

5.2 x 1 0 « l , | ( 2 . 1 - 5 . 8 ) X lO" 4301, [3694]


3 . 2 - 5 . 9 x 10 6 || 33-4911
1.2 x 10β 1 x lO" 50 [3691]
5 χ 1 0 5 - 1 χ lO- 3 1015-1021 3 - 3 0 (1100°C) [3686-3688,
4 3 0 - 3 0 (650°C) 3696]
1 0 β - 5 χ 10-3 1014-1021 8 - 6 0 (1100°C), [3686-3691,
5 0 0 - 1 0 (650°C) 3697]
( 8 - 9 ) xlO5 [3692]
( 6 - 8 ) x l 0 5 ; 1 0 - 2 - l O - 3 2 x 1015-2 x IO20 1-38 [3692]
60-80 [3765]
6 x 1016 [3773]
( 7 - 1 3 ) x 1015 [3774]
1016- lO" [3768]
10 - 1 0 0 1015-1017 5-50 [3784]
5-10-3 1 0 i 7 - 5 x IOI9 5-200 [3784]
7 x lO-2 9 x lO" 97 [3782]
10-4-102 10-3-102 [192]
10-2-50 1019- 1020 2-6 [3798]
102 7 x 1016 [3793]
< 0 . 5 - 9 ) X lO-2 9 X 1018 10 [4643]

<1.5-3.2) x lO- 3 1.2 x IO20 23 [4643]

2-0.2 1.5 x lO" [4649]


5 x lO- 3 5 x 10 2 o-5 X IO21 26 [4649]
( 1 - 5 ) x lO- 2 [4671]

( 2 - 7 ) x lO« [4672]

10- 2 1 3 x I019 30 [202]

109 [3830, 3914]


1012-102 9.0 X 1018 0.03-0.15 [3830, 3914]
1012- 102 0.015-0.06 [3830, 3914]

253
FUNDAMENTALS

10.6.2.3 Deep-Level Impurities

The methods previously described are applicable only to shallow fully ioni-
zed impurities, which contribute to conductivity. The concentration of deep
(or intermediate) level impurities can be determined by using electrical mea-
suring techniques which involve measuring either the capacitance or current
of a p-n junction or Schottky diode as a function of temperature.
Deep-level transient spectroscopy (DLTS) [1223—1233] is based upon
the processing of signals arriving from capacitance transients which result
from the presence of deep levels in the depletion layer of a diode (Fig. 10.12).
The transient is normally excited by repetitive pulsing of the diode from a
forward to a reverse bias. During the forward bias pulse the levels will be
filled with electrons (or holes, depending on the diode type), since the deple-
tion layer collapses. During reverse bias at an appropiate temperature, the
electrons may be thermally emitted from the levels. As electrons are emitted,
they leave behind positively ionized defects. This fact alters the capacitance
of the diode and the transient response of the capacitance faithfully mirrors
the electron emission from the deep levels. The system output is the difference
between the two capacitance values C1—C2 taken at two points tx and t2
at an optimum intermediate temperature (Fig. 10.12a).
The DLTS spectrum (i.e. the differential capacitance versus the diode
temperature) contains one or more peaks characteristic for the deep levels
of the semiconductor material (Fig. 10.12&). The peak amplitude gives the
concentration of each deep level. The energy location can be obtained by vary-
ing (t2—tx) and measuring the shift of the peak with temperature. Depth
profiling is also possible by exploring the peak amplitude for varying deple-
tion layer widths via the reverse bias. Deep levels have been measured by

5 / / £

4 1 Time(t)

, , 100 200 300 WO


1°) Temperature T(K)
Fig. 10.12 Deep level transient spectrometry (after [1224]; reproduced by permission of Bio-
Rad Semiconductor Measurement Systems):
a — block diagram of DLTS spectrometer: 1 — sample cryostat; 2 — temperature controller;
3 — pulse generator and signal processing u n i t ; 4 — capacitance measuring u n i t ; 5 — recorder
(or computer interface);
b — typical DLTS spectra of n-type Schottky GaAs diode using two different (t2 — ίχ) values
(the inserted figure shows the capacitance transient).

254
P R O P E R T I E S O F CVD FILMS

DLTS, for example, in epi-Si [1225], GaAs [1226], GaP [1228], InP [1229,
1230], AlGaAs, β-Si: H, and Si3N4 [1233].
In certain cases (where the capacitance is too small), the conventional
capacitance DLTS is replaced by current DLTS measurements.

10.6.2.4 Resistivity or Carrier Concentration Profiling

The characteristics of electronic devices are determined by the nature of the


impurities introduced in a number of processing steps (e.g. epitaxial deposi-
tion, diffusion or ion implantation) as well as by the carrier concentration
and spatial distribution of the various regions. It is therefore often desirable
to measure the carrier concentration versus depth.
The major techniques for determining carrier concentration as a function
of depth are spreading resistance combined with bevelling or with succesive
layer removal from the sample by using a multiple chemical step-etching
process and capacitance-voltage measurements.
The electrochemical method of carrier concentration profiling [1196—
1206] relies upon the use of a suitably chosen electrolyte to both etch and form
a Schottky contact with the semiconductor. Applications of this method
include a wide range of materials and epitaxial structures. Typical examples
are GaAs, InP, GaAlAs, GalnAsP, GaP, GaAsP, InSb, CdTe, and Si as well
as examination of laser diode, FET and LED structures, p and n implants
in silicon, n/n + or p/p + epitaxial silicon.
Carrier concentration profiles in epitaxial structures (such as GaAs
multilayer structures) * are usually obtained from succesive van der Pauw-
Hall measurements employing stepwise or continuous removal of thin layers
by means of chemical etching [1210].

10.6.3 Electrical Properties of Dielectrics

Dielectrics are used for passivation and insulation of semiconductor devices


and integrated circuits. Passivation means electrical and chemical stabiliza-
tion of the semiconductor surface while insulation denotes isolation of the
semiconductor from another material (usually a metal), so as to prevent the
transfer of electric energy between them [1237—1240].
The main properties of dielectrics used for passivation and insulation are
the electrical properties of the semiconductor-dielectric interface [1241 —
1243] as well as the dielectric constant, the breakdown voltage, and the elec-
trical conductance.

10.6.3.1 Interfacial Electrical Properties

Interface electrical characterization of dielectric films is commonly derived


from the studies of capacitance-volt age (C-V) plots for a metal-insulator-
silicon (MIS) capacitor (Fig. 10.12) [1244—1247, 1266]. Depending on the pola-

255^
FUNDAMENTALS

rity and the magnitude of the voltage applied to the metal electrode of the
MIS capacitor, the accumulation, depletion and inversion of the majority
charge carrier in the silicon substrate will occur. Consequently, the capaci-
tance value of an MIS capacitor will vary from a maximum Cmax to a mini-
mum Cmin (Fig. 10.136).
The C-V plot for an ideal MIS capacitor can be calculated from a given
thickness of film, electrode area, resistivity of the substrate and capacitance
of the film.
However, in a real MIS capacitor, owing to the existence of fixed and
mobile charges in the insulator material, the C-V characteristic is shifted paral-
lel to the voltage axis. A comparison of the experimental characteristic curve
with the theoretical curve gives the voltage shift along the voltage axis, i.e.
the flat-band voltage VFB. The flat-band superficial charge (the amount of
charge removed in order to bring the surface and the bulk to the same poten-
tial) is defined by the relation:
JV F B = C /
' F F B
(10.32)
e
where NFB is the flat-band superficial charge, C7 is the capacitance per unit
area of dielectric film, VFB is the flat-band voltage, and e is the electron charge.
Plotting of the C-V characteristic curves has been achieved by means
of an arrangement which includes a 1 MHz oscillator, a voltage ramp, an am-
plifier, a detector and an x-y plotter (Fig. 10.13a).
Generally, in a CVD dielectric film (Si0 2 , Si3N4, A1203) there are the fol-
lowing fixed or mobile charges: fixed dielectric charge, interface trapped
charge, mobile ionic charge, radiation trapped charges, dipole-polarization
charge, and interface trapping charge (Fig. 10.14) [1248—1253].
,1 3

\5r+5V\ * V r|WA/2h
x ϊ

(a)
■s.
-y *v -v +v
n-type P-type
(b)
Fig. 10.13 Use of C-V plot for Fig. 10.14 Electric charges in a
measurement of interfacial electrical dielectric film deposited on a semi-
properties (by permission from SE- conductor substrate [89]:
MICONDUCTOR MEASUREMENT 1 — fixed dielectric charge; 2 —
AND INSTRUMENTATION by interface trapped charge; 3—mo-
W.R. Runyan, © 1975 McGraw-Hill): bile ionic charge; 4 — dielectric
a — experimental arrangement: 1 — trapped charge; 5—dipole charge;
sweep generator; 2 — MIS sample; 6 — interface trapping charge.
3 — capacitance meter; 4 — X-Y
recorder;
b — C-V plots for n- and p-type
semiconductor.

256
P R O P E R T I E S OF CVD FILMS

Fixed insulator charge Q{ is a positive, immobile and stable charge, inde-


pendent of Si surface potential (does not exchange charges with Si) which
resides close to the Si-insulator interface. This charge appearing especially
when the insulator is thermal Si0 2 (or CVD Si0 2 ) is due primarily to structu-
ral defects (ionized silicon) in the oxide layer less than 25 A from the Si—
Si0 2 interface which are induced by the oxidation process. Its density depends
on oxidation ambient, temperature and silicon orientation. For a CVD insu-
lator such as Si 3 N 4 or A1 2 0 3 , this charge normally does not exist, but it could
appear in conditions favouring nonstoichiometry of the dielectric at the inter-
face. For a composite dielectric structure such as Si 3 N 4 —Si0 2 —Si, the value
of Qf is similar to that observed for the Si0 2 —Si structure. This charge is
only measured after a low-temperature (~450°C) treatment in hydrogen,
which minimizes the interface trap density. The best technique for measuring
Qf is the C-V analysis of the MOS capacitor.
Control of fixed charge is very important as charge in the oxide affects,
for example, the threshold voltage (the voltage at which the device turns on)
of a MOSFET device.
The interface trapped charge Qit (also called surface states, fast states,
or interface states) is a positive or negative charge located at the Si-insulator
(Si—Si0 2 , Si—Si 3 N 4 ) interface, due to structural (uncompensated bond)
defects, metal impurities or other defects caused by bond breaking processes,
such as radiation. Unlike fixed charge or trapped charge, the interface trap-
ped charge is. in electrical communication with the underlying silicon and
can thus be charged or discharged depending on the surface potential. Most
of the interface trapped charges can be neutralized by low-temperature (450°C)
hydrogen annealing (for example, in Si0 2 —Si, Si 3 N 4 —Si and Si 3 N 4 —Si0 2 —Si
structures). Qit can be determined using the low frequency (quasi-static)
C-V technique [1254]. In general, the presence of interface trapped charges
which act as surface recombination centres degrades the electrical characte-
ristics of devices.
The mobile ionic charge Qm is primarily due to positive ionic impurities
such as Li + , Na + , K + , and possible H + in thermal and CVD Si0 2 . These char-
ges are of little significance in Si 3 N 4 or A1 2 0 3 layers because of the blocking
effect of these layers.
The presence of mobile ionic charge is very deleterious, since charge mo-
tion within the dielectric will cause a substantial change in device charac-
teristics as a function of time under operating conditions.
The best method of determining Qm is the I-V technique [1254—1256],
In addition, the mobile ion concentration can be determined by noting the
voltage shift of the measured C-V characteristic caused by bias-tempera-
ture stressing of the MIS structure:

Nm = Io^V_ (1033)

where iVm is the density of mobile ionic charge (number of charges per unit
area in cm*2 eV" 1 ), ε 0 is the permittivity of free space (8.85 X 10"12 C V" 1 m- 1 ),
ε< is the insulator dielectric constant, e is the electronic charge (1.6 x 10~19C),
and ti is the insulator thickness (in m ) .

257
FUNDAMENTALS

The mobile ion charge can be controlled by using ultra-clean processing


so as to avoid contamination in all process steps before, during, and after the
growth and deposition of dielectric films. There are certain ways of minimiz-
ing the ionic charge in dielectric structures such as Si02—Si, Si3N4—Si02—Si:
the growth and deposition of dielectric in the presence of HC1 or other com-
punds containing Cl~ ions which neutralize the positive alkali ions, the annea-
ling of structures in HC1—N2 gas mixtures, etc.
The insulator trapped charge Qlt (radiation-induced charge) may be posi-
tive or negative depending on holes or electrons trapped in the bulk of the
insulator and may result from ionizing radiation such as X-rays, electrons,
and neutrons. Unlike fixed insulator charge, insulator trapped charge is gene-
rally annealed out from the Si02—Si interface by low temperature (<500°C)
treatment in a nitrogen ambient. However, the annealing process is hindered
if the dielectric film is Si3N4 over Si0 2 ar Si. As for fixed insulator charge and
mobile ionic charge, the insulator trapped charge can be determined using
the high frequency capacitance-voltage (C-V) technique.
The dipole-charge Qd is due to the polarization and orientation of certain
dielectrics such as phosphosilicate glass (PSG) [1257—1260]. This effect has
been expected also to appear in Si3N4 films. It can be minimized by properly
controlling the PSG composition and thickness.
The interface trapping charge QIT is a positive or negative charge that
tunnels and is trapped across the interface of dielectric silicon. This charge
is mainly observed in the Si3N4—Si structure when applying a negative or
positive bias to the metal of an MIS structure. The interface trapping charge
causes a shift in C-V curve even at room temperature in a direction opposite
to that of polarization or ion migration. This type of instability can be reduc-
ed by using Si3N4—Si02—Si composite structures.
From the study of the electric charges associated with various dielectric-
silicon structures (e.g. Si3N4—Si, A1203—Si, Si3N4—Si02—Si, A1203—Si02—Si,
etc.) it turns out that Si3N4 and A1203 films can serve to passivate the surface
of silicon electrically, i.e. to maintain a constant potential difference between
the surface and the bulk. This potential difference changes because of various
charges present at or near the interface. The main drawbacks of MNS struc-
tures are a relatively high density of interface trapped charge (as compared with
thermal Si02) as welLas the existence of interface trapping charge and of
dipole charge, which preclude its use on a bare silicon substrate. In order
to avoid these hindrances, an MNOS or MAOS structure is preferred
(Fig. 10.15) because it exhibits good electrical stability (the thermal oxide
provides a low density of interface trapping charge, while the nitride blocks
the migration of mobile ionic charge). In addition, it has been demonstrated
that unannealed CVD Si0 2 , obtained from the C02—SiH4—N2 system in
the presence or absence of trace amounts of HC1 gas at 1000°C, has interfa-
cial properties similar to those of unannealed Si0 2 formed on Si by applying
the usual thermal oxidation procedure.
Interface characterization from the electrical viewpoint have been report-
ed for nearly all dielectric-semiconductor structures intended for electro-
nic applications, such as Si02—Si [1243], Si3N4—Si, A1203—Si, Si3N4—Si02—Si,
A1203— Si02—Si, Zr02—Si, ^>oZy-siloxane—GaAs, Si02—GaAs, and S i 0 2 -
InP[1243].

258
P R O P E R T I E S OF CVD FILI^S

Fig. 10.15 Typical C-V curves for an MNS


capacitor [89]:
A — theoretical curve; B, C — curves showing
hysteresis of an MNS capacitor; D, E — curves
for an MNS capacitor after a bias-temperature
stress t e s t ; F — curve for an MNOS capacitor.

-20 -15 -10 -5 0 5


Polarizing voltage VD(V)

10.6.3.2 Dielectric Constant

The dielectric constant is a material constant expressing the insulation ability


of CVD films. It can be estimated simply from the known relation:
e = Ctle0A (10.34)
where C is the capacitance, / is the layer thickness, A is the capacitor area,
and ε 0 is the dielectric permittivity of free space (8.8 X 1014 F cm - 1 ). If eis
known, then C can be measured and the thickness of a deposited film can
be estimated by computation.
For an MIOS capacitor, the equivalent dielectric thickness (tfeq) is compu-
ted from the relation

(10.35)

where t0 and ti are the thickness of Si0 2 and insulator (Si 3 N 4 or A1 2 0 3 ), and
ε 0 and e{ are the corresponding dielectric constants. The dielectric con-
stants of CVD films has been found to vary with the deposition method and
the process parameters (such as deposition temperature, reactant ratio,
deposition rate, film thickness). The dielectric constants of some CVD films
are as follows: s(Si0 2 ) = 3 . 5 - 4 . 0 , e(Si 3 N 4 ) = 6 - 9 , e(BN) = 4.4, ε(Α1203) =
7 . 2 - 9 . 6 , ε(Α1Ν) = 8 . 8 , ε(Α1α;02/Νζ) = 7.7, e(Ta 2 0 6 ) = 22, £ (Nb 2 0 5 ) == 11,
ε (Τί0 2 ) = 20—82, ε(polysiloxane) = 2.5.
Dielectric constants have also been measured by using ellipsometry for
both elemental and compound semiconducting films. It has been observed
that the dielectric constant of an elemental semiconductor such as Si or Ge

259
FUNDAMENTALS

is generally the square of its refractive index (n = 3.42, ε = 11.7 for Si and
n = 4.01, ε = 16.5 for Ge), while for III—V compounds ε is slightly larger
than n2 {n = 3.3, ε = 12.5 for GaAs; n = 12.9, ε = 10 for GaP; n =
3.1, e = l l f o r B P ) .

10.6.3.3 Dielectric Strength

The dielectric strength (breakdown voltage) constitutes another measure of


the insulating ability of a CVD film. The breakdown voltage is defined as
the voltage when conduction in a dielectric film is almost disruptive [1261 —
1263].
In order to determine the breakdown voltage of a single or composite
dielectric film such as Si3N4 or Si02—Si3N4, one has to construct an MIS capa-
citor, i.e. MNS orMNOS, respectively. The current flow through ail MIS
structure is usually measured by means of a microammeter and a high-vol-
tage source attached to a sampling device in order to apply a continuously
increasing voltage. The breakdown field in the nitride EKiov an oxide-nitride
composite layer is given by

where t0, £N are the oxide and nitride thicknesses; ε0, sNare the dielectric cons-
tants of the oxide and nitride; and V is the applied voltage. The breakdown
strength has been measured for many dielectric films, its value being of the
order 106 V/cm (e.g. FBD(Si02) = 3.5 - 3.8 X 106 V/cm; FBD(Si3N4) =
= 5 - 10 x 106 V/cm; F B D(A1 2 0 3 ) = 3 x 106 V/cm; FBD(Zr02) = 1 - 2 χ
XlO6 V/cm; FBD(Ta205) = FBD(Nb205) = 5 x 10* V/cm; FBD(polysiloxane) =
*= 3 - 7 x 106 V/cm; F BD (A1 2 0 3 -Ta 2 0 5 ) = 5 x 106 V/cm.
The breakdown strength generally increases with decreasing grain size
and deposition temperature and can be optimized by proper choice of the depo-
sition parameters in the case of A1N, A1203 and Al^CyM^ layers. For Si0 2
films prepared in the SiH4—C02—H2 system, as the deposition temperature
increases the breakdown voltage approaches that of the thermal oxide. Addi-
tionally, the destructive breakdown of Si0 2 films can be retarded by annea-
ling them in NH 3 gas this being explained by the fact that the Si3N4 formed
acts as a barrier against ion migration.

10.6.3.4 Current Transport

Current transport (electrical conductance) in dielectrics is usually investi-


gated using the current-voltage (I-V) characteristics of MIM structures
[1264—1267]. For most dielectric films it has been observed that at high fields
and moderate temperatures the I-V characteristic follows a relationship of
the type In I^V1!2, thereby indicating that the Poole-Frenkel current trans-
port mechanism is followed (Fig. 10.16a). However, three distinct regions in

260
P R O P E R T I E S OF CVD FILMS

TfC)
7 150 50 0 SO -V0 -%0
w- μ I l i i I
-6-i
Au -Si^N^ - Si
d= 1100 A

i
J J
=1 + J2 + J3
10-
~12-

13 —t 1 1 1 —

10 15 20 25 Q n e »o i Oeci
-70 β 0
V1l2(vorts)112 10 H
(a)

w —i
3
1
4
ι
5 6
1 1
7_-1 8
/ t , 1000IT (K )
(b )
Fig. 10.16 Conduction of silicon nitride films:
a - In I-Vl/2 plots for MOS, MNS and MNOS devices (after -Swaroop [1240)];
b — 7-1/T plot (after Sze [84]; reprcduced by permission of the publisher, Plenum Publishing
Corporation): J1 — Frenkel-Poole component; J2 — tunnelling component; Jz — ohmic com-
ponent ; J — total current density.

a current-temperature (7-1/ T) plot for silicon nitride films have been notic-
ed (Fig. 10.166). Therefore, instead of simple Poole-Frenkel mechanism
it has been proposed that the mechanism of current transport has three com-
ponents appearing when decreasing the measurement temperature in the
following order: (a) a Poole-Frenkel mechanism that is a field-enhanced ther-
mal excitation of electrons from shallow t r a p s ; (b) thermal hopping of exci-
ted electrons, indicating an ohmic-type I-V relationship; and (c) field ioni-
zation of trapped electrons. The electrical resistivity (p) of dielectric films has
typical values in the range 1 χ 1014 — 1 χ 1015 Ω cm.
In addition to the d.c. conductivity discussed above, the a.c. conductivity
(σ) has also been measured for most dielectric films. It is related to the loss
tangent (tan δ), which is another important parameter in characterizing the
properties of a film, by the following relationship:
σ = 2TzfCp{ljA) tan δ (10.37)
where / is the measuring frequency and C p , /, A are the film capacitance,
thickness and area, respectively. Some representative values for tan δ are
the following: tan 8 (Ti0 2 ) = 8 x 10"3 - 3 χ 10~2 (1kHz); tan S(Si 3 N 4 ) =
10-3 - 3 x 10-2(0.1 - 100 k H z ) ; tan δ (A1203) = 3 x 10~3 - 2.5 x 10~2
( 0 . 1 - 1 0 0 k H z ) ; tan δ (polystyrene) = 10"3 (1 kHz).
An important aspect of conduction in very thin dielectric films, namely
the trapping of hot carriers (e.g. electrons) has been investigated for CVD
PSG/Si0 2 composites [1267].

261
FUNDAMENTALS

10.6.4 Thin Film Interaction with Nuclear Radiation

Irradiation of CVD films (especially semiconductors or dielectrics) by high


energy radiation such as X- and gamma-rays and particles (neutrons, elec-
trons, protons, and heavier ions) produces a variety of damaging effects to
both the film itself and devices made from it [1268—1274]. When a CVD semi-
conductor or dielectric film is exposed to energetic radiation, large amounts
of energy are transferred from the incident radiation to the atoms of the lat-
tice. Depending upon the nature and energy of the incident radiation, this
energy transfer occurs in two ways. A small part of energy is directly trans-
ferred to the atoms and produces an atomic displacement. Since this damage
is lasting at room temperature, it is usually referred to as permanent radiation
damage. However, this damage can be removed by thermal treatment (annea-
ling). The remainder and largest fraction of the energy is transferred to the
electrons of the atoms, thereby creating a transitory excitation or ioniza-
tion which disappears following irradiation. This excitation results in bond
breaking and the generation of free electrons and holes. This is usually refer-
red to as the effect of transient ionizing radiation.
These two effects are somewhat different when the CVD film is a semi-
conductor or an insulator. For a crystalline semiconductor film (such as Si,
GaAs), the atomic displacement consists of vacancy-interstitial pairs
(Frenkel defects). These defects, in turn, introduce deep electrical levels
(trapping centres) into the semiconductor film, thus increasing the resistivity
(owing to majority carrier removal) and decreasing the carrier mobility and
lifetime. The transient ionizing radiation· effect generally involves the gene-
ration of hole-electron pairs in excess. For an amorphous dielectric (for
example Si0 2 ), during the atomic displacement the track of a displaced
network atom contains many broken bonds, resulting in numerous liberated
electrons and holes. Most of electrons and holes recombine or become trapped
at the damage sites. However, because of greater mobility, more electrons
diffuse away, leaving a net concentration of trapped holes. In a dielectric,
there are primary and secondary ionization processes, as well. During the
primary ionization process, the ionizing radiation transfer energy to the di-
electric network by exciting bonding electrons, breaking molecular bonds and
creating free electrons and holes. Again, trapped holes are finally obtained.
During the secondary ionization process, the ionization of network defects
occurring near the dielectric semiconductor interface also results in the crea-
tion of trapped holes. It follows that there is a fixed positive charge generated
in the insulator that consists of trapped holes, positive interface trapped charge,
as well as, in the bulk of the dielectric, many neutral traps created by the
interaction of the radiation and the insulator network, some of which are
populated with electrons. Thus, the radiation-induced trapped charge is
composed of positive trapped holes, interface trapped charge, and negative
trapped electrons.
The fixed positive charge can be eliminated by thermal annealing at
150°C—500°C, while the positive interface trapped charge can be removed
by annealing at 400—500°C in nitrogen or forming gas. The negative trapped
electrons are eliminated by annealing at higher temperatures ( > 600°C).

262
P R O P E R T I E S OF CVD FILMS

Annealing of positive charge results from neutralization or compensation


by trapping electrons.
The effects and use of energetic radiation in electronic materials as
well as radiation hardness assurance have been investigated in numerous
articles. Radiation effects on devices have also been intensively studied.
Radiation effects have been reported in many semiconducting films
such as epitaxial silicon in transistors (subjected to γ-radiation) [1275]; epi-
taxial n-type GaAs (n and electron beams or γ-radiation) [1276]; epitaxial
GaAs and InP (bombarded with protons or deuterons) [1297, 1298]; laser
annealed Si on sapphire (Co60 γ-radiation) [1277]; laser recrystallized SOI in
MOSFETs (y) [1278]; P-doped poly-Si (γ) [1279]; SOS (γ); and others.
Permanent radiation damage has been found to be dependent on the
total dose of ionizing radiation and can be annealed at temperatures higher
than 300°C. Laser annealing of ion-implanted poly-Si is useful to improve
its radiation hardness.
Similar investigations have been performed on dielectric films such as
Si0 2 in MOSFETs (ionised by heavy charged particles — alpha particles
and protons) [1280]; doped Si0 2 in optical waveguides (irradiated by Co60,
X-rays and neutrons [1281]; Si3N4 (subjected to electron and γ-irradiations)
[1282-1287]; Si 3 N 4 -Si0 2 (irradiated by γ-rays) [1288]; SiON on InSb in
MIS devices (subjected to ionizing radiation); Si^N^t^ and PSG in metal
gate CMOS ICs (plasma and sputter process effects); and A1203 in MAOS
devices (γ-irradiated) ([1289, 1290]. The APCVD Si3N4 passivation layer
increases the particle and radiation stability due to the fact that it acts as a
getter for ionic impurity, preventing additional contamination from reaching
the oxide-Si interface. On the contrary , PECVD-Si3N4 passivant leads to a
degradation of radiation hardness due to the generation of charge surface
states at the Si—Si0 2 interface. For A1203 films, the radiation hardness in
MOS devices is better than that given by Si0 2 and Si3N4 probably connected
with the particular defect structure of A1203.
Assurance of radiation hardness [1291 — 1296] is important for both
applications in space and weapon radiations environments and for preventing
the deleterious effects of various radiative fabrication processes such as X-ray
or electron beam lithography, ion implantation, sputter deposition, RIE,
plasma processing, etc. Advanced radiation-hardening technologies leading
to radiation-hardened bipolar and MOS devices and ICs have-been deve-
loped to improve technologies such as ECL, TTL, PL, NMOS, CMOS, CMOS/
SOS, MNOS, MNOS/SOS, CCD. Hardness assurance includes, e.g. n/n +
epi starting material, layers of polysilicon, silicon dioxide, and silicon nitride,
local oxidation processing (in CMOS/LSI technology) [1295], nitride passiva-
tion (in bipolar transistors and ICs as well as MESFET devices and circuits),
poly-Si thick film substrate and Si0 2 dielectric isolation (in TTL techno-
logy) [1293] and refractory metals (W) and silicide (WSi2) (radiation-hardened
circuits in refractory gate technology) [1296]. Degradation of radiation hard-
ness has been observed in metal gate CMOS ICs passivated with plasma-
deposited Si3N4 [1284].
Reported applications of radiation effects in CVD films are as follows:
obtaining semi-insulating layers from undoped epitaxial n-type VPE GaP
and n- or p-type GaAs via compensation by bombarding with protons or

263
FUNDAMENTALS

other energetic light ions (deuterons )[1297, 1298]; radiation dosimeters based
on MNOS or MOS devices which undergo a shift in flat band voltage when
exposed to high energy radiation [1299, 1300]; and neutron transmutation
doping of bulk and epitaxial silicon [1301] based on nuclear conversion of Si
atoms into P dopant atoms by exposing tmdoped Si crystals to a suitable flux
of thermal neutrons inside a nuclear reactor according to the following reaction
of the 30Si isotope ( 3 % in Si material):
30
Si (n, γ) > 31 Si > 31
P + β- (10.38)

10.7 Magnetic Properties


The magnetic properties of films and a comparison between film and bulk
magnetic properties are of scientific interest as well as of practical impor-
tance in device applications.

10.7.1 Hall Effect in Semiconductors

Hall measurements are widely used to measure the carrier concentration


and carrier mobility of semiconductor films [648].
The Hall effect consists of the generation of an electric field when a
magnetic field is applied perpendicular to current flow in a film. This elec-
tric field is directly proportional to the current density and magnetic induction:

£H = ^ - (10.39)

VH = ^ - (10.40)
t
where EE is the generated electric field, VK is the generated Hall voltage,
I is the current through the sample, B is the magnetic induction, A is the
film cross section, t is the film thickness, and i? H is the Hall constant.
Fig. 10.17 gives a schematic representation of the Hall effect and two
typical geometries of a Hall sample obtained by etching the semiconductor
film (bar and clover leaf configurations).
From the measured electrical data and the known film thickness, the
Hall constant i? H , the carrier type and concentration (n, p), the conductance
(resistivity p) of the sample and the Hall carrier (electron or hole) mobility
μΗ can be calculated using the following relationships (w and I are the sample
width and length, respectively):

*H = ~ (10.41)
V
I-B '
ÄH = — < 0 , 2?H=J->0 (10.42)
ne pe
(n-type carrier) (p-type carrier)

264
PROPER! IES OF CVD FILMS

Fig. 10.17 The Hall effect:


a — schematic representation of electric and
-type
magnetic vectors associated with the Hall sample
effect;
b — typical geometry of a Hall sample obtained (a)
by etching (rectangular bridge configuration);
c — Hall sample of arbitrary shape with ran-
domly placed contacts used in the van der
Pauw method (clover leaf configuration).

ib)

(c)

1 RK
//, p n, p = or p = — ^ (10.43)
RHe
P · μΗ ' e μΗ
R-t-w
(10.44)
I

ÄHI (10.45)
μΗ =■

1/or measuring CVD semiconducting films, the van der P a u w - H a l


technique is also widely used. This technique uses an irregularly shaped
sample with four contacts on the periphery of the sample instead of a rectan-
gular bridge sample. First, the resistivity is found by injecting a current IB
through two adjacent contacts and measuring the voltage Vs produced at
the outer two contacts. The ratio VJIS defines a resistance R'. The resistivity
is then found from the formula:
p = (7rf/ln 2) R' (10.46)
where t is the thickness of the sample.
The Hall signal is then observed by applying a current between two
diagonally opposite contacts and -measuring the change in voltage between
two other contacts when the magnetic field is applied.

265
FUNDAMENTALS

This signal is often expressed as resistance R, i.e. R equals the Hall


voltage developed across the sample divided by the current i*s flowing through
the sample. The Hall mobility is then given by the formula:

(,0 47)
*-lfc'T, ·
Combining equations (10.46) and (10.47), we find the mobility to be:
μΗ = (In 2)R\ITZBR (10.48)
Therefore, the van der Pauw technique allows the resistivity, the Hall
carrier mobility as well as the carrier concentration (n, p = l/i? H · e = 1/ρμΗ^)
to be determined.
The Hall mobility as well as the carrier concentration have been measur-
ed for all homoepitaxial, heteroepitaxial, polycrystalline and amorphous
semiconducting layers (Table 10.5). Hall mobility often depends on both
deposition method and process parameters (especially the deposition tempe-
rature, doping level and film thickness). Moreover, it varies with the semi-
conductor composition and measurement temperature.
For example, for an alloy semiconductor composed of one direct and one
indirect component, such as GaAs^P^., μΗ is given by:

μΗ = ^ 1 ± ^ 1 (10.49)

where ηλ and n2 are the carrier densities and μχ and μ2 are the mobilities in
the direct and indirect conduction bands, respectively. The Hall mobility
of a composite semiconductor (e.g. consisting of a graded and a constant
composition layer such as two GaAs^P^. layers in an LED) is:

μΗ = * ^ + n A - (10.50)
%lVi + ^2μ2*2
where ix and t2 are the corresponding layer thicknesses.
The change in μΗ with measurement temperature for polycrystalline
and amorphous semiconductor layers (e.g. Sn02) is usually given by the
relations:
μ = ΑΤ-1'2 exp {-EJkT) (10.51)
and
μ = μ0 exp (-EJkT) (10.52)
1/2
From plots of μΤ or μ as a function of T the barrier heights to current
flow Ex and E2, respectively, are determined.
The Hall mobility is considered to be one of the best structural quality
factors, thus helping in the optimization of the preparative procedures of
many CVD semiconducting layers (e.g. heteroepitaxial silicon, GaAs^P^.,
polycrystalline Si, InP, AlAs, amorphous Si, etc.). It can also serve as evi-
dence for the purity of the above mentioned layers. The Hall mobilities for
several semiconducting films are included in Table 10.2.

266
P R O P E R T I E S OF CVD FILMS

10.7.2 Properties of Superconducting Films

Superconductivity is a phenomenon that occurs in certain compounds, alloys,


or metals when they are cooled to a temperature close to absolute zero. At
temperatures below a critical transition temperature ,TC, the electrical resis-
tance of the material becomes vanishingly small and the material behaves
as a perfect conductor.
The material also exhibits perfect diamagnetism in weak magnetic
fields, i.e. the field inside the material is zero. If the value of the applied
magnetic field rises to a value greater than a critical value, Hc, the super-
conductivity is destroyed. The value of the transition magnetic field Hc is a
function of the temperature of the material and its nature. A superconducting
current in the material itself can produce an associated magnetic field greater
than the critical value; there is, therefore, an upper limit to the current
density / c t h a t may be sustained by a material in the superconducting state.
The relatively high transition temperature and high critical field of certain
alloys such as Nb3Sn allows their use in superconducting magnets.
The main properties of a superconducting film — the critical transition
temperature Tc, the critical field Hc, and the critical current density Jc — can
be measured by using the four-probe resistive method as well as other
methods.
Superconducting properties have been investigated to date, mainly
for CVD films such as Nb3Sn, Nb3Ge, and Nb3Si. These properties can be
improved by means of several procedures, e.g. by changing the substrate
type or by adding some alloying elements and doping gases.

10.7.3 Properties of Magnetic Bubble Films

Magnetic bubbles are microscopic cylindrical domains of magnetic polariza-


tion found in magnetic thin films (e.g. in an epitaxial layer of magnetic garnet,
such as yttrium iron garnet (YIG) deposited on a non-magnetic garnet sub-
strate such as gadolinium gallium garnet (G3)). In the presence of a sufficiently
large steady magnetic field applied perpendicular to the surface, the magnetic
polarization tends to be in one direction. Any small domains of opposite
polarity which can be produced (or destroyed) by local fluctuations in the
magnetic flux density are stable (Fig. 10.18).
Magnetic bubbles are usually moved through the surface by means
of a periodic structure of suitably shaped magnetic electrodes (formed from

Fig. 10.18 Domain p a t t e r n of a magnetic bubble


film [1302]:
a—no external magnetic field (stripe domain p a t t e r n ) ;
b — large external magnetic field (bubble domain
pattern). F r o m "Magnetic Bubbles", by Andrew
H. Bobeck and H. E. D. Scovil. Copyright (§) 1971
by Scientific American, Inc. All rights reserved.

267
FUNDAMENTALS

permalloy) having an alternating polarity caused by a weaker rotating mag-


netic field parallel to the surface.
The magnetic properties of bubble films can be divided into static
(saturation magnetization 4πΜ 8 ; demagnetizing energy 2πΜ|, exchange
constant A, uniaxial anisotropy constant Ku) and dynamic (velocity of a
magnetic bubble) properties. Knowledge and optimization of the magnetic
properties is important in selecting an optimum bubble material.
In order to obtain stable bubbles the uniaxial anisotropy energy must
be larger than the demagnetizing energy. The uniaxial magnetic anisotropy
is of two kinds: the intrinsic uniaxial anisotropy, which is determined by the
crystalline structure, and the uniaxial anisotropy induced either in the growth
process or by the mechanical stress due to the differences between the sub-
strate and layer lattice constants. The bubble stability is thus determined by
the ratio of the anisotropy energy to the demagnetizing energy, which is
called the quality factor of the film, Q:
Q = Kul2nM*> 1 (10.53)
The optimum (stable) bubble diameter is:
( 2 \V2
-) (AQ)V-
i
(10.54)

The maximum bubble propagation velocity VM is given by:

F M = - ^ - (10.55)

where h is the film thickness and γ is the gyromagnetic ratio.


Magnetic properties of CVD bubble films such as Y 3 Fe 5 0 12 and R 3 Fe 5 0 12
(R is a rare earth) have to be optimised—usually by modifying process para-
meters so as to obtain high film structural quality, surface smoothness, and
thickness uniformity.

10.8 Chemical Properties

This section reviews three principal chemical properties of CVD films and
their substrates which play a central role in the fabrication of semiconductor
devices, i.e. etching, diffusion and oxidation.
Etching means erosion of selective portions of a film or substrate surface
using various corrosive agents in order to produce the desired pattern on
the surface. Depending on the type of corrosive agent (reactive chemicals,
non-reactive ions, or both), the etching may be classified into three main
categories: chemical etching (subdivided into wet and gaseous chemical etching
and plasma etching); physical etching (sputter and ion etching); and chemi-
cal-physical etching (reactive ion and sputter etching). Recent alternative
etching techniques (such as laser chemical and electrochemical etching and
laser-assisted dry etching) also fall into the chemical etching category. Another

268
P R O P E R T I E S OF CVD FILMS

classification divides etching into wet etching (solution etching, laser chemical
etching and electrochemical etching) and dry etching (plasma, reactive ion
sputter, ion, and laser etching).
Diffusion is the process of introducing selected impurity atoms into
designated areas of a semiconductor substrate or film in order to modify
the electrical properties of that area. This process can be considered as essen-
tially a chemical process because, independently of the conventional impu-
rity source type, it involves the reduction of the oxide of a dopant by the
semiconductor substrate of film at the oxide-semiconductor interface.
An alternative process to diffusion called ion implantation (the technique
of implanting ions into the lattice of a semiconductor substrate of film by
bombarding the surface with ions, under controlled conditions) will shortly be
discussed for comparison.
Oxidation is the chemical reaction in which a thin portion of the surface
of a film or substrate is converted to oxide. Oxides (such as silicon dioxide)
are the most widely used insulating, masking and/or passivating materials
in the construction of electronic devices fabricated in silicon or other semi-
conductors. This process may be performed mainly chemically (thermal oxida-
tion) or electrochemically (solution and gaseous anodization).

10.8.1 Chemical Etching

Chemical etching is one of the most used technique in present-day semicon-


ductor technology. Indeed, this process is used in almost every fabrication
step of a semiconductor device (substrate surface preparation, substrate
shaping, thin film patterning, etc.). It is also extensively used in the structural
and compositional characterization of crystalline or amorphous semiconduct-
ing, amorphous dielectric, metallic, magnetic and superconducting thin films
as well as of single crystal slices.
Chemical etching consists mainly of wet (solution) chemical and electro-
lytic etching. In addition to these processes, gas-phase etching and molten
salt etching are included. Laser chemical and electrochemical etching have
also been developed recently.
In this section, the types of chemical etching relevant to the preparation
and utilization of CVD thin films, i.e. substrate and film chemical etching,
will be dealt with. Chemical etching used for structural examination (the
detection of lattice defects in crystalline semiconducting films, the delinea-
tion of diffused or epitaxial layers and p-n junctions, the determination
of crystal orientation and polarity, and substrate thinning) and for analytical
examinations (the study of distribution of localized impurities and the deter-
mination of composition) has already been presented in Chapters 8 and 9.
The chemical and electrolytic etching of semiconductors, insulators,
and conductors in solution and the gas phase, as related to solid-state techno-
logy, has previously been surveyed in some excellent comprehensive reviews
and book chapters [1303—1321, 2181].
A broad outline of chemical etching including tables, with references, of
etchants and etching conditions for all inorganic materials, has been presented

269
FUNDAMENTALS

by Kern and Deckert [1303]. Chemical etching used in the structural charac-
terization of materials has already been reviewed by Runyan [1309], Miller
and Rozgonyi [800], and Sharma and Purohit [3519].
Accordingly, the aim of this section is to give only a brief outline of
chemical etching, including some recent developments.
The principles, techniques and processes of etching will be presented
first. Secondly, pattern delineation etching for thin films will be covered.
Thirdly, the chemical etching of various semiconducting, insulating, and
conducting thin films and substrates will be examined in some detail [1329—
1624].

10.8.1.1 Chemical Etching Reactions

Chemical etching may occur by the following types of reaction:


(1) oxidation-reduction (redox); (2) electrochemical; (3) complexation; (4) ex-
change; or (5) gas phase.
Oxidation-reduction etching reaction. This involves conversion of the
material being etched to a soluble higher oxidation state. Some examples
of this reaction type are the following.
{a) Etching of Si in H N 0 3 - H F - H 2 0 mixtures [1334-1336], which
occurs according to the overall etching reaction:
3Si -f- 4HN0 3 + 18HF = 3H2SiF6 + 4NO + 8H 2 0 (10.56)
It is supposed that this reaction takes place in two steps, i.e. the oxidation
of silicon by nitric acid followed by the reaction of the resulting silicon dioxide
with HF forming a water-soluble compound:
3Si + 4HN0 3 = 3Si0 2 + 4NO + 2H 2 0 (10.57)
Si0 2 + 6HF = H 2 SiF 6 + 2H 2 0 (10.58)
(b) Etching of Si in an aqueous solution containing copper and fluoride
ions [1326, 1327]:
Sio+2Cu(N0 3 ) 2 +6NH 4 F ► (NH4)2SiF6 + 4NH3 + 2Cu° + 4 H N 0 3 (10.59)
In this process, Si is oxidized to Si4+ and Cu2+ ions ar<e reduced by Si to
metallic Cu.
(c) Etching of Si in aqueous NaOH [874, 1309, 1381] or KOH [1329,
1331, 1333, 1363, 1373-1375, 1380, 1382, 1383] sclutions:
Si + 4NaOH ► Na 4 Si0 4 + 2H2 (g) (10.60)
(d) Etching of tungsten films in alkaline potassium ferricyanide, alkaline
ammonium persulphate, alkaline hydrogen peroxide and nixtures of con-
centrated nitric acid and hydrofluoric acid [4507]:
W + 6K3Fe(CN)6 + 8KOH > K 2 W0 4 + 6K4Fe(CN)e + 4H 2 0 (10.61)
W + 3(NH4)2 S 2 0 8 + 8KOH ► 3(NH4)2 S0 4 + K 2 W0 4 +
3K 2 S0 4 + 4H 2 0 (10.62)
W + 2NaOH + 3H 2 0 2 > Na 2 W0 4 + 4H 2 0 (10.63)
2W + 4HN0 3 + 10HF > WF 6 + WOF 4 + 4NO + 7H 2 0 (10.64)

270
P R O P E R T I E S OF CVD FILMS

Electrochemical etching reaction. This is a particular case of oxidation-


reduction, i.e. a redox reaction carried out in an electrochemical cell in which
the material to be etched is the anode. Thus, an electrochemical disolution reac-
tion is an anodic oxidation (anodization) followed by oxide stripping.
Examples of this reaction are the anodization of Si in aqueous or non-
aqueous solutions (e.g. KN0 3 in ethylene glycol), the anodization of GaAs
in an electrolyte containing propylene glycol, tartaric acid, and ammoniar
the anodization of InP in an electrolyte consisting of H 3 P0 4 or HCl solutions,
and others [108, 1303, 1318].
The overall reaction can be represented as follows:
Si + 202- + 4e+ ► Si0 2 (10.65)
2 +
2GaAs + 60 " + 12e ► Ga 2 0 3 + As 2 0 3 (10.66)
2 +
2InP + 80 - + 16e > ln 2 0 3 + P 2 0 5 (10.67)
Complexation reactions. These are also related to redox reactions. Reac-
tion of the insoluble etched species with a complexant results in the formation
of a complex ion or molecule that is readily soluble in the etchant medium-
An example illustrating this reaction is the etching of silicon in ethylene-
diamine-pyrocatechol-water solutions [1329, 1330, 1346, 1370, 1371, 1378].
The overall reaction
Si + 2NH2(CH2)2NH2 + 3C6H4(OH)2 —>
2NH2(CH2)2 NH3+ + Si(C6H402)l- + 2H2 (10.68)
is supposed to consist of an oxidation stage involving Si, ethylenediamine
and water and a chelating stage involving Si(OH)|" and pyrocatechol t c
form [Si(C6H402)3]2~ which is, in turn, soluble in ethylenediamine:
Si + NH2(CH2)2 NH 2 + H 2 0 — >
Si(OH)r + (NHa) (CH2)2 ΝΗ3+, (10.69)
Si(OH)§- + CeH4(OH2) — > Si(C6H402)§- + H 2 0 (10.70)
Another example is the etching of Ge in etchants composed of H 2 0 2 —
H 2 0 — complexing agents (HCl. HF, etc.) [1413-1416, 1419]. The overall
reaction
Ge -;- 2H 2 0 2 + 2HCI +=* GeOCl2(aq.) + 3H 2 0 (10.71)
occurs by a two-step mechanism, an oxidation step resulting in the formation
of metagermanic acid which then reacts with the complexant (HCl) to form
the soluble germanium oxychloride species as the final reaction product:
Ge + 2H 2 0 2 « = * H 2 Ge0 3 + H 2 0 (10.72)
H 2 Ge0 3 + 2HC1 = GeOCl2 + 2H 2 0 (10.73)

271
FUNDAMENTALS

Exchange reactions. These can arise in the etching of dielectric compound


films such as Si3N4 [89]:
Si3N4 + 18HF = H 2 SiF 6 + 2(NH4)2 SiF6 (10.74)
3Si3N4 + 27H 2 0 + 4H 3 P0 4 = 4(NH4)3 P 0 4 + 9H 2 Si0 3 (10.75)
Gas-phase reactions. These are usually high temperature reactions which
involve either the vaporization of the material being etched in a vacuum or a
reducing/inert atmosphere or the reaction of gaseous etchants with the surface
to produce volatile products.
For example:
— the etching of Si in a hydrogen atmopshere at elevated temperature
takes place by being oxidized in the presence of its native oxide (Si02) to
form the volatile SiO:
Si + Si0 2 «=± 2SiO (g) (10.76)
— the etching of Si by water vapour or gases such as H 2 0 [1405],
HC1[1398-1402, 2402], H2S[1405], and SF6[1396, 1397]:
Si + H 2 0 <=> SiO + H 2 (10.77)
Si + 2HC1 «=± SiCl2 + H 2 (10.78)
Si + H2S «=± SiS2 + H 2 (10.79)
4Si + SF6 «=± SiS2 + 3SiF4 (10.80)
— the etching of GaAs in HC1 containing ambients [1494—1499]:
GaAs + HC1 <=± GaCl + l/4As4 + 1/2H2 (10.81)
— the etching of BP in gaseous HC1 [1438]:
BP + 3HC1 = BC13 + 1/2P2 + 3/2H2 (10.82)
— the etching of sapphire in dichlorodifluoromethane [3397]:
A1203 + 3CC12F2 = 2A1F3 + 3CO + 3C12 (10.83)
— in-situ gas etching of InP substrate with PC13 -f- H 2 [1533] and of
GaAs substrate with AsCl3 + H 2 which occurs by in-situ formed HC1:
InP + 2HC1 = InCl3 + 1/2P2 + 3/2H2 (10.84)

10.8.1.2 Etching Reaction Kinetics

An etching reaction is developed as a process involving several successive


stages. The observed etching reaction kinetics depend upon the type of the
rate-determining step of the process. The rate of the process can be determined
either by the chemical reactivity of the species involved or by the transport
speed of react ant supply to the etched surface. The process is called chemical
reaction-rate limited or diffusion limited, respectively.

272
P R O P E R T I E S OF CVD FILMS

The rate-limiting process can be determined by the temperature and


the etchant component concentration. Thus, at low etchant concentration
and/or low etching temperature, the reaction is diffusion limited, while at
high etchant concentration and/or high temperature the reaction becomes
activation limited.
Diffusion-limited processes are less sensitive to the nature of the mate-
rial being etched and temperature variations (lower activation energies),
but are very sensitive to agitation of the solution. On the contrary, reaction-
limited processes are strongly dependent on temperature and material type,
and are independent of agitation.
There are also other additional factors affecting etching kinetics such
as reactant adsorption, reactant product desorption, the presence of impurities
in the etchant solution, the presence of catalytic species as well as the nature,
crystal orientation, doping atom type and concentration, lattice defects and
the surface structure of the material to be etched.
Etch rates of a sample are readily obtained by measuring the film thick-
ness and its dissolution time. The dissolution time and end point can be accu-
rately determined, for example, in the c?se of dielectric films by observing
the change from a hydrophilic dielectric surface to a hydrophobic semiconduc-
tor surface in the presence of hydrofluoric acid-containing etchants [1568].
Etch rate determinations are primarily used in the study of the chemical
kinetics of the material dissolution process. For a reaction-limited process,
the activation energy for the reaction is determined by measuring the disso-
lution rate as a function of temperature. Etch rate data can also be applied
to determine density, stress, stoichiometry, thickness and composition of the
film material by using calibration curves.
The reaction mechanism of gas-phase etching has only been studied in
the case of HC1—H2 etched silicon [1322], by assuming the following reaction
scheme, based on four main stages (the reaction at the step, surface diffusion
of SiCl2 away from the step, desorption and diffusion of the reaction product
(SiCl2) through a stagnant layer):

2HC1 + Sistep ; ö (SiCl2)step + H 2 (10.85)


*-l
ko
SiCl2 + * surface < > (SiClg)surface + * Step (10.86)
*-2

(SiCl2)SUrface +=± SiCl2(g) + * surf ace (10.87)


*-*
SiCl2(g) T - ^ U SiCl2 (bulk gas) (10.88)

in which * surface and * step denote sites at the surface and steps, respectively.
The expression found for the etch rate of a smooth or a pitted surface
shows a PHci/i)H2 dependence which is confirmed experimentally.

273
FUNDAMENTALS

10.8.1.3 Techniques and Equipment

There are two main etching techniques, namely immersion etching and spray
etching [1303] . In immersion etching, the unmasked or masked material is
sunk in the agitated etch solution which is maintained at the required reaction
temperature, while in the spray etching it is acted on by droplets of etch
solution.
The first technique is the simpler and the most widely used. The second
technique may be used to advantage for pattern delineation in thicker films
or substrates owing to its increased etch rate, uniformity, and directionality.
An immersion etch set-up (Fig. 10.19) consists of a quartz or polyethylene
etched bath containing the etchant solution, a sample support, an external
heater, a (magnetic) stirrer, a thermometer or thermocouple, a reflux column,
and lastly an automatic apparatus for sample movement in and out of the
etch solution [1370].
Spray etching machines can be automated and are commercially available
for many specific applications.

10.8.1.4 Chemical Etching Processes

Etching processes may be classified as chemical-mechanical polishing, iso-


tropic liquid etching, anisotropic liquid etching, selective etching, electroche-
mical etching, and gas-phase etching [1318].
Mechanical-chemical polishing. This combines slow chemical etching
with continuous mild mechanical removal of etching by-products in order to
obtain a defect-free (polished) semiconductor substrate surface (Si, Ge, GaAs,
sapphire, GGG) or layer surface (A1N, GaN, ZnO) [1323-1328].
This process employs a polishing machine containing a polishing pad
soaked with the etchant solution, such as colloidal Si02—NaOH [1323—1325,
1328] or copper nitrate-ammonium fluoride [1326—1328] solutions used
for obtaining flat and specular Si substrate surfaces. Si is dissolved owing
to the formation of soluble compounds (see reaction (10.60)). The polishing

= : ^ to Fig. 10.19 Schematic representation of typical immersion


etch set-up:
1 — glass or quartz etch bath (boiler); 2 — reflux column
(condenser tower); 3 — heater; 4 — etch solution; 5 —
teflon or glass wafer carrier; 6 — wafers; 7 — magnetic
stirrer; 8 — thermometer; 9 — nitrogen flow for stirring;
10 — cooling water.

274
P R O P E R T I E S O F CVD F I L M S

rate depends on composition, pH, temperature of the etching suspension,


the pad material and pressure, and dopant type and concentration of the Si.
The same process can also be used for polishing sapphire wafers, but in
this case the reaction is A1203 + 2Si0 2 + 2H 2 0 -► Al2Si207 + 2H 2 0.
The polishing of films by silica sol has been used to obtain smooth
optical quality surfaces of AIN and other heteroepitaxial films [5165]. Surface
finishing of an etched substrate or film can be characterized by a variety of
methods, such as surface microscopy, TEM, XRT, LEED, low angle electron
diffraction, surface profilometry, surface microhardness, stress measurement
in a deposited layer, IR reflection, etch rate constancy, and the Hall mo-
bility (of deposited Si layers). These methods have already been used for
sapphire and are applicable to other substrates and films.
Isotropie process. Isotropie etching (non-preferential etching) means an
etching process that proceeds at an equal rate in all directions. Generally,
liquid and gas-phase etching of semiconductors or amorphous materials is
non-preferential. Typical examples of isotropic etching solutions are the
following: H F - H N 0 3 - H 2 0 [1334, 1335], HF-HN0 3 -CH 3 COOH [1334,
1335], C H 3 C O O H - H N 0 3 - H F - I 2 [1337], etc. (for silicon); H N 0 3 - H F -
CH3COOH [1305], H 2 0 2 - H F - H 2 0 [1419], H 2 0 2 - N a O H - H 2 0 [1305],
H 2 0-NaOCl [1420] (for germanium); Br 2 -CH 3 OH [1443, 1485, 1513],
N a O H - H 2 0 2 [1467, 1468], H 2 S 0 4 - H 2 0 2 - H 2 0 [1467, 1510], N H 4 O H -
H 2 0 2 - H 2 0 [1469, 1487], H N 0 3 - H 2 0 - H F [1481] (for GaAs); B r 2 -
CH3OH [1316, 1443], H C 1 - H 2 0 - H N 0 3 [1446], CH 3 0H-C1 2 [1443],
C1 2 -H 2 0 [1454], K 3 Fe(CN) 6 -KOH [1453], B r 2 - H 3 P 0 4 - C H 3 O H [1451,
1452] (for GaP).
Isotropic chemical etching is the most widely used etching process for
both substrates and thin films, for substrate and film polishing, for substrate
and film patterning, for slice thinning and for film removal.
Anisotropie etching (preferential etching, orientation-dependent etching).
This denotes that etching proceeds at different etch rates as a function of the
crystallographic directions of a semiconductor crystalline material [1329,
1330]. This phenomenon is understood by assuming that the etching rate increa-
ses with the density of available free surface bonds which is higher for some
crystallographic directions. The anisotropic process is found to depend on
the orientation of the material and the etchant type used. It can be obtained
only for crystalline semiconductors etched either in solution or in the gas phase
using only certain etchants.
Examples of anisotropic etching solutions which also contain an oxi-
dant (H 2 0 in an alkaline medium) and a complexing agent (usually an alcohol)
are the following: KOH—n-propanol—H20 [1375], ethylenediamine (hy-
drazine)— pyrocatechol—H20 [1346—1369] (100) and (110) orientation-de-
pendent etchants (for Si); Br 2 -CH 3 OH [14901, NH 4 OH—H 2 0 2 [1487],
H 3 P 0 4 - H N 0 3 [1486], H 2 0 2 - H 2 S 0 4 - H 2 0 [151Ö], C H 3 O H - H 3 P 0 4 - H 2 0 2
[1489], citric a c i d - H 2 0 2 - H o 0 [1488] (for GaAs); 3HC1-1HN0 3 [1444-1446]
(for GaP).
Anisotropic etching has been used to fabricate a variety of active and
passive three-dimensional device structures, diodes, bipolar and MOSFET
circuits, Si-on-sapphire integrated circuits, X-ray masks, optical wave-
guides, electronic printers, solar cells, and others [1329, 1330].

275
FUNDAMENTALS

Selective chemical etching. A selective etching process proceeds at diffe-


rent etch rates for different materials or for structural or compositional varia-
tions of the same material (e.g. different dopant type or concentration).
Selectivity can be obtained both in chemical and electrochemical etching
using a certain et chant composition. Depending on the particular purpose,
a total or a partial etching selectivity is required, such as in pattern etching
with an etch mask and in taper etching with a dielectric control layer. In the
first case, the etching mask is etch-resistant, while in the latter, the dielectric
control layer etches faster than the underlying dielectric to be levelled.
Examples of chemical selective etchants are the following:
— etchants of Si (100) ( K O H - H 2 0 - w - o r isopropylalcohol [1380],
KOH—isopropanol—CH3OH [1318], ethylenediamine/pyrocatechol—water)
[1381] whose rate is affected (decreased) only by the presence of a boron dopant
element;
— IHF-3HNO3-8CH3COOH etches Si at a rate which is a function
of boron dopant concentration [1382, 1383];
— FeS0 4 —EDTA under illumination gives GaAs preferential etching
according to conductivity type, with the n-type rate greater than the p-type
rate [1507];
— redox solutions, such as I 2 —KI, K3Fe(CN)6—K4Fe(CN)6, C6H402—
C 4 H e 0 2 (quinone-hydroquinone), FeCl2—FeCl2, Ce(S04)2—Ce(N03)3 can
etch selectively according to the material type in the GaAs—GaAlAs,
GaP—InGaP and GaP—GaAlAs heterostructures [1508];
— 3H 2 SO 4 -lH 2 O 2 -lH 2 O(60°C) [212, 213] and 1 H F - 1 H 2 0 2 [212] solu-
tions preferentially etch p-type GaP on n-type GaP substrates; and
— hot H 3 P0 4 preferentially etches Si3N4 [1585] or A1203 [4215, 4216]
films protected by a Si0 2 mask.
Electrolytic etching. This proceeds by means of surface anodic oxidation
followed by dissolution of the oxide film formed in an electrochemical cell
containing an adequate electrolyte.
The method is useful for etching electrically conductive, semiconductive
or insulating materials, using both immersion and spray electro-etching
techniques.
Electrolytic etching is applied to semiconductor surface polishing,
slice thinning or structuring, epitaxial film thickness trimming, film dis-
solution, and selective etching.
Some examples of this technique are as follows: electrochemical poli-
shing of Si and Ge in HF-containing electrolyte [1422]; selective electroche-
mical etching of Si in dilute HF, where p~ and n + Si dissolve while n-type Si
does not dissolve [1385] ; selective electrochemical thinning of n+-type Si
substrate in KOH (85°C) [1388]; etching of n-GaAs in 10% KOH solution
[1503]; electrolytic spray etching in NaOH for selective removal of p-type
GaAs substrates from n-tjrpe GaAs or GaAsP epitaxial layers [1504]; thinning
of n-GaAs films in HC1—H20 [1506]; electrolytic etching of n-GaAs and
n-GaP in HN0 3 [1458]; thinning of GaAs or GaP substrates for electron
microscopy in flowing HC10 4 -CH 3 COOH [1500] and Cl 2 -CH 3 OH [1455]
etchants, respectively, by employing the electrolytic jet technique; selective
dissolution of n-type GaP on p-type GaP substrate in7NaOCl(5 wt%) —1HC1
[1449]; dissolution and selective etching of GaP in 3 N NaOH [1457]; and

276
P R O P E R T I E S OF CVD FILMS

S n 0 2 patterning in a bath containing HCl solution, a Pt anode and S n 0 2


as the cathode [1614].
Gas-phase etching. This process consists of etching in the gas or vapour
phase at high temperatures. It is used especially for in-situ etching of semi-
conductor substrates prior to epitaxial growth and for etching some chemically
inert materials such as sapphire substrates. Representative examples are
the etching (polishing) of Si in various vapour reagents: HCl (1100°C) [1398 —
1402, 1405, 2402], SF 6 (1050°C) [1396, 1397], HBr [1402], H I [1424], Cl2 [1404],
H 2 S [1405], H I - H F [1403], H 2 0 [1406, 1407], of Ge in HCl (800°C)' [1423],
HI-H 2 (900°C) [1424], H 2 S - H 2 (650°C) [1425], H 2 0 - H 2 (900°C) [1425],
and of G a A s i n H 2 - H 2 0 - N 2 [ 1 4 9 3 ] , H C l - H 2 [ 1 4 9 5 , 1496, 1499], or A s C l 3 - H 2
[1495, 1498] mixtures.

10.8.1.5 Laser-Induced Wet-Chemical Etching

Laser wet-chemical etching makes use of light to induce or enhance reactions


for the localised etching of a variety of materials [1625—1632]. To date,
there exists only scanty information on light-induced etching of GaAs, I n P ,
and other semiconductor materials of technological importance. Rapid loca-
lised etching of semi-insulating undoped and heavily n - a n d p-doped (100)
I n P samples immersed in an aqueous solution of H 3 P 0 4 was induced by an
argon laser light at 5 H 5 Ä. The sample was positioned vertically and normal
to the focused laser beam in a quartz cuvette filled with the etching solution.
The etching, owing to localised heating of the sample, depends upon the con-
centration of the etchant solution, laser power, scan speed, and laser spot
size. This technique may be used for etching grooves and holes in substrates
and films as required in some applications.

10.8.1.6 Photo- and Laser-Electrochemical Etching

Wet electrochemical etching can be enhanced or induced by intense illumi-


nation arising from either a high power lamp (e.g. a tungsten halogen lamp)
or a laser (e.g. a He—Ne laser) [1633—1642].
Photo-electrochemical etching has been applied to n-type GaAs, GaSb,
and I n P materials. The anodic dissolution process of GaAs and GaSb occurs
in an electrolyte solution consisting of ethylene diamine tetraacetic acid diso-
dium salt (EDTA) with NH 4 OH added for pH control at a maximum etch
rate of 10 μιη/h and 4 μπι/h, respectively. I n P etching is carried out at a
maximum rate of 5 μΐη/h, using H 3 P 0 4 or HCl. Apparatus for photo-electro-
chemical etching is shown in Fig. 10.20.
Localized etching is accomplished by projecting an image onto the elec-
trode surface and allowing anodic dissolution to occur preferentially in illu-
minated portions of n-type crystals.
Applications discussed include the trimming of epitaxial layer thickness
and the preparation of substrates for epitaxy and samples for the study of
crystallographic defects.

277
FUNDAMENTALS

ΓΤΤΤ- Fig. 10.20 Apparatus for photo-electrochemical etching


(anodic dissolution of n-type semiconductors):

J r5 1 — light source (tungsten-halogen lamp); 2 — sample; 3 —

ct anode (GaAs, GaSb, or I n P wafer); 4 — electrolyte ; 5 —


cathode (Pt); 6 — d.c. power supply.

ύ—$-
4
il rl
— ■
ZJΛ -
2 '
Laser assisted electrochemical reactions have been employed for lo-
calized high resolution etching at semiconductor-electrolyte interfaces.
Generally, localized etching has been accomplished by guiding a focused
laser beam in a predefined pattern along the electrode surface. The anodic
dissolution occurs preferentially in illuminated portions of the sample (usually
an n-type semiconductor). In the case of n + GaAs, the etching solution is
10% aqueous KOH. The etching process occurs at low or high rate (0.003—
10 μιη/s) depending on the laser power density and the etchant type.
Laser-electrochemical etching is used for the fabrication of microetched
structures (e.g. submicron gratings and optical lenses) involved in many
electronic and optical devices.

10.8.1.7 Pattern Delineation Etching in Thin Films

In semiconductor technology, thin films are usually etched on either the


entire (overall removal) or selected portions (partial removal) of the surface.
The latter method of etching, called etching for pattern delineation, involves
temporary selective protection using another thin film material that is
unaffected by the etchant [1303].
The main factors affecting pattern delineation in addition to etchant
type are the mask material and its properties — adhesion, integrity resolution
capability, etchability, and patterning ability.
The most used masking materials are photoresists — organic polymers
whose solubilities in certain solvents are changed by exposing them to UV
radiation. Patterning of the photoresist-coated film proceeds by exposing it
to UV through a glass plate containing the desired pattern in an opaque
material (such as photographic emulsion and chromium), followed by the
dissolution of more soluble areas in developing solutions. These more soluble
areas may correspond to either unirradiated or irradiated areas. Thus
a negative or positive image of the original pattern is obtained and, accordingly,
the respective photoresists are called negative or positive photoresists, res-
pectively.
Since photoresist masks are not adequate for etching processes requiring
high temperature or strong acids, they are replaced by metal or dielectric
masks. The mask material should meet a number of requirements, such as
good adhesion to the film for avoiding pronounced attack of the film edge
at the interface by the etchant, i.e. undercutting; a coefficient of thermal
expansion similar to that of the thin film, otherwise the compressive or

278
PROPERTIES OF CVD FILMS

tensile stresses developed in the mask would result in large-area failure; and
good resolving power (e.g. 1—3 μηι line width or spacing for positive and
negative photoresists—better for metal and dielectric mask, and excellent for
electron beam and X-ray resists).

10.8.1.8 Chemical Etching of Specific CVD Thin Film and Substrate Materials

This section will briefly deal with the chemical etching of thin film and sub-
strate materials of interest in CVD. The materials are conveniently grouped
as semiconductors, insulators, conductors, garnets, ferrites and superconductors.
A summary of etchants for CVD thin film materials and substrates is
presented in Table 10.3.

10.8.1.8.1. Semiconductor Etching


Semiconductors are subdivided into elemetal [1334—1429] as well as group IV
[1430-1435], group I I I - V [1436-1544], group I I - V I [1545-1557], and
group IV—VI [1558 — 1564] compound semiconductors.
Elemental semiconductors of interest in CVD are only Si [1334—1412]
and Ge [1413—1429], the latter becoming of lesser importance. Both present
many similar etching processes, so that they can be discussed together. Typical
isotropic liquid etchants are those containing HF, HN0 3 , and H 2 0 for Si
and those based on HF, H 2 0 2 , and H 2 0 for Ge.
Anisotropie liquid etchants for Si are usually alkaline solutions used
at high temperature. An example is the water-ethylenediamine-pyrocatechol
etchant, which gives etch rates for (100), (110), and (lll)-oriented Si of
approximately 50 : 30 : 3 μηι/h, respectively. Selective etching of Si with
different dopant types and resistivities is exemplified by the etchant system
KOH—H20—isopropylalcohol at 80°C, used for (100) Si which gives a very
small etching rate for high concentrations of boron dopant. Electrochemical
etching of both Ge and Si takes places usually in HF-containing solutions.
Most successful gas-phase etchants for Si are SF6—H2 (950°C) and HC1—H2
(1100°C), whereas for Ge they are H 2 0 - H 2 (900°C), HC1, H I - H 2 a n d H 2 S - H 2 .
Uncommon gas-phase etchants, e.g. HF vapour [1409] and XeF 2 [1410] have
also been proposed.
Chemical-mechanical polishing of Si is performed by two methods:
the silica-sol method and the method based on copper nitrate and ammo-
nium fluoride.
Several papers refer to the etching of specific CVD Si films, such as
homoepi-Si [1395, 1411], heteroepi-Si [1349, 1363], poly-Si [1393], and a-Si
[1412].
Compound semiconductors include mainly SiC [1430—1435], GaP [1443 —
1466], GaAs [1467-1516], InP [1518-1536], and related III—V binary
alloys [1436-1442, 1517, Ϊ537], and various II—VI [1545-1557] and I V - V I
[1558—1564] group semiconductors.
Chemical etching of all compound semiconductors proceeds by oxidation-
reduction-complexing reactions analogous, in principle, to the general mecha-
nism for Si and Ge etching. However, the etching process is complicated owing
to its strong dependence on the specific surface crystallographic orientation.

279
to TABLE 10.3
CO
o Chemical Etching of CVD Materials and Substrates [45, 800, 1303, 1309, 1316, 1318, 1599, 3519]

Etching Etch Etchant


tech- E t c h a n t composition Etching
No. Material r a t e or appli- Remarks Refs.
nique^ conditions cation^
time

0 1 2 3 5 6 7 8 9

A . Semiconductors
SILICON
1 n ( l l l ) , (100), I HF-HN03-H20 25-50°C G E = 4-20 [1334,
(110) Si (or CHgCOOH) kcal/mole 1335]
2 n, p (111) Si I HF-HNO3 30°C 0.25-50 G [1336]
μηι/min
3 n, p-Si I 15HN03-5dH3COOH-2HF G [1303]
(planar etch)
4 Si I 110 ml C H , C O O H - 1 0 0 ml G [1337]
H N O g - 5 0 ml H F - 3 g I2
(iodine etch)
5 Si I 3OHNO3 - 2 0 H F - l N a 2 H P 0 4 G [1338]
(2%)
6 n(lll)Si I HF 0.30 Ä/min F [1339]
7 n(lll)Si I 4H20-1HF 0.47 Ä/min F [1339]
8 n(lll)Si I 96 m l H 2 0 - 2ml H F - 4 . 3 g N a F 0.78 Ä/mln F [1339]
9 Si I H N 0 3 - H 2 S 0 4 a t 100°C, C [45]
followed b y 5 % H F
10 Si I HNO3-CH3COOH-HF with C [45]
a n d without I 2
11 n, p-Si I 15HN03-5HF~3CH3COOH 0.5 μπι/s Ρ,Τ,Ι [1340]
12 Si I 30HNO,-25CH3COOH - P [1338]
- 2 0 H F - l N a 2 H P O 4 (2%)
13 Si I 9 H N 0 3 - 1 H F (White etch) 15 s P [1305]
14 Si 5HN03-3HF-3CH3COOH 2 — 3 min P [1341]
I
15 (111), (100)Si 5HNO,-3HF-3CH3COOH- 2 — 3 min P [1341]
- 0 . 0 6 B r 2 (CP-4) 25 μπι/min
I
16 Si 10 ml H 2 0 2 ( 3 3 % ) - 3 . 7 g N H 4 F 1 μπι/14 min P [1342]
17 Si 900 ml H N 0 3 - 9 5 ml H F - 15 μm/min T [1343]
I - 5 ml C H 3 C O O H - 1 4 g NaClO
18 Si 10HNO3-lHF 12 — 15 μηι/min T [1344]
I
19 Si 5HN03-3HF-3CH3COOH 25 μm/min T [1345]
I
20 Si 17 ml ethylenediamine —8 ml 110°C 0.8 μιη/min T [1346]
I H 2 0 —3 g pyrocatechol
21 Si I 95HN03-5 HF T [1347]
22 Si 9HN03-1HF T jet technique [826]
I wafer thinning
for TEM
23 Si I NaOH (4 %) - Na C lO (40 %) 80°C T float wafer [1348]
specimen; wa-
fer thinning
for TEM
24 epi-Si I 95 ml H N 0 3 - 5 ml H F - ~4 μm/min S,L [1349]
- l g NaN02
25 epi-Si I 100HNO3-40 H 2 0 - 6 H F ~ 0 . 5 μιη/min S,L [1349]
26 Si I 1000 ml H 2 O - 1 0 0 g N H 4 F - S [1350]
2 ml H 2 0 2
27 Si I l H F - l C r O 3 ( 5 0 0 g/1) ~ 3.5 μm/min D [1351,
(Sirtl etch) 1352]
28 Si I 2 H F - l K 2 C r 2 0 7 ( 4 4 g/1) ~ 1 . 5 μπι/min D [1353]
(Secco etch)
*g TABLE 10.3 (continued)

0 1 2 1 3 4 5 6 | 7 | 8

29 Si, I 2HF-2CH3COOH- 1HN03- ~ 1 . 7 μm/min b [1354]


- lCrO 3 (400 g/1) -
api-Si - 2 C u ( N 0 3 ) 2 - 3 H 2 0 ( 3 3 g/1)
(Wright —Jenkins etch)

30 epi-Si I 300 ml H N O 3 - 6 0 0 ml H F - D [1355]


- 2 ml Br 2 24 g Cu(N0 3 ) 2
(diluetd 10:1 in H 2 0 )
1 (Sailor's etch)
31 epi-Si I 1 3HN03-lHF-(8-12)CH3COOH 2 h D [1356]

32 epi-Si I 1 0 . 5 - 0 . 1 % H N O 3 in H F strong J p-type Si [1357]


illumination becomes darker
than n-type Si
33 epi-Si I NaOH or KOH ( 1 - 3 0 % 50-100°C 1 — 5 min D [1358]
solution)
34 epi-Si I i 1 ml H F - 2 0 ml H 2 0 - 2 g 2 — 3 min J p-n:n bright [1359]
HI04 · 2H20 p + -p :p bright
n+-n:n bright
35 1 />o/y-Si(substrate) I 36HF-20CH3COOH-(l-2) 30 s D [1360]
HNOg
36 1 e pi-Si I 50 ml H F - 5 0 ml C H 3 C O O H - 0.2 μηι/inin L [1361]
- 2 0 0 mg KMn0 4 |
37 1 epi-Si I 108 ml H F - N H 4 F (350 g/1) 0.45 Ä/min | L [1362]
38 1 n(100) A KOH (4 N ) 60°C 60 A/s L,S | Patterning epi- [1363]
epi-Si Si on sap-
phire
39 1 n(100) A 6KOH ( 4 N ) —lisopropanol 60°C 27 A/s L,S » » [1363]
epi-Si
1 1
40 1 (100) 1 A 1 50 ml H 2 0 - 1 5 g K O H - 1 5 ml 1.1 μm/min 1 L,S | Patterning epi- 1 [1349]
epi-Si — isopropanol Si on sap-
phire or spinel |

41 p(100)Si A 100KOH(2M)-25isopropanol 45°C 10 A/s S Preparation of [1364,


planar recessed 1365]
structures

42 (100)Si A KOH(3-50%) 90°C s Texturizing [1366]


and V-grooving
solar cells

43 (100)Si A 60N2H4-40H2O 110°C s „ „ [1366]

44 (100)Si A N 2 H 4 —H 2 0, equimolar 120°C 3.3 μm/min s [1368]

45 (100)Si A 65N2H4-35H20 100°C 1.6 μιη/min S,L V-groove pat- [1369]


terns

46 (100)Si A 80N2H4-20H2O 100°C 0.7 μm/min S,L Flat-bottom [1369]


patterns

47 n, p(100), (110), A 17 ml NH2(C'H2)NH 2 --8 ml 110°C 3 μιη/h (111) S,L E = 11;8 kcal/ [1346
(lll)Si H a O - 3 g C 6 H 4 (OH) 2 30 μm/h (110) mole 1370,
50 μπι/η (100) 1371]

48 (100), ( l l l ) S i A Tetramethylammoniu m 80-90°C 3600 A/min S [1372]


hydroxide (100)

49 n-Si A NH4F(4M)-CU(N03)2(1M) 0.1 μιη/min S [1373]

50 (HO)Si A 100g KOH in 100ml H 2 0 100°C 50 μm/6 min S Moat etching [1374]

51 (111), (100)Si A 63 w t % H 2 0 - 2 4 w t % - 0.04 μηι/min S [1375]


KOH—13 wt% isopropanol (100);
0.97 μιη/min
(HI)
52 (100), (110), A lCr03(1.5M)-lHF 1 0 - 1 5 min D [1376]
(lll)Si
tsD TABLE 10.3 (continued)

0 1 1 2 1 3 1 4 1 5 6
1 7 I 8
53 As ion A Ethylenediamine 50°C 0 . 2 5 - 0 . 1 μτηΙ [1377]
implanted Si min S
54 B-doped(100)Si A 17 ml ethylendiamine — 110°C 0.5 μπι/min S,T [1378]
— 3 g pyrocatechol —8ml H 2 0
55 poly-Si film A 250 g K O H - S 0 0 ml H 2 0 - D,0 [1379]
—200 ml isopropylalcohol;
I H F - 50HNO 3 - 80 OH 3 COOH
56 (100), ( l l l ) S i A KOH(2M) [1380]
p-, n-type
57 Si A NaOH(lON) 90°C 2.25; 4.5 h S [1381]
58 (100), ( l l l ) S i , A KOH(2M) [1382,
p-, n-type 1383]
59 ( H I ) , (100) E,S 5% HF P t cathode, G n + Si dissolves [1361,
n epi-Sijn+Si ~ 150 mA/ leaving n 1384,
cm 2 , ~ 6V epi-Si 1385]
60 ( H I ) , (100) E,S 5 wt% HF (2.5N) » P,T n+ and p-Si [1386]
n+Si, p-Si dissolves
anodically
61 n epi-Silp+Si E,S 1HF-1H2S04-5H20 „ T [1387]
62 n+Si E,S KOH (5.5N) 85°C S [1388]
63 n+Si, p+Si S Ethyleneglycol—0.04 N G Anodization [1389]
KNOg-2.5% H 2 0 - followed by
l-2g/lAl(N03)3-9H20 oxide stripping
with 8% H F
64 p(100)Si S 24 w t % K O H - 6 3 w t % H 2 0 - 80°C 0 . 6 - 0 . 9 μιη/ G [1375,
— 13 w t % isopropanol min 1390]
(C B = 1 0 1 4 -
- 1019 cm - 3 )
0 μm/min
(CB= 10 20 cm- 3 )
65 n, n+, p , and S KOH - i s o p r o p a n o l - CH,OH | 80ÖC j 0.8 μηι/ιηίη(ρ + ) ' [1303]
1 1G
p+Si 1 μm/min(p~ J
n+, n~)

66 p-Si s NH 2 (CH 2 ) 2 N H 2 - ( C 6 H 4 ) ( O H 2 ) - 0 μm/min G [1391]


-H?0 (CB~1020cm-3)

67 n+and p+Si s 8 C H 3 C O O H - 3 H N 0 3 - IHF 0.7 — 3 μηι/min G [1392]


(p<0.01 Hem)
Ομπι/ιηίη
( p > 0.07 H e m )

68 poly-Si S 3 3 C H 3 C O O H - 2 6 H N 0 3 - IHF 0.15 μηι/ηπη L [1393]


(undoped)
< 0.1 μm/min
(B-doped)

69 (100) epi-Sil s KOH(4 M ) 60°C 50Ä/s L [1363]


sapphire

70 (n, p)(100)Si; s 22°C R = 0 (CB. G Ä[n(100)] = [1394]


(n, p ) ( l l l ) S i KOH(2M) C P > 1020 cm" 3 ) R[V( 100)] >
R = 40-200Ä/ iü[p(lll)] >
min ( C B , C p < Ä[n(lll)]
1020 cm- 3 )

71 epi(100)Si, s lCrO 3 (0.75 M ) - 2 M F - 1.5H 2 0 i?(doped Si)/ D [1395]


n-, p - t y p e i?(undoped Si)
>4:1

72 (lll)Si G S F 6 - H 2 ( 1 0 - 4 - 1 0 - 3 a t m SF 6 ) 1050°C 0 . 2 - 0 . 9 μm/min P , T [1396,


1397]

73 (lll)Si G H C l - H 2 ( 1 . 3 - 6 v o l % HC1) 1275°C 1.5 — 8 μηι/min P [1398-


-1400]

74 (100), (100), 1150- 1.48 μm/min S Orientation- [2402]


(lll)Si G,A H C 1 - H 2 ( 5 % HC1) -1200°C ( l l l ) S i ; 3.0 μιη/ dependent
min (HO)Si; etching in
3.4 μm/min dielectric
(100)Si isolation
to TABLE 10.3 (continued)

0 | 1 | 2 | 3 4 | 5 |. 6 | 7 8
75 n epi-Si G,A HC1 (20 mole%) 1050- 20 am/min S Anisotropie [1401,
- 1250°C etching in 1405]
epitaxy
76 (lll)Si G H B r - H 2 ( l - 6 mole% H B r ) 1050- 1 — 4 μm/min P [1402]
- 1300°C
11 (111), (HO)Si G HI-HF-He-H 2 900°C 0 . 1 - 0 . 5 μιη/ P [1403]
min
78 (lll)Si G C]2-He(0.2%Cl2) 1000- 1 μm/min P [1404]
-1100°C
79 (lll)Si G H2S—H2 1100- 15 μηι/min P [1405]
- 1200°C
80 (lll)Si G H20-H2 1100- 0.07 μm/min P [1405]
—1200°C
81 Si G F 50-220°C 0 . 0 0 8 - 0 . 5 μτη/ E = 8 kcal/ [1408]
2—H2
2 torr min mole
82 a-Si I lHF-5HNO3-40CH3COOH [1412]
GERMANIUM
83 (lll)Ge 5H20-1H202 26°C G [1413]
84 (100), ( l l l ) G e 4H20-1HF-1H202 G,C,D [1414-
(superoxol etch) -1416]
85 Ge 100 ml H 2 O 2 (10 v o l % - 8 g 70°C 5 μηι/min G,C [1305]
NaOH
86 Ge 5NH03-3HF-3CH3COOH- 1.5 — 2 min G,P,C [1417,
-0.06J3r 2 (CP-4) 1418]
87 Ge 5HN03-3HF-3CH3COOH 70°C 2 — 3 min P,C [1305]
(CP-4Ä)
88 Ge 5 ml H F - 1 0 ml H N 0 3 - l l m l 4 min G,P [1337]
C H 3 C O O H - 3 0 mg I 2
(iodine etch)
89 (100), (110), I 50% wt H F - 5 0 wt% H 2 0 2 25°C 1 1 - 4 0 μτη/ G,P [1419]
(Ul)n-Ge min
90 Ge I lNaOCl(10%)-10H 2 O 40°C G,T Slice thinning [1420]
for TEM
91 Ge I 9HF-1HN03; NaOCl-H20 T Slice thinning [826,
for TEM 1348]
92 Ge E 5% H F P [1422]
93 (lll)Ge G H C 1 - H 2 (15% HC1) 825°C P [1423]
94 Ge G HI-H2 900°C P [1424]
95 (HI), (HO), G H2-H20 900°C P [1425,
(100)Ge 1426]
96 epi-Ge I 6 g K O H - 4 g K3Fe(CN)e- 100°C 1 min D [1427]
— 50 ml H 2 0 (ferricyanide etch)
97 epi-Ge I 10 ml H F - 15 ml H N O s - 15 s D [1428]
- 2 5 m l H 2 O - 0 . 2 g AgNO s
98 Ge A 4.5N K O H - 0 . 2 N THFA- 70-90°C 0.65 μιη/min [1429]
- 2 0 ml H 2 0 2
99 Ge A H3P04-H202-H20 [1421]

SILICON CARBIDE
100 SiC KOH (NaOH) 900°C | G,C,D,0 [1430]

101 SiC Na202 350-900X G,0 [1430]

102 SiC N a 2 0 · 2 B 2 0 3 · 10H2O (borax) 1000°C G [1430]

103 SiC H2 1600-1750°C 0.3 — 4 μηι/πύη P [1431,


1432]

104 epi-SiC, SiC 26% 0 2 - 6 % Cl 2 in Ar 900°C 0.02 μπι/min S,L,0 [1433]

105 Sic H N 0 3 or H F hot c [45]


£g TABLE 10.3 (continued)
CO

0 1 2 3 4 | 5 6 7 8

106 SiC I NaOH - K 3 Fe ( C N ) 6 - H 2 0 110°C O Differentiates [1434]


between(OOOl)
and (0001)
faces
107 ß-SiC(film) I lHF-lHNOg amor phi za- 1000-250 A/min [1435]
tion by ion
implantation
45°C

BORON NITRIDE
108 BN I H 3 P 0 4 or H 2 0 2 (3%) hot G [2793,
2794]
109 G HCi-H2 800°C G,P

BORON PHOSPHIDE
110 BP E 10% NaOH G [1436,
1437]
111 G HC1-H2 800- G E = 15 kcal/ [1438]
-1180°C mole

ALUMINIUM NITRIDE
112 I A1N I I 10% NaOH; H 3 P 0 4 ; hot G [2835]
1H3PQ4-3H2SQ4

ALUMINIUM ARSENIDE
113 | AlAs | I | 2%HC1 G [2849]

ALUMINIUM ANTIMONIDE
114 AlSb I 1HF-1H202-1H20 1 min G,0 [1439]
115 I IHCI-IHNO3 2s G,0 [1439]
GALLIUM NITRIDE
116 GaN I 5 0 % NaOH 90°C G [2863]
117 E,S O . I N NaOH G Electrolytic [1440]
jet etching
(TEM)
118 I H3P04 185°C G [1441,
1442]
119 I NaOH; KOH; K2S208 600-800°C G

GALLIUM PHOSPHIDE
120 GaP I 1 - 2 0 % Br 2 in CH 3 OH 0.25 μηι/min G,P,D [1443]
121 (lll)GaP I 2HC1-1HN03-1H20 hot P [1444]
122 p(lll)Ga A 2HC1-2H20-1HN03 60°C 1—2 min P p (111) surface [1445,
etching 1446]
123 (TlT)GaP A Aqua regia S Groove [1447]
etching
124 p ( l l l ) GaP A 2 H C 1 - 2 H 2 S 0 4 - 2 H 2 0 - 1HN03 50°C P,D p (111) surface [1448]
etching Ga( 111)
face pitting
125 GaP S 3 H 2 S 0 4 - 1 H 2 0 2 - 1H 2 0 60°C 1 μπι/5 min F,C,D [1449,
1450]
126 (lll)GaP I l [ B r 2 - C H 3 O H (sat. soln.)] — 25 or 50°C 1.5 μπι/min P Substrate pre-
- 1H3P04 paration for [1451,
epi growth 1452]
127 (111), (111), I 5 H 2 S 0 4 - 1 H 2 0 2 - 1H 2 0 80°C, 5 min 0. 6 μm/min P » [1449,
(100)GaP 1450]

128 n, p(100), I 1 M K3Fe(CN)6-0.5 M KOH 60-95°C 3 — 4 μηι/min P,S,D [1453]


(lll)GaP

129 epi(Ul), (100)


GaP I C12-H20 0.5 μm/min P,L [1454]
TABLE 10.3 (continued)

0 | 1 | 2 | 3 j 4 1 5 6 7 8

130 (111), (HI) A H3P04 150-200°C S Groove [1446]


(100) GaP etching
i "
131 p epi-GaP A 1HF-1H202 L Preferential [1449]
etching of p-
type GaP on
n-type GaP

132 GaP A 2HN03-1HC1 P [1314]

133 GaP I C l 2 - C H 3 O H (sat. soln.) P [1443]

134 n epi-GaP E,S 7NaC10(5 w t % ) - l H C l L Preferential [1449]


etching of
n-type GaP
135 (lll)GaP I 16H 2 0 - 2Na ClO - 2H Cl P Chemical jet [1340]
etching
136 p(lll)GaP E Cl2-CH3OH T Electrolytic [1455,
jet etchnig 1456]
137 p(lU)GaP E,S 3 N NaOH 4 A/s G [1457]
138 n(lU)GaP E 0.1 N H N 0 3 G [1458]
139 H 2 S 0 4 - H 2 0 2 at 80°C followed C [45]
by B r 2 - C H 3 O H - H 3 P 0 4
at 50°C
140 GaP I I H F - I C H 3 C O O H - 1ΚΜη0 4 D [800]
(sat. soln.)
141 GaP I KOH 300°C D [1459]
142 GaP I 1 m l H F - 2 ml H a O - 8 mg D [1317,
A g N 0 3 - l g C r 0 3 ( A - B etch) 1460,
1512]
143 epi-GaP I 8 ml H 2 0 - 6 ml H N O a - 4 ml D [1462]
H F + 10 mg A g N 0 3

144 p-GaP 50 ml H 2 0 - 6 g K O H - 4 g 100°C, 5 min D I [H63]


K,Fe(CN) §
GALLIUM ARSENIDE
145 (100)GaAs I 4H2S04-1H202-1H20 | 50°C j 3 μπι/ιτιίη 1 G,C,D 1 [H67]
146 (100)GaAs I lNaOH(lM)-lH 2 O 2 (0.76M) 30°C 0.2 μm/min G [1467]
147 (100), (IlfjGaAs I 2Br2-98CH3OH 8 μπι/πιΐη f P.C.D j Jet polishing [1340]
148 (100), (111), I 18 μm/h P Planarpolishing [1469]
7 0 0 H 2 O s - l N H 4 O H (30%)
(TlT)GaAs
149 (100), ( l l l ) A , I 20H3O2-lNaOCl 8 μm/h P Planar polishing [1470,
(lll)GaAs 1471]
150 GaAs I 3H2S04-lH202--lH20 60°C 1 1 μπι/5 min P Planar polishing [1471]
151 (lll)GaAs I 1H202-1HF-1H20 o Differentiation [1314]
between (11Ϊ)
and (111) faces
152 (lll)GaAs I 1HN03-2H01-2H20 o Differentiation [1472]
between (111)
and (ΊΪ1) faces:
Ga face has
etch pits
153 GaAs I 1HN03-9H20 J [1473]
154 (lll)GaAs I 8glycerol- 1 H C 1 - 1HN0 3 G [1480]
155 GaAs I 1 - 2 0 % Br 2 in CH 3 OH P [1443,
1471,
1513]
156 GaAs I 3HN03-2H20-1HF P [1481]
157 (lir)GaAs I 2HC1-2H20-1HN03 G [1482]
158 GaAs I 5NaOH ( 5 % ) - l H 2 0 2 10 —15 μηι/min G [1483]
to TABLE 10.3 (continued)
to
0 1 I 2 I 3 4 1 5 6 7 8

159 (111), (ΊΪΓ) GaAs 8AgN03 ( 1 % ) - 5 H N 0 3 - 1 H F G [1481]


160 GaAs 7 5 H 2 0 - 20H 2 SO 4 - 5 H 2 0 P [1309]
161 GaAs 40HCl-4H2O2-lH2O T Specimens for [1484]
TEM
162 GaAs 5H2S04-1H20 25 μm/h P [1303]
163 GaAs 7 0 H 2 O - 2 0 H 2 O 2 - lOformic C [1303]
acid
164 GaAs H202 -NH4OH - H 2 0 -NaOCl C [45]
165 GaAs KOH 300°C D [1459]
166 GaAs 1ml H F - 2 m l H 2 0 - 8 m g D [1460]
A g N O g - l g C T Ö 3 ( A - B etch)
167 (100)GaAs, 5H3P04-5H2S04-2H202 2 5 - 5 5 μπι/ Centrifugal [1474]
n-type 6 min S etching
168 (OOl)GaAs HC1(12N)-CH3COOH(17N)- 20-60°C [1475]
n-type K2Cr207(lN) pH = 8-8.4 0.01— 1 um/min S
169 (100)GaAs 7 5 H 3 P O 4 - 1 0 0 ml H 2 0 0 - 6 — 30 min S Etching via [1476,
-25ml H20 holes 1477]
170 n-, ρ-type GaAs Cr03-HF-H20 Kinetics 1478]
171 GaAs Cr03-HF-H20 Mechanism [1479]
172 epi( 100) GaAs A 8H202-1H2S04-1H20 8 μm/min s [1480]
173 ( H I ) , (1H)B, 1 w t % Br 2 in CH 3 OH s Orientation- [1485]
(100), ( l l l ) A dependent
GaAs A etch r a t e :
-^(110)^-^(111) ^
^ -ft(ioo) ^
^ -ftaiDA
174 1 GaAs 1 A 49H3P04-11HN03 60°C P,D 1 (111) Ga [1486]
a n d (111) As
polishing
175 GaAs A 973H 2 0 - 20NH 4 OH - 7 H 2 0 2 0.2; 0 . 1 ; S ^(111)Β> [1487]
0.3 μιη/min >
> ^(100)
>
^(ιη)Α
176 GaAs A lOcitric acid (50 w t % aq. sol.) — s [1488]
-1H202 > #(m)A
177 [110], [100], [111] A 3CH 3 OH - 1H 3 P0 4 - 1H 2 0 2 2 μιτι/min s [1489]
GaAs
178 n(100)GaAs A 5%Br 2 in CH 3 OH s [1490]
179 (100)GaAs, A 3 C H 3 O H - 1 H 3 P 0 4 - 1H 2 0 2 25 — 50 μπι in s Centrifugal [1474]
n-type 6 min etching
180 GaAs A H2S04-H202-H20 s [1491,
1510,
1511,
1514,
1515]
181 (OOl)GaAs A HCl; HN03; H C 1 - H N 0 3 ; HBr; 1 - 1 0 min s [1492]
H3P04; H2S04; HF; Br2-
- C H 3 O H ;NaOH(KOH;
NH4OH)-H20o-H20(NH4OH);
NaOCl
182 GaAs A 1H 2 S0 4 - 8 H 2 0 2 - 40H 2 O For diffraction [1512]
gratings
183 GaAs G H2-H20-N2 1000X P Non-polished [1493]
surfaces
184 (100), ( U l ) G a A s G Ho-AsHg-HCl 900CC 7—11 μηι/min P [1494-
- 1499]
185 GaAs E 25HC104-75CH3CCOH T bpecimens for [1500]
TEM
186 p , n GaAs E 1 0 - 4 0 % KOH or NaOH P [1502]
187 n(100), (110), E,S 10% KOH G [1503]
(111) φ - G a A s
bo TABLE 10.3 (continued)

0 | 1 I 2 3 4 | 5 6 | 7 | 8

188 p (100) GaAs E 3 M NaOH J Removal of [1504]


p-type GaAs
substrate lea-
ving n-type
epi-GaAs or
Ga(AsP)

189 n GaAs (100), E 0.025 M N a O H - 0 . 0 0 1 M EDTA illumination P [1505]


(lll)Ga, ( l l l ) A s
190 n GaAs E HC1-H20 T [1506]

191 n(100)GaAs E 0.01 - 1 N H N 0 3 G [1458]

192 GaAs S FeS04-EDTA illumination S Rn~ > Rp -f- ^ [1507]


> Rn + — Rp~
193 GaAs S I 2 - K I ; K,Fe(CN)e- acidic or s Structuring of [1508]
- K 4 F e ( C N ) 6 ; C 6 H 4 0 2 - C4H602 alkaline (AlGa)As/GaAs
solutions layer combina-
tion
194 GaAs S FeCl3-FeCl2; Ce2(S04)3- acidic s » [1508]
Ce(N0 3 ) 3 solutions
195 (100)GaAs, n-type S 700H 2 O 2 - nNH 4 OH (30 %) 1.4 — 4 μηι/min s R(AlGaAs) = [1509]
= 0.1 μιη/min
196 GaAs S H2S04 - H 2 0 2 - H20 ; H3P04 - [1516]
-H202~H20
197 GaAs M KOH; NaOH 350°C 15 — 60 min D [1459]

GALLIUM ANTIMONIDE
198 GaSb I 1 - 2 0 % Br 2 in CH 3 OH P [1443]

199 I 9HN03-1HF 1 — 5 min P [1443]

200 I 2 H N 0 3 - 1 H F - lCH 3 COOH 15 s P,D [1439]


201 1HF-1HN03-1H20 O ( E t c h ( l l l ) face [1521]
202 10 citric acid (50 w t % ) - l H 2 0 2 55°C 10 Ä/s s [1488]
203 1H202-1HC1-2H20 | 1 min D [1521]

11STDIUM PHOSPHIE E
204 InP 1 - 1 0 % Br 2 in CH 3 OH P [1443,
1522]
205 1HC1-1HN03 o Etch (lTf)face [1522]
206 0.4 M F e C l 3 - 6 N HCl G,0 Etch pits on [1522]
(ΤΓί), (in)
and (100)faces
207 6 H 2 0 - 6 H N 0 3 - 6H Cl; G [1532]
1H 2 0 2 - 100H 2 SO 4 - 5 H 2 0

208 (100)InP HF ion beam 800 Ä/min s [1519]


bombard-
ment
209 p-InP HC1(3M) Etching [1520]
mechanism
210 (lll)InP; lHN03-lHBr D [1521]
(OOl)InP
211 (100)InGaAsP 8 g K O H - 0 . 5 g K3Fe(CN)6- illumination D [1522]
- 1 0 0 ml H 2 0
212 (100)InP A HCl 20°C 20 s O Differentiation j [1523]
between (011)
and (011)
planes
213 (OOl)InP A HCl; H C 1 - H N O , ; HBr; 25-60°C 1 — 20 min S [1524]
H2S04-H202-H20;
Br2-CH3OH
214 (ΙΟΟ)ΙηΡ A HC1-H3P04 1000 A/min s [1525]
ts5 TABLE 10.3 (continued)

0 | 1 | 2 J 3 1 4 1 5 1 6 | 7 | 8
215 InP/InP; A 0.5% Br 2 in CH 3 OH T Cross sectional [1526]
InGaAsP/InP TEM
216 (OOl)InP; A HBr-CH3COOH(H3P04)- 0.1—10 μηι/πιΐη S [1527]
InGaAsP/InP -K2CrgQ7
217 InGaAsP/InP A 2HBr(9N)-2CH3COOH(17N)- 4 min S E t c h a n t for [1528]
-lK2Cr207(lN) laser mirror
definition
218 InGaAsP/InP A HC1; H C 1 - H N O , ; H B r ; S,F,L,D [1529]
B r 2 - C H 3 O H ; H2S04
219 InGaAsP/InP A 0 . 1 - 5 % Br 2 in CH 3 OH S [1530]
220 InGaAsP/InP A 1H 2 S0 4 - 1H 2 0 2 - 10H 2 O 25°C 75-1000Ä/min S [1531]
Ä(InP) = 0
221 (100), ( l l l ) I n P G PC1 3 - H 2 P [1533]
222 G PH3 - H C 1 P [1534]
223 G E t h y l e n e dibromide (EDB) 650°C P [1535,
1536]

INDIUM A R S E N I D E
224 InAs 1 - 2 0 % Br 2 in CH 3 OH 1 P I [1443]
225 CP-4 G [1537]
226 75HN03-15HF-15CH3COOH- 55°C 0,D E t c h (111) face [1537]
-0.06Br2
227 HC1 75°C G [1517],
1538]
228 0.4 M Fe3+ - 6 N HC1 G,D,0 [1440]
229 99.6 ml C H 3 C O O H - 0 . 4 Br 2 P [1309]
230_ 3HN03-1HF-2H20 1 — 3 min J [1539]
INDIUM ANTIMONIDE
231 InSb I I2-CH3OH P [1443]

232 I 0.4 M Fe3+ - 6 N H C l G.D.O [1440]

233 I IHF-IHNO3 2-5s P,0,D E t c h (100) a n d [1309,


(110) faces ' 1540]

234 I 5HF-5HN03-2H20 20 s G [1314]

235 I CP-4A 5-30 s P [1541,


1542]

236 I 1 H 2 0 - l C H 3 C O O H - l(CP-4) 1 min G [1541]

237 I 2HF-1HN03-1CH3C00H 5-30 s P,D [1440]

238 I 4H20-1HF-1H202 5-10 s G [1517]

239 I 10 citric acid (50 w t % ) - l H 2 0 2 38°C 60Ä/s S [1488]

240 I IHF-ICH3COOH-I N KME04 1 D [1543]

ALUMINIUM GALLIUM A R S E N I D E
241 I AlGaAs I I I NaOH-HoO« [1544]

ZINC O X I D E
242 ZnO I Mineral acids or alkalis G [1303]
or NH 4 C1 solutions

243 I H3P04 100°C C [45]

ZINC S U L P H I D E
244 ZnS I 16 N H 2 S O 4 - 0 . 5 M K 2 C r 2 0 7 95°C 5— 10 min P,D,0 [1545]

245 I H3P04 100°C C

246 I HNO3 2—5 min D [1316]


CO
to TABLE 10.3 (continued)

0 | 1 | 2 3 6 8
4 1 5 7 I
ZINC SELENIDE
247 | ZnSe | II | 0.5% Br 2 in CH 3 OH 45 min 1G [1546]
Z INC TELLURIDE
248 ZnTe I 2H202-3HF-1H20; 1 3 min 1 0,D Differentiation
110°C
30% NaOH between (111) [1545]
and (111) faces [154η

249 I 0.5% Br 2 in CH 3 OH Λ5 min [1546]


G

250 I [1545]
4HF-3HNOs G,P

C:ADMIUM SULPHI DE
251 CdS I 6 H N 0 3 - 6 C H 3 C O O H - 1H 2 0 D,0 Distinction [1545]
between A and
B faces

252 I 100 ml H 2 0 - 1 ml H 2 S 0 4 - 80°C 10 min G.O Etch (1010) [1548]


- 0 . 0 8 g Cr2Os face

253 I 16 N H 2 S 0 4 - 5 M K 2 Cr 2 0 7 95°C 10 min G,P,D Chemical- [1545]


mechanical
polishing

254 I 300 ml precip. silica 90 ml P [1549]


H N O 3 - 1 0 g/1 A1C13 H 2 0

255 I 70HgO-30HCl P [1550]

256 I 1000 ml H 2 0 - 1 4 g K C 1 - Ρ,Ο Polishing of [1551]


- 0 . 5 ml HC1 Cd face

257 I 1000 ml H 2 0 - 1 4 g K C 1 - Ρ,Ο Polishing of S [1551]


- 1 6 ml HC1 face
CADMIUM SELENIDE
258 CdSe I 30HNOt-20H2SO4- 40eC 8s 1 G,D,0 [1545]
-10CH.COOH-0.1HC1
259 epi-CdSc I lHNOt-3HCl 1 D [1552]

CADMIUM TELLURIDE
260 CdTe I 3HF-2H202-1H20 3.4 μιη/min P.D.O [1545]
261 I 10 ml HNO3-2O ml H 2 0 - 4.2 μm/min D,P [1553]
- 4 g K 2 Cr 2 0 7
262 I 2HN03-2HC1-1H20 12.5 μπι/min P [1553]
263 I 5OHNO3- IOCH3COOH- 1 H C 1 - 8.0 μπι/min P [1554]
- 18H 2 S0 4
264 I 0.5% Br 2 in CH 3 OH 2.8 μΐΏ/πύη G [1546]
265 I 5% Br 2 in C H 3 O H ~ 5 m g AgNO s 2.2 μm/min DJ [1553]
266 [1554,
50% NaOH 1.6 μm/min P
1555]

MERCURY SELENIDE
267 1 HgSe I I 50HNO 3 -20H 2 SO 4 (18 N ) - 40°C 10 min P [1545]
-10CH 3 COOH-HC1

MERCURY TELLURIDE
268 I HgTe I I 6HN03-1HC1-1H20 10 min P [1545]

MERCURY CADMIUM TELLURIDE


26ι9 Hg^Cd^Te I 6HN03-1HC1 P [1314]
270 I 20% Br 2 in CH3OH F [1556]
271 I Br2-CH3OH Etching reac-
tion kinetics [155η

LEAD SULPHIDE
272 PbS I Bra-CH3OH C [45]
CO 273 I [1558]
3 0 H C 1 - 1 0 H N O S - lCH 3 COOH 50°C P
o
Co TABLE 10.3 (continued)
O
0 1 2 3 4 5 6 7 8

274 I HN03 70°C G [1558]


1
275 I 1 H C 1 - 3 thiourea (10%) 60°C . 1 - 1 0 min D [1558]
276 I aqua regia 45°C P [1559]
277 J [1560]

LEAD SELENIDE
278 PbSe E 5KOH(45%)-5 ethyleneglycol-
- lH 2 Oo T [1561]
279 I 5KOH ( 4 5 % ) - 5 e t h y l e n e g y l c o l - ' 40°C 3 min P [1314]
- ΙΗοΟο

LEAD TELLURIDE
280 PbTe E 45 ml H 2 0 —35 ml glycerol — Τ,Ρ [1562,
- 2 0 ml C 2 H 5 O H - 2 0 g KOH 1563]
281 I 10 ml H 2 0 - 5 g N a O H - 2 g I 2 5 min D [3519]

LEAD TIN SELENIDE


282 I Pb^Sn^Se I I 10 e t h y l e n e g l y c o l - 1 0 KOH — |P [1564]
- lHpO«,

LEAD TIN TELLURIDE


283 Pb^Sn^Te E 45 ml H 2 0 —35 ml glycerol — p [1315,
- 2 0 ml C 2 H 5 O H - 2 0 g KOH 1562}
284 "F | 95HBr-5Br2 1 — 2 min p [1315]

B. Insulators and Dielectrics


285 I SiOo I L HF; BHF; 2HNO3-3HF-60H3O L,S [20,1242,
(P etch) 1565-
- 1568,
1573,
1574,
4073]
286 L hot KOH; hot NaOH 90° C 5 0 - 5 0 0 A/min [1569,
1570]

287 G H F vapour from aqueous H F G [1571,


solution; H F gas 1572]

288 BSG L HF; BHF G,L,S [1576-


- 1578,
4061,
4134]

289 PSG L H F ; B H F ; hot H 3 P 0 4 L,S [1257,


1568,
1575,
1577,
1578,
4067,
4134,
«1144,
4150]

290 AsSG L H F ; B H F ; hot NaOH(30%) L,S [1577,


4134]

291 A1SG L H F ; B H F ; hot NaOH (30%) L,S [4134]


292 PbSG L H F ; B H F ; hot NaOH(30%) L,S [4134]
293 ZnBSG L HF; BHF; 1HF-1H20; L,S [4134]
20HF - HHNO3 - 66H 2 0
294 α-Α1203 L H F ; B H F ; warm H 3 P 0 4 ; L,S [1579-
H3P04-Cr03-H20 -1581,
4202,
4203]
295 cr-Al203 L hot H 3 P 0 4 L,S
296 a-Ti0 2 L H F ; B H F ; hot H 3 P 0 4 ; warm L,S [4246,
H 2 S 0 4 ; NaOH(30%) 4250]
297 <r-TiOa L hot H 3 P 0 4 ; NaOH (30%); L,S
hot H 2 S 0 4
co TABLE 10.3 (continued)

0 1 2 3 4 5 6 7 8

298 a-Hf0 2 L HF L,S [4246,


4259]

299 a-Ta 2 O s L H F ; B H F ; hot K O H - H 2 0 2 - L,S [1582,


-H20 1583,
4262]

300 cr-Ta 2 0 5 L KOH-H202-H20 hot L,S [1582,


1583]

301 cr-NbaOR L HF L,S [4260]

302 cr-Zr02 L H F ; hot H 3 P 0 4 ; hot H 2 S 0 4 L,S [4255]

303 cr-GeO?j L H F ; H 3 P 0 4 ; hot H C l L,S [4264]

304 Si 3 N 4 L H 3 P 0 4 ; HF L,S [84, 1307,


1584-
-1587,
2260,
4353,
4427]

305 Si^H, L H3P04 ; HF ; BHF L,S [4318,


4330,
4331]

306 SixOyNZ L N H F ; H 3 P 0 4 ; B H F ; hot H C l L,S [84, 209,


4411]

307 Ge 3 N 4 L HF ; B H F ; hot H 3 P 0 4 L [4433,


4434]

308 α-AloOg (sapphire) L j hot (3H 3 P0 4 - 1H 2 S0 4 ) 250° C G,P,S [1589-


1591]

309 L 1 H 3 P0 4 500° C G,P,S [1598]


310 M molten salts (Na 2 B 4 0 7 , KOH, 450-1100° C G.P.S '[1592-
V 2 0 5 , PbF 2 . K 2 S 2 0 4 , B 2 0 3 ) 1594,
1598]
311 G F 2 ; S F e ; CC1F3; H C 1 - H 2 ; H a 1200- G.P.S [1595,
—1500°C 1596]
312 MgAl 2 0 4 (spinel) L 3H3P04-1H2S04 250° C G.P.S [1589-
1591]

313 M Na2B407 G.P.S [1594,


1598]

314 G H2 1300°C 100 A/min G.P.S [1597]

315 SIPOS (20 at%) L 6 H 2 0 2 - 1HF - 10NH 4 F (40 %) 2000 A/min L [3744]

316 MgO (substrate) L HN03-H2S04 100°'C C [45]

C. Conductors (Metals and Alloys)


ALUMINIUM
317 Al L 10HCl-lHNO3-9H2O 50° C 25 — 50 μηι/min G [1312]

318 L 0.1M K2B4O7-0.5M KOH- 1 μm/min G [1310]


- 0 . 6 M K 3 Fe(CN) 4

319 L 1HC1-4H20 80° C S [1600]

320 L 20% NaOH 60-90°C S [1307]

321 L FeCl3-H20; FeCl3-HCl L [1307]

322 L 4H3P04-4CH3COOH- 1HN03- 350 A/min P [1601.


-1H20 1602]

323 L 75 g N a 2 C 0 3 - 3 5 g N a 3 P 0 4 - 1300 A/min P [1601]


- 1 6 g K 3 F e ( C N ) e - 0 . 5 1 HaO

324 L 19H 3 P0 4 - 1HN0 3 - 4 H 2 0 40° C 2500 A/min L [1307]

325 L 1HC10 4 -1(CH 3 CQ) 2 Q 3 μηι/min L [1313]


CO TABLE 10.3 (continued)

0 1 2 3 4 5 6 7 8

326 L 74H3P04- 18H20-7HN03 50° C 0.9 μηι/min L [863]


327 L 1H20-1HF L [1599]
328 L 1HC1-1HN03-1HF L [1599]

ANTIMONY
329 Sb L a#wa regia; hot H 2 S 0 4 ; L [1303]
5% A g N 0 3
330 L 1 g F e C l 3 - 3 ml H C l - 1 2 ml H 2 0 L [1303]
331 L 1H20-1HC1-1HN03 L [1599]
332 L 90H 2 O - 1HF - lOHNOg L [1599]

ARSENIC
333 | As L HNO q L [1303]

BERILLIUM
334 I Be L HC1 L [1603]

BISMUTH
335 I Bi L hot H 2 S 0 4 ; 5% A g N 0 3 ; L [1303,
1 0 H 2Q - 1 H C 1 1599]

CADMIUM
336 I Cd L 3 H N 0 3 - 1 H 2 0 ; l g I 2 - 3 g KI- L [1313,
- 1 0 ml HoO 1303]

CHROMIUM
337 Cr L 3HC1-1H202 L [1599]

338 L K3Fe(CN)e-NaOH-H20 1000 Ä/min S [1307,


1312]
339 L 2FeCl3(soln.)-lHCl 80° C S [1312]
340 L HCl 1500 A/min L [1307]
341 L 454 g A1C13 · 6 H 2 0 - 135 g Z n C l 2 - L [1307]
- 3 0 ml H 3 P 0 4 - 400 ml H 2 0
342 L 9Ce 2 (S0 4 ) 3 (sat. soln.,)- 1HN0 3 800 Ä/min L [1307]
343 L Dilute HCl or H N 0 3 S [1312]

COBALT
344 Co E H3P04 P [1313]
345 L 1H20-1HN03 L [1599]
346 L 3HC1-1H202 L [1599]

COPPER
347 Cu L FeCl 3 soln. 50° C 50 μηι/min L [1307,
1312]

348 L 20% H 2 S O 4 - 1 0 % Cr03 50° C L [1307,


1312]

349 L 1 g (NH4)2S204-3ml H20 50° C L [1312]

350 L 1H20-5HN03 L [1599]

GOLD
351 Au L 4 g Κ Ι - l g I 2 - 4 0 m l HaO 0.5—1 μπι/min L [1307,
1312]
352 L 3HC1-1HN03 32°C 25 — 50 μm/min L [1312]

353 L 0.4 M K 3 F e ( C N ) 6 - 0 . 2 M KCN- 600 A/min L [1310]


- 0 . 1 M KOH
HAFNIUM
354 Hf L 1 - 2 % HF L [1307]
355 L 20H20-1HF-1H202 L [1599]
W TABLE 10.3 (continued)

0 1 2 3 4 5 6 7 8

IRIDIUM
356 | Ir 1 L | 3HC1-1HNQ 3 [hot j | L | | [1599]
IRON
357 | Fe | L | 1H 2 Q-1HC1; 1 H 2 Q - 1 H N Q 3 | j | L | | [1599]
LEAD
358 1 Pb j L | lCH3COOH-lH2Q2 j j | L | | [1599]

MAGNESIUM
359 Mg L FeCl3-HCl-H20; HN03-H20 L [1303]
360 L (10H2O-lNaOH) + ( 5 H 2 0 - hot L [1599]
-lCr03)

MANGANESE
361 | Mn 1 L | 1HC1-1H 2 Q j j | L | | [1303]
MOLIBDENUM
362 Mo L 5H3P04 - 3HN03 - 2H20 L [1601,]
363 L 1 H 2 S 0 4 - I H N O 3 - 1H 2 0 50°C 25 μm/min L [1312,
1604]
364 L, E 200 g K 3 F e ( C N ) 6 - 2 0 g N a O H - 1 μπι/min L [1307.
— 3 g sodium oxalate— 11 H 2 0 1312.
4526]
365 L 38H3P04-15HN03- 0.5 μm/min S [1313]
-30CH3COOH-75H2O
366 E 1H2S04-7CH30H 1 min P [1313]
367 E 100 ml H 3 P O 4 - 2 0 ml H 2 S 0 4 - 9.4 μπι/min P [1290]
70°C
- 4 0 ml H 2 O - 0 . 2 5 g Mo0 3

368 1 L 1HC1-1H202 1 [1599]


NICKEL
369 Ni L 5HN03-5CH3COOH-2H2S04 -
-H20 L [1606]

370 L 1HN03-1HC1-3H20 L [1303]

371 L FeClg (soln.) 50°C 12 — 25 μπι/min L [1312]

372 L 1HF-1HN03 L [1599]

NIOBIUM
373 I Nb E 1 H F - 1 H N 0 3 ; 9 H 2 S 0 4 - IHF 50°C 5—10 min L [1313]

OSMIUM
374 | Os L aqua regt a L [1303]

PALLADIUM
375 Pd L aqua regia hot L [1599]

376 L 1 H C 1 - IOHNO3- 10CH3COOH 1000 Ä/min L [1607]


PLATINUM
377 Pt L 8H 2 0-7HC1-1HN0 3 85°C 4 0 0 - 5 0 0 Ä/min L [1608,
4553]

378 L aqua regia hot L [1599]

379 E 3 M HC1 L [1609]

RHENIUM
380 Re L Dilute HNO a hot L [1303]

381 L aqua regia L [1599]

RHODIUM
382 Rh E 3 M HC1 L [1609]
CO
o 383 L aqua regt a I hot L [1599]
CO TABLE 10.3 (continued)

0 1 | 2 3 4 5 | 6 7 | 8

RUTHENIUM
384 Ru M fused alkalis L [1303]
385 L a^wa regia L [1599]

SILVER
386 Ag L 1NH40H-1H202 L [1599]
387 L 11 g F e ( N 0 3 ) 3 - 9 m l H 2 0 50°C 20 μηι/min S [1307.
1312]
388 L 5HN03-5H20 50°C 25 μηι/min L [1307,
1312]

389 L KI-I 2 1 μπι/s L [1307]

390 L 4CH 3 OH - 1ΝΗ 4 ΟΗ - 1H 2 0 2 60Ä/s L [1610]

391 E 3HN03-19H20 L [1314]

TANTALUM
392 Ta L 9NaOH or KOH ( 3 0 % ) - l H 2 O 2 2000 A/min S [1611,
1612]

393 L 5H2S04-2HN03-2HF P [1313,


1613]

394 L 1HF-1HN03 L [1599]


TIN
395 Sn L FeCl 3 (soln.) 50°C L [1312]

396 L 1HN03-49C2H50H L [1303]

397 L 1HF-1HC1; 1HF-1HN03; L [1599]


1HF-1H20
TITANIUM
398 Ti L 9H20-1HF 32°C 1 — 2 μπι/ππη L [1307.
1312]
399 L 7H20-2HN03-1HF 32°C 18 μπι/min L [1307.
1312]
400 L 50HoO-lHF-lHNO, L [1599]
401 L 20H 2 O-lHF-lH 2 Oo L [1599]

TUNGSTEN
402 W L 34 g K H o P 0 4 - 13 g KOH -
- 3 3 g K 3 F e ( C N ) 6 - l 1 H.O 1.600 Ä/mi n L [4510]
403 E 5 % K O H - 5 % K 3 Fe(CN)„ 2.3 μιη/πιίη L [4506]
404 E 5 - 1 0 % NaOH L [1313]
405 L (NH4)2S208-KOH-H20 L [4507]

406 L NaOH-H202 L [4507]


407 L 1HN03-1HF L [4507]

VANADIUM
408 1 V L lHaO-lHNOs L | [1599]
409 | L 1HF-1HN03 L [1599]

ZIRCONIUM
410 Zr L 50H2O-lHF-lHNO3 L [1599]
1
411 L 20H2O-lHF-lH2O2 L 1 [1599]

N I C K E L - CHROMIUM
412 Ni-Cr L FeClg (soln.) 43°C S [1303]
413 L 1HN03-1HC1-3H20 S [1303]
CO
o 414 U 4HC1-1H20 L [1303]
Co TABLE 10.3 (continued)
0 1 z 3 1 4 5 6 7 8

415 E 7 H 3 P 0 4 - 1H 2 S0 4 - 2 H 2 0 P [1303]

PERMALLOY
416 I Ni-Fe L 4 M H2SQ4-1 M H2Q2-4 M HF 4 μηι/min L [1619]

D. Transparent Conducting Oxides


CADMIUM O X I D E
417 | CdO | I | Mineral acids G [1303]

TIN OXIDE
418 SnO a E (3-10)H2O-lHCl 1600 A/min S [1614]

419 HC1 —Zn powder S [1615]

420 Sn02:Sb I HC1—Zn powder S [4664]

INDIUM - T I N O X I D E (ITO)
421 In203:Sn H2S04 60°C 0.5μηι/Η S [1616]

422 HC1 S [4691]

423 1 M oxalic acid, aq. soln. 50°C S [1617]

424 I n 2 0 3 : S n 0 2 (4:1) 55% H I 120°C 25Ä/s S [1618]

425 2 H C 1 - 1 H 2 0 - Z n powder 150 A/s G [1618]

E . Various Non-Insulatir lg Oiddes


CHROMIUM D I O X I D E
426 | CrO« 2 g Ce(NH4)2(S04)3-2H20- 200 Ä/min L [1620,
- 1 0 ml H N O 3 - 5 0 ml H 2 0 1621]
FERRIC OXIDE
427 Fe203 L Dilute H F ; warm 10% L [1303]
ammonium citrate;
1HC1-1H 2 Q

TUNGSTEN OXIDE
428 I WOa I 20% Na202 hot | [1303]

LEAD OXIDE
429 I PbO HNO- [1303]

F . Superconductors
NIOBIUM S T A N N I D E
430 I Nb,Sn I E 5 H 2 S 0 4 - 4 H N 0 3 - 1HF P [1303]

NIOBtUM GERMANIDE
431 I Nb,Ge I L 90H2SO4-10HF L [4763,
4772]

G. Magnetic Oxides
432 Garnets L H3P04 150-180°C 0 . 0 5 - 0 . 9 μπι/ G,C [1621,
min 1623]

433 L H2S04-H3P04 120°C L [1624]

(a) A = anisotropic e t c h a n t ; E = electrochemical e t c h a n t ; G = gaseous e t c h a n t ; I = isotropic e t c h a n t ; L = liquid e t c h a n t ; M =


molten salt e t c h a n t ; S = selective etchant.
(b) C = cleaning e t c h a n t ; D = etchant for structural defect (e.g. dislocations, stacking faults, twins, grain boundaries) determination;
F = surface finishing e t c h a n t ; G = general e t c h a n t ; J = junction delineation e t c h a n t ; L = CVD layer e t c h a n t ; O = face orientation
(polarity) differentiation e t c h a n t ; P = polishing e t c h a n t ; S = etchant for shaping of p a t t e r n s ; T = thinning etchant.

CO
FUNDAMENTALS

The most important compound semiconductor is gallium arsenide (GaAs)


which is usually etched in Br2—CH3OH, NaOH—H 2 0 2 , H 2 S0 4 —H 2 0 2 —
H 2 0 and NH 4 OH—H 2 0 2 —H 2 0. Chemical polishing is performed in glyce-
rol—HC1—NH03 [1480]. An example of an anisotropic etchant is 8H202—
1H20—1H2S04. Electrolytic etching can be accomplished in KOH (NaOH) —
H 2 0, HC1-H 2 0 or H N 0 3 - H 2 0 . Redox solutions, such as I 2 - K I ,
K3Fe(CN)6 — K4Fe(CN)6, C6H402—C4H602 (quinone-hydroquinone), FeG3—
FeCl2 and Ce(S04)2 — Ce(N03)3, can etch GaAs selectively with respect to
Ga^AlzAs or vice versa.
10.8.1.8.2 Dielectric Etching
Dielectrics [1565—1598] include single oxides, bulk oxides, binary and ter-
nary silicate glasses, nitrides, and oxynitrides. The main etchants of technical
importance for dielectrics are ammonium fluoride — buffered hydrofluoric
acid used for photolithographic patterning; strong aqueous HF (at room tem-
perature) ; hot 85% H 3 P0 4 for pattern etching with oxide or metal masks;
and etchants such as strong mineral acids or bases.
In the case of CVD dielectric films, anisotropic etching, vapour phase
etching, and electrochemical etching are seldom used except in the prepara-
tion of insulator substrates such as sapphire (α-Α1203), spinel (MgAl204),
and beryllig. (BeO). The etch rate of an insulator depends not only on etchant
composition, agitation, and temperature, but also on the density, porosity,
residual stress, microstructure, defect density, exact stoichiometry, and purity
of the material being etched which, in turn, vary with the exact deposition
conditions. The etch rate can be either increased as a result of the defects gene-
rated by electron beam irradiation, ion bombardament, or ion implantation,
or decreased by heat treatment due to densification. There are many diffe-
rences in the etch rate of CVD and non-CVD films. Moreover, etch rates vary
according to the type of CVD and react ant system.
In general, dielectric films deposited at low temperature have high etch
rates due to their low density or amorphous structure, whereas films of the
same compound that are annealed or deposited at high temperature have
lower etch rates.

A. Simple oxides
(a) Si02 is primarily etched in HF with or without the addition of NH 4 F,
according to the overall reactions:
Si0 2 + 6HF == H 2 SiF 6 + 2H 2 0 (10.89)
Si0 2 + 4HF + 2NH 4 F = (NH4)2 SiFe + 2H 2 0 (10.90)
Also, Si0 2 is soluble in P etch (2 vol. HN0 3 70%, 3 vol. HF 40%, 60
vol. H 2 0) and slightly soluble in hot H 3 P0 4 and hot KOH or NaOH solu-
tions.
(b) Al203. Films of A1203 prepared by CVD below 500°C are etchable in
HF, BHF, waim H 3 P0 4 , and etchants based on H 3 P0 4 . Thermal densification
at 700—800°C gives crystalline modifications that exhibit much lower etch
rates. A1203 films deposited at 900— 1000°C are unetchable even in concen-

312
P R O P E R T I E S OF CVD FILMS

trated HF solution; etching may be carried out in boiling 85% H 3 P0 4 using


CVD Si0 2 as masks.
(c) Other oxide films (Ti0 2 , Zr0 2 , Hf0 2 , Ta 2 0 5 , Nb 2 0 5 ). Ti0 2 films depo-
sited at low temperature (150—300°C) are etchable in 0.5% HF or in warm
98% H 2 S0 4 , whereas films annealed at 1000°C are only slightly etchable in
the same reagents. Zr0 2 films deposited at 800—1000°C are slowly etchable
only in hot H 3 P0 4 . Hf0 2 films are etchable in HF. Ta 2 0 5 films deposited
at 500°C are soluble in dilute HF and can be patterned with 9 vol. NaOH or
KOH 30% plus 1 vol. H 2 0 2 (30%) at 90°C, using an Au mask. Nb 2 0 5 is etched
in HF.
(d) Bulk oxides (sapphire, spinel, and beryllia). These oxides [1589—1598}
used as substrates for heteroepitaxial CVD of silicon layers are slowly
etchable in boiling concentrated H 3 P0 4 —H 2 S0 4 mixtures. Sapphire and spi-
nel are polished by gas-phase etching at high temperatures in SF6, CC1F3,
HC1—H2, H2, etc., whereas BeO can be etched in hot HC1. Melts of K2S207„
PbO—PbF 2 and V 2 0 5 have been used for etching sapphire.
B. Silicate glasses
(a) Borosilicates (BSG) are etched in HF or BHF. Etchability in H F
increases continuously with increasing B content, wheareas in BHF it attains
a minimum.
(6) Phospkosilicates (PSG). The etch rate of PSG in both HF and B H F
increases with increasing P content. This behaviour can be explained as in
the case of BSG by supposing that the Si0 2 component of glass is dissolved
by buffered HF and the P 2 0 5 component principally by water.
(c) Arsenosilicates are readily etched in BHF. Their solubility increases
with increasing As 2 0 3 content.
(d) Other silicates. Aluminosilicates, zinc silicates, zinc borosilicates,
aluminoborosilicates, aluminophosphosilicates, lead silicates, and lead boro-
silicates are all etchable in HF and BHF solutions.
C. Nitrides and oxynitrides
Si3N4 films are etchable at room temperature in concentrated HF or
BHF, in H 3 P0 4 at 140-200°C, in 49% H F - 7 0 % HN0 3 (3:10) at 70°C and
in molten NaOH at 450°C. The etch rate is affected by the presence of oxygen
in the film; in HF and BHF it increases, while in H 3 P0 4 it decreases with
increasing oxygen content. Pattern etching of Si3N4 films is usually performed
by reflux boiling of 85% H 3 P0 4 at 180°C using CVD Si0 2 as the etch mask.
HF-water mixture at 90°C can etch composite layers of Si3N4 and Si0 2 at
an equal rate, allowing wall patterning with uniform taper angle in some
applications. Sia:N2/Hz films deposited by PECVD have much higher rates
than high-temperature CVD Si3N4. Si3N4 films can also be etched by anodic
conversion in Si0 2 and subsequent Si0 2 dissolution. Si^Oj,Nz are etched in
48% HF in H 3 P0 4 (180°C) at etch rates between those of Si0 2 and Si3N4.
Ge3N4 is dissolved in concentrated HF, HN0 3 , and hot H 3 P0 4 .
10.8.1.8.3 Etching of Conductors, Ferrites, Garnets, and Superconductors
All known conducting materials can be etched by electrochemical procedures
using an electrochemical cell whose anode is the material to be etched.

313
FUNDAMENTALS

Also, many chemical et chants involving either fluoride or non-fluoride


solutions are available for the following CVD materials: metals (AI, W, Mo,
Ta, etc.) [1599—1613]; transparent conductors (Sn0 2 , ln 2 0 3 , Sn0 2 : ln 2 0 3 )
[1614-16181; magnetic materials [1619—1621]; garnets (Gd3Ga5012) [1622-
1624] and superconductors (Nb3Ge, Nb3Sn) [4763, 4772] (Table 10.3).
Al, the most used metal in semiconductor technology, is etched in H 3 P0 4 —
H N 0 3 - H 2 0 (40-50°C), HC1-H 2 0 (80°C), 20% NaOH (60-90°C), H N 0 3 -
HCl-H 2 O(50°C),etc.Wis etched in K 3 Fe(CN) 6 -KOH, (NH 4 ) 2 S 2 0 8 -KOH,
NaOH—H 2 0 2 , and H N 0 3 - H F solutions. Sn0 2 is slowly etched in Zn-HCl,
while ln 2 0 3 is etched in HC1 solution. Ferrites and garnets are etched by 85%
H 3 P0 4 , whereas superconductors are etched by H 2 S0 4 —HF mixtures.

10.8.2 Dry Etching Plasma-Assisted Techniques

Dry etching plasma-assisted techniques [1643—1655] have been developed


due especially to the necessity for new pattern transfer processes different
from conventional liquid-phase chemical etching. These processes are ade-
quate for modern lithographic techniques that allow the patterning of re-
duced feature sizes, have increased resolution, and have the advantage of
being dry processes.
Dry etching techniques range from processes in which the etching pro-
ceeds by a purely physical mechanism (physical dry etching) to cases in
which only the chemical reactions are responsible for etching (chemical dry
etching). Between these two extremes there is a combined process in which
etching proceeds both by physical and chemical effects (chemical-physical
dry etching).
Ion milling and sputter etching techniques use the momentum transfer
from inert gas ion bombardment to achieve etching. Reactive ion-beam
etching (also called reactive ion milling) and reactive ion etching (also called
reactive sputter etching) use reactive ions to both transfer momentum and
chemically interact with the sample. Plasma etching uses various reactive
species to chemically react with the sample.
A comparison between the physical, chemical-physical, and chemical
dry etching techniques and conventional wet etching process is provided
in Table 10.4.
Numerous articles have thus far been published on plasma etching
[1656—1832], sputter etching [1833—1845], reactive ion etching [1846—1903],
ion-beam milling [1904—1923], reactive ion-beam etching [1924—1939], and
laser etching [1940—1956].

10.8.2.1 Plasma Etching

Plasma etching refers to the removal of solid (thin film or substrate) by


forming a volatile compound by means of a chemical reaction of the
solid with an active species created in a low-pressure gas discharge [1643—

314
P R O P E R T I E S OF CVD FILMS

TABLE 10.4

Comparison of Conventional Dry Etching Processes [1644, 1648]

Laser
PE IE RIE IDE RIBE
etching

Mechanism Chemical Physical Chemical- Physical Chemical- Photochemi-


-physical -physical cal
1
Pressure l O - i - 10 10-2-10-1 10-3-10-2 10-4-lo-s 10-4_ 1 0 -5 Variable
(torr)

Etch rate High Low Medium Low Medium High

Selectivity Very good Poor Good Poor Good Good

Anisotropy Isotropie Anisotropie Anisotropie Anisotropie Anisotropie Isotropie to


anisotropic

1667]. This technique has the widest range of application being advantageous
for definition and transfer [1826] of patterns required in the majority of
semiconducting, insulating, and metallic thin film materials used in silicon
integrated circuit technology. It offers improved resolution ( < 1 μπι), excel-
lent selectivity and reproducibility, negligible undercutting, the possibility
of anisotropic etching under certain conditions, controlled step etching,
low cost, high yield, and the possibility of automatic endpoint detection.
Another major advantage is that of being a dry process, so that many pro-
blems encountered in liquid etching are obviated, namely: loss of resist adhe-
sion, etch blocking due to bubble formation, incomplete wetting, undesired
etching after completion of the process, disposal of corrosive and toxic
liquids, etc. Some of the limitations of this technique are as follows: insuf-
ficient selectivity for some important film-substrate combinations, insufficient
etching uniformity caused by the loading effect (i.e. the dependence of the
etch rate on the quantity of material being etched), inapplicability at very
high packing densities, and the introduction of radiation damage into devices.

10.8.2.1.1 Chemical Reactions in Plasma-Assisted Etching, Plasma etching


proceeds by means of the reactions between the material and active species,
such as atoms or free radicals generated in an R F glow discharge from a
relatively inert molecular gas [1626]. Plasma reactions exhibit great variety
and complexity, so that understanding the actual mechanism involved in
plasma etching is very difficult [1672—1687]. Indeed, in a molecular gas
discharge several processes of production and loss of the various species
(electrons, ions, neutrals) take place. Thus, electron-molecule interactions
such as excitation, dissociation, positive or negative ionization, dissociative
ionization, etc. result in the production of reactive species such as atomic
and molecular excited states, atoms, free radicals, and negative and positive
ions. On the other hand, electrons, ions, neutral atoms, and radicals are lost
by dissociative recombination, dissociative attachment, and homogeneous

315
FUNDAMENTALS

or heterogeneous recombination. Chemical reactions encountered in plasma


chemistry are exemplified below for an etch gas mixture consisting of CF 4 -f0 2 :
e + CF 4 — > CF4* + e (electron impact excitation) (10.91)
e + CF 4 — CF3 + F + e (electron impact dissociation) (10.92)
— * CF3 + F-
e + 0 2 —> 20 + e (electron impact dissolution) (10.93)
—> o + o-
e + 0 2 — > 0+ + 2e (electron impact ionization) (10.94)
e + CF 4 — > CFJ + F + 2e (electron impact dissociative
ionization) (10.95)
e + 0+ —> 20 (dissociative recombination) (10.96)
e + CF 4 — * CF3 + F- (dissociative attachment) (10.97)
F + F + Ar —> F 2 + Ar (three-body homogeneous recom-
bination) (10.98)
_. wall
F + F > F2 (heterogeneous recombination) (10.99)
Plasma etch processes, encompassing the reactions of more than 30 thin
film and substrate materials (semiconductors, dielectrics, metals, etc.) and
dozens of etchant gas mixtures, are summarized in Table 10.5. Etching usually
occurs in plasmas that contain free fluorine, fluorocarbon radicals, and chlorine
and bromine atoms as well as in oxygen- or hydrogen-based plasmas.
TABLE 10.5
Summary of Plasma Etching of CVD Materials [1651, 1656, 1653, 1667, 1810]

Acti-
vation
Etch rate Aniso-
No Material Gaseous etchant Selectivity ener- Reference
(μηι/min) tropy
gy(eV/
mole)

1 2 3 4 5 6 7 8

1 Silicon (a) Plasmas


(substrate) containing
F-atoms
F2 0.4 no high over Si0 2 , [1667]
Si 3 N 4 , metals,
suicides
CF4-02 no 7:1 over S i 0 2 0.108 [1667,
1731,
1732,
1734,
1736]

316
P R O P E R T I E S OF CVD F I L M S

TABLE 10.5 (continued)

J_l 2 1 3 1 4 1 5 6 | 7 1 8

CF4-N20 0.25 no 25:1 over Si0 2 [1734]


C2F6-02 no [1663]
C3F8-02 no [1663]
SFe 0.5 no 30:1 over S i 0 2 [1736]
SFe-02 0.2 no 30:1 over S i 0 2 [1736]
SiF4-02 0.02-0.1 no 10:1 over S i 0 2 [1738]
NF3 no [1741]
NF3-02 2 no 300:1 over S i 0 2 [1742]
(b) Plasmas
containing
fluorocarbon
radicals
C,Fe 0.04 yes 1:5 over Si0 2 , [1667]
1:15 over Si 3 N 4
,CF4, C F 4 - H 2 0.0015 yes 1:35 over S i 0 2 [1667]
Q F 6 , C 2 F e —C 2 H 4 0.004 yes 1:20 over S i 0 2 [1667]
(c) Plasmas contai-
ning Cl and Br atoms
Cl 2 10 yes high over S i 0 2 [1748]
CC12, CF 2 C1 2 , yes high over S i 0 2 [1663]
CF 3 C1, C 2 F e - C l 2 .
CC1 4 -C1 2 , C1F3.
C1F3-F2
C1F3-C12 0.25 yes 42:1 over S i 0 2 [1744]
Br 2 yes high over Si0 2 [1663]
CF 3 Br , 0.075 yes | 3:1 over SiO a [1683]
(d) Other plasmas
H2 0.12 30:1 over S i 0 2 [1750-
- 1753]

2 Poly-Si CF4-02 0.01-0.1 no high over Si0 2 0.1 [1651.


1688.
1774]
SFe-Oa 0.1-1 no 10:1 over S i 0 2 [1736.
1737.
1775.
1784]
NF3. N F 3 - 0 2 yes high over S i 0 2 [1739]
NF3 1-2 no 15:1 over SiO a [1745]
SiF4-02 0.02-0.07 no high over S i 0 2 [1738]
CF 4 0.032 3.5:1 over S i 0 2 [1735]
C2F6 0.040 1:1 over SiO z [1735]
HF 0.090 8:1 over S i 0 2 [1735]
CF4-HF 0.080 7:1 over S i 0 2 [1735]
C2Fe-HF 0.020 1:1 over SiO a [1735]
CF3C1-Ar 0.01 30:1 over S i 0 2 [1772,
1774,
1778-
-1780]
lC2Fe-lCF3Cl 0.1-0.15 no 8:1 over S i 0 2 [1774]
4C 2 F 6 -1CF 3 C1 0.1 yes 5:1 over S i 0 2 [1772,
1774]
! CF3Br-He 0.1 yes 16:1 over S i 0 2 [1772]
! C2Fe~Cl2 0.05 yes 6:1 over S i 0 2 [1772.
i 1774]
' CC14, C C l 4 - 0 2 1 0.2 1 yes 1 20: l o v e r SiO a 1 [1785]

317
FUNDAMENTALS

TABLE 10.5 (continued)

ll 2 | 3 4 \ 5 \ 6 | 7 | 8

CC14 0.04 yes 10: l o v e r S i 0 2 [1745]


Cl2, H e - C l 2 , HCl yes high over SiOa [1782]
C2F8Cl-02 0.012 9:1 over SiO a [1776]
CFClg 0.4 4:1 over Si, [1787]
8:1 over S i 0 2
3 ß-SiC CF 4 , C F 4 - 0 2 [1789]

Γ2
4 Si02 | no oo over III-V 0.163 [1663]
compounds
' CF4-02 no oo over III-V [1736]
compounds
C2Fe-02, no oo over III—V [1663]
C3F8-02 compounds
SF6-02 0.016 no 1:30 over Si [1736]

SiF4-02 0.007 no 1:500 over [1738]


Si 3 N 4
NF3-02 0.008 no [1742]
C2Fe-CHF3-He 1 no 5:1 over Si [1692]
CHFg 0.01 no 8:1 over Si [1759]
C 2 F e , C 3 F 8 , CF4 0.09-0.2 yes 5 — 6:1 over Si [1755-
-1758,
1760,
1846]
CF4-H2 0.05 yes 35:1 over Si [1755-
-1758,
1760,
1846]
C 2 F e —C 2 H 4 0.08 yes 20:1 over Si [1667]
CH 3 F, CHF 3 0.06 1 yes 1 0 - 1 0 0 : lover Si [166η

5 BSG CF 4 , C F 4 - 0 2 0.01-0.1 no 0.12- [1771]


-0.15

6 PSG CF 4 , C F 4 - 0 2 0.01-0.1 no 0.08- [1771]


-0.12

7 AsSG CF 4 , C F 4 - 0 2 0.01-^0.1 no 0.11- [1771]


1-0.16
8 A1 2 0 3 BClg, C a 4 , B C l g - 0.006 0.03 [1663,
- C l 2 , CC14~C12 (BClg) 1800,
1802]
0.2-
-0.3
(CC14)

9 Ti02 C2Fe 5:1 over Ti [1760]

10 Si 3 N 4 F2 no oo over III—V 0.17 [1663]


compounds
CF4-02 no oo over III—V [1663]
compounds

318
P R O P E R T I E S O F CVD F I L M S

TABLE 10.5 (continued)

1 | 2 | 3 4 1 5 | 6 1 7 | 8

C 2 F 6 —0 2 , C 3 F 8 —C 2 no [1663]
SF e , S F 6 - 0 2 0.1 no high over [1736]
Si0 2 , metals
SiF4-02 0.1 no 7.5:1 over Si [1738]
100:1 over S i o J

NF 8 no [1663]
CC14 0.1 yes 10:1 over S i 0 2 [1785]
C 2 F e , C 3 F 8 , CF4 0.12-0.6 yes 9 - 1 5 : 1 over [1755]
Si 1
CH 3 F 0.02 yes 7:1 over Si [166η
CF4-02-CF3Br 0.025 yes 10:1 over S i 0 2 [1762]

11 PR o2 0.1 0.27 [1797]


CF4-02 [1681,
1684]

12 Polysiloxane C F 4 - 0 2 0.05-0.1 [1798]

13 GaAs Cl2, COCJ2, CC14, 5 yes high over GaAs- [1663,


CC12F2, PC13, HCl oxide, Si0 2 , 1764,
A1 2 0 3 1790]
C1 2 -BC1 3 yes [1663,
1746]
cci 4 -o 2 yes [1663]
Br 2 yes [1663]
CCl3F-02 [1796]
0.12 [1752,
1:2 over GaAs- 1753]
oxide

14 InP Cl2, CC14, CF2C12 0.06-0.3 yes high over [1792.


GaP-oxide, 1794]
Si0 2 , A1 2 0 3
CCI3F--O2 yes 1 [1796]
Br 2 yes [1663]
H2 1:2 over InP- [1752,
3 oxide 1753]

15 GaP CCJ4.C]a, C C l 4 - 0 2 , 0 . 9 - 2 . 4 yes high over GaP- [1790,


ci2-o2 oxide, 1792]
Si0 2 ,Al 2 0 3

16 Al CC1 4 0.6 yes 100:1 over 0.2 [1800,


A1 2 0 3 1802]
1 Bcig 0.06 yes 100:1 over 0.02 [1802]
1 A1203
CCl4-He,CCl4-Cl2 0.6 yes high over [1805]
BC1 3 -C1 2 A1 2 0 3
Cl2 1 no [1663]
CLj-BClg-CHCIg 1 0.5 yes 1 [1804]

31»
FUNDAMENTALS

TABLE 10.5 (continued)

1 | 2 3 1 4 5 6 7 | 8

CCl4-He 2.5 low over [1691]


poly-Si
BCl3-Cl2-SiCl4- 1-2 high over [1691]
-He poly-Si

17 Au CC1F3 0.025 [1815]


Cl2 0.12 [175η

18 Cr Cl2-02-Ar 0.12 yes 1:1 over Cr0 2 [1807]


CCl4-02-Ar 0.12 yes 1:1 over CrOa [1807-
-1809]

19 Mo CF4-Oa 0.05 no high over [1810.


Si0 2 , Si 3 N 4 1813.
4731]
cci 4 -o 2 high over [IS 14]
Si0 2 , Si 3 N 4
NF 3 . N F 3 - A r 0.1 high over [1811]
Si0 2 , Si 3 0 4

20 Nb CF4 0.05 no high over S i 0 2 [1818]

21 Ta CF 4 . C F 4 - 0 2 0.05 no high over SiOa [1723,


1816]

22 Ti CF3Br-02-He 0.035 no high over SiOa [1815]

23 W CF4-02 0.2 high over Si0 2 , 0.2 [1819]


Si 3 N 4
SF.-O, 0.4 high over Si0 2 , 0.21 [1819]
Si 3 N 4

24 Sn02 C2HC13, SiF 4 . 0.01 [4660]


CC12F2

25 CrOa Cl2-Ar. CCl4-Ar 0.003 high over Cr [1807-


- 1809]

26 MoSi 2 CF4-02 0.09 no 18:1 over Si0 2 , [1812,


3:1 over 1820,
poly-Si 1892]
NF 3 no 5:1 over SiO a [1811]
CC14 0.1 [1785]

27 NbSi 2 CF4-Oa 1:1 over Si, [1810]


high over Si0 2 ,
Si 3 N 4

28 TaSi 2 CF4-Oa high over Si0 2 , [1820,


Si 3 N 4 1822]
CC14 0.3 [1785]

320
P R O P E R T I E S OF CVD FILMS

TABLE 10.5 (continued)

1 | 2 | 3 4 5 6 7 8

29 TiSi 2 CF4-02 no high over Si0 2 , [1812,


Si 3 N 4 1820,
1822]
30 WSi 2 CF4-02 yes 0.66:1 over Si [1820,
1823]
CF4-02 0.3 no [1821]
SF6-02 0.4 2:3 over [1810,
poly-Si 1822]
31 W polycide SF6-02 0.5 10:1 over S i 0 2 [1825]

Plasma etching of a solid material is usually described by mechanisins


involving the following sequence of steps: (1) nondissociative adsorption of
gas phase species at the surface of the solid being etched; (2) dissociation of
this adsorbed gas (dissociative chemisorption); (3) reaction between adsorbed
atoms and the solid surface to form an adsorbed product molecule; (4) de-
sorption of the product molecule into the gas phase; and (5) the removal
of non-reactive residue from the surface. Such, a sequence is exemplified
below for silicon etching by means of CF3 radicals:
CF3(g) + Si CF3(ads) + Si (10.100)
CF3(ads) + Si — * C(ads) + 3F(ads) + Si (10.101)
4F(ads) + Si > SiF4(ads) (10.102)
SiF4(ads) —► SiF4(g) (10.103)
C(ads) + 4F(ads) > CF4(g) (10.104)
Having assumed and verified the most probable mechanism, we can
write the overall reactions encountered in plasma etching of a material.
In the following example, the reactions for etching Si0 2 and Si3N4 in CF 4 —0 2
mixture, which occur similarly, are generally represented as follows:
plasma
CF 4 + 0 2 > C0 2 + CO + COF* + COF2 + F° + F* (10.105)
Si0 2 + 4F° SiF 4 + 0 2 (10.106)
Si3N4 + 12F°
-* 3SiF4 + 2N2 (10.107)
where F° is a neutral fluorine atom, and F* is an excited neutral fluorine
atom. In this case, the active species are the neutral fluorine atoms. In the
case of Si0 2 , the active species can also be the CF3 radicals and the process
can be described by using overall reactions such as:
SiF 4 + COF2 + CO
Si0 2 + 2CF3 SiF 4 + F 2 + 2CO (10.108)
SiF 4 + 2COF
| SiOF + COF2
Si0 2 + CF3 (10.109)
I SiOF2 + COF

321
FUNDAMENTALS

Examples of overall chemical reactions which are assumed to cause


the plasma etching of other materials are as follows:
Si + 4F -—»-SiF4 (10.110)
Si + 4C1 -- > SiCl4 (10.111)
GaAs + 6C1 — > GaCl3 + AsCl3 (10.112)
InP + 6C1 — * I11CI3 + PCI3 (10.113)
W + 6 F -— > W F 6 (10.114)
Mo + 6F —>MoF 6 (10.115)
Ti + 4F — " T i F 4 (10.116)
AI + 3C1 —>A1C1 3 (10.117)
Cr + 2C1 + 20 — > Cr02Cl2 (10.118)
Cr + 30 > Cr0 3 (10.119)
Mo + 4C1 + O > MoOCl4 (10.120)
TiSi2 + 12F > TiF 4 + 2SiF 4 (10.121)
10.8.2.1.2 Reactors. Reactors for plasma etching [1688—1690] are available
in two basic configurations: barrel or parallel plate (Fig. 10.21). Both reactors
have the following principal components: a reaction chamber, a vacuum system,
a gas flow control and an RF power supply. The first type of equipment
consists of a quarz tube with external electrodes (usually two plates or a
coil), in which the wafers are placed vertically in a suitable quartz carrier.
The second type of equipment has internal electrodes usually consisting of

Gas \

Gas 6 7
[0
Fig. 10.21 Diagrams of plasma etching reactors (after Marcoux
[1644], Copyright (0) 1982 Hewlett-Packard Company; repro-
duced with permission):
a — side view of barrel reactor: 1 — quartz chamber; 2 —quartz
b o a t ; 3 — wafers; 4 — R F supply; 5 — etch gas inlet; 6 — to
vacuum p u m p ; 7 — R F plasma;
b — side view of paralel plate (radial-flow) reactor: 1 — p y r e x
cylinder; 2 — upper electrode; 3 — lower grounded electrode
(anode) and wafer p l a t e n ; 4 — wafers; 5 — R F supply; 6 —etch
gas inlet; 7 — to vacuum p u m p ; 8 — R F plasma (discharge
region).

322
P R O P E R T I E S OF CVD FILMS

two parallel plates, the wafers being placed horizontally on the lower grounded
electrode. The tube-type reactor has the advantage of higher loading capacity,
while the barrel-type reactor offers a better etching uniformity and the
possibility of heating and cooling the wafers.
Other approaches used to increase the etch rate include single wafer
[1691 — 1693], magnetic-confinement (magnetron) [1693—1695], and multi-
chamber [1696] plasma etching.
The microwave-excited reactor has also been introduced for some ap-
plications [1697-1699].

10.8.2.1.3 Processing Parameters. The parameters of a plasma etch process


are the gas mixture composition, the R F power, the total flow rate, the
gas pressure, and the substrate temperature [1700]. The most important
parameter is the composition of the etchant gas. This parameter determines
whether a process will etch a given material selectively and anisotropically.
The R F power level has a strong influence on the etch rate, the nature
of the reactive species produced from a given etchant gas, and the anisotropy
of the etch.
The total gas flow rate and the pressure control the etch uniformity
and alter the gas-phase chemistry.
The substrate temperature has an influence on the etch rate and the
selectivity. The etch rate can be described by the Arrhenius expression R =
A exp (—E/kT), where a is a constant, E is the activation energy, k is
the Boltzmann's constant, and T is the absolute temperature. The activation
energies lie in the range 0.05—0.5 eV (1 eV = 23 kcal/mole).

10.8.2.1.4 Endpoint Detection. Detection of the completion of an etching


process is desirable in view of the unreproducibility of the etching rate [1701].
Indeed, for certain combinations of etchant gas and material, the rate de-
pends upon the surface area exposed to plasma (the loading effect).
Many techniques are available for endpoint detection [1702—1730].
These include optical emission spectroscopy [ 1701 — 1712, 1714], IR spectroscopy
[1713], optical reflection [1714, 1715], mass spectroscopy [1716—1721], laser
interferometry[1701, 1722—1726], discharge impedance monitoring[1727], gas
pressure monitoring [1728], and Langmuir probe monitoring [1713, 1729, 1730].
Emission spectroscopy monitors light emission from reactive species
and/or etch products. Assuming that the intensity of the emission line is
proportional to the concentration of the emitting species, at the endpoint
there is an increase or a decrease in the signal when monitoring a reactive
etch species or an etch product, respectively. This method is very useful
for endpoint detection during the etching of polysilicon and Si 3 N 4 films when
the emitting species monitored is F, its emission wavelength being 7040 Ä.
The other methods monitor changes in film thickness by interference pheno-
mena or changes in reflectivity (optical reflection, laser interferometry);
etch products (such as SiF 4 ) by measuring gas composition (mass spectroscopy);
or changes in total pressure (gas pressure monitoring).
Laser interferometry has been applied for the etching of Si 3 N 4 , Si0 2 ,
polysilicon, phosphorus-doped Si0 2 , and different metallizations in C F 4 — 0 2 ;
optical reflectivity has been found capable of monitoring the plasma etching

323
FUNDAMENTALS

of AI in CC14 or BC13; mass spectrometry can be used in the case of poly-Si,


Si0 2 , Si3N4 and various metallization etching (by monitoring peaks such
as SiF3+ WF5+, MoF5+, TiF+, TaF+, A1C12+, CrOaCl+, and AuCl£); and
pressure monitoring has been demonstrated for polysilicon and Cr etching.
Plasma etching has been used to etch all types of CVD materials in
the thin film or bulk forms, e.g. Si [1731 — 1753], Si0 2 , Si3N4 and silicate
glasses [1754-1771], polysilicon ]1770, 1772-1788], ß-SiC [1789], I I I - V
compounds [1790—1797], polymers [1798], metals [1799 — 1819], and suicides
[1810, 1820—1825] using a wide variety of etching gases (Table 10.5). An
improved version of PE—laser-enhanced PE — has been introduced recently
[1827-1832].

10.8.2.2 Sputter Etching

In sputter etching [1833—1840], the inert gas ions extracted from the glow dis-
charge in which the wafers are immersed bombard the surface and sputter
away the surface atoms via momentum transfer. The etching is anisotropic
because the motion of ions follows electric field lines that are perpendicular
to the substrate. The etch selectivity is generally poor because of the similar
sputter yields for materials used in integrated circuit fabrication. In addition,
the etch rates are small and there is often redeposition of the sputtered ma-
terial along the sidewalls of the pattern being etched. In spite of these disad-
vantages, this technology is useful for high resolution patterning of a wide
range of materials such as insulators, semiconductors, and conductors [1841
-1845] (Table 10.6).
TABLE 10.6
Argon Sputter Etching Rates for Various CVD Materials [1651, 1833, 1834, 1836, 2056]

Power density Etching rate


No. Material References
(W/cm 2 ) (Ä/min)

1 Si * 110 [1818, 1928]


2 Si02 1 40-120 [1818, 1837, 1928]
3 PSG 0.5-1 50-70 [1837, 1843]
4 Si 3 N 4 0.5-1.6 60-100 [1833, 1843]
5 GaAs 175-530 [1816, 1842]
6 A1 2 0 3 (sapphire) 1.6 50 [1833]
7 Al 0.5-1.6 60-160 [1818-1843]
8 Au 1.6 380-900 [1818]
9 Cr 80 [1818]
10 Nb 65 [1818]
11 Ta 130 [1816]
12 Ti 70 [1818]
13 | W 1 1.6 75 [1833]

324
P R O P E R T I E S OF CVD FILMS

Fig. 10.22 Diagram of side view of ion and ■ ι /


reactive ion etching apparatus (after Marcoux 4 -^L / 2
[1644], Copyright © 1982 Hewlett- Packard / I " / /
Company; reproduced with permission):
1 — pyrex cylinder; 2 — upper electrode; 3 —
— lower grounded electrode (cathode) and
wafer platen; 4 — wafers; 5 — RFsupply; 6 —
etch gas inlet; 7 — to vacuum p u m p ; 8 — d.c.
plasma.

/ JT'i
Gas 6
1
7
I
R F sputter ion etching equipment is similar to that for R F sputtering
deposition, except for the lack of substrate — the target being the material
to be etched. In a typical sputter-etching diode arrangement, the substrate
to be etched is placed on the cathode of the parallel-plate electrode structure
(Fig. 10.22). An improved version of IE—magnetic-confinement ion etching
(MIE) — uses a magnetic field to enhance the plasma density and therefore
also etch rate.

10.8.2.3 Reactive Sputter Etching

Reactive sputter etching [1846-1852] consists of R F sputtering in a plasma


containing reactive species. This process is similar to PE, the only difference
being that the substrates are placed on the cathode (Fig. 10.21) and the gas
pressure is somewhat lower.
This process offers a high degree of anisotropy due to inherent directionality
of ions in the sputtering process. At the same time, a high degree of selectivity
and high etch rates can be obtained due to chemical reactions with reactive
species. However, the etch rate is relatively low and the number of materials
which can be etched is limited because a volatile compound must be formed
by means of the reaction between the plasma and the solid surface. This
etching process is usually performed in an R F sputter reactor with reactive gases
(Fig. 10.21). R I E of several materials [1856-1903]by various gases is summarized
m Table 10.7. Several approaches have been successfully tried to increase the
etch rate. Some use a higher pressure, a magnetic field (MRIE) [1853, 1854,
1900, 1901] or an electron cyclotron resonance (ECR) [1903] to confine the
plasma, while others are based on triode configuration [1855] or laser-assisted
etching [1902]. Single-wafer reactor technology can also be employed [1854].

10.8.2.4 Ion-Beam Etching

In ion-beam etching (also called ion milling), the (Ar) inert gas ions are ex-
tracted from an ion source, accelerated and deionized before interacting with
the surface being milled [1904-1912]. The major advantages of ion-beam
milling include the possibility of submicron fine line patterning, high ani-
sotropy, control of wall taper, non-critical mask adhesion, a plasma-free
substrate environment, and high reproducibility. Similarly to R F sputter
etching, the etch selectivity is poor, etch rates are relatively low, and redepo-

325
FUNDAMENTALS

TABLE 10.7
Summary of Reactive Ion Etching of CVD Materials [1651, 1810, 1852]

No. Etch rate


Material Reactive gas Selectivity R eferences
(μιη/min)

1 2 3 * 5 6

1 Si CF4-H2 high over SiOa [1858]


CF4-Ar 0.025 1:1.5 over SiO a [1846]
CHFg-Ar, 0.002 1:15 over SiO a [1846, 1858, 1863]
CHFg H2
CHF3-02 0.011 [1877]
SFe-N2 0.1 3:1 over SiOa [1846]
NF3-Ar(N2) 0.5 [1861]
S1F4-CI2 0.02 [1859]
CCI4, C C l 4 - H e , [1848, 1864]
CCl4-Ar
CClgF 0.1 5:1 over SiO a [1857]
3:1 over Si
Cl2-Ar 0.18 20:1 over S i 0 2 [1848, 1860]
CF4-Cla [1856]
CF4-I2 0.05 5:1 over SiO a [1749]
CBrFg 0.1 [1749]

2 Poly-Si CF4-H2 0.04 1:45 over S i 0 2 [1872]


CF4 0.0125 1:4 over S i 0 2 [1896]
SF e 0.5-1 10:1 over SiOa [1696, 1857]
CClgF 0.15 5:1 over S i 0 2 [1857]
3:1 over Si 3 N 4
CCI4 0.22 7:1 over SiO z [1896]
SiCl4-Cl2 0.05 50:1 over SiOa [1879]
BCI3-CI2 0.3 50:1 over S i 0 2 [1895]
CBrF 3 0.1 5:1 over SiO a [1749]
SFe-Cl2 0.45 [1894]
CF4-C12 0.2 [1894]
SFe-C2ClF5 0.4 5 - 4 5 : lover Si02 [1898]
2:1 over WSi 2
Cl2 1 20:1 over S i 0 2 [1853]
CC1 2 F 2 — C 2 F 6 0.3 35:1 over SiOa [1897]

3 Si02 CF4-Ar 0.034 1.5:1 over Si [1846]


CF4-H2 0.04 40:1 over poly-Si [1696, 1850, 1868,
1872]
CF4 0.1 5:1 over Si [1866]
CF4-C2H4 0.1 10:1 over Si [1866]
C2Fe 0.09 8:1 over Si [1867]
C 2 F e —C 2 H 4 0.08 20:1 over Si [1867]
CHFg-Ar 0.030 15:1 over Si [1846]
CHFg 0.08 high over Si [1870]
CHFg—NHg 0.06 15:1 over Si [1871]
SF e 0.05 1:10 over Si [1857]
SFe-N2 0.035 1:3 over Si [1846]
CHF3-O2 0.055 5:1 over Si [1876, 1877]
CHF3-SFe 0.6 [1862]
CCI3F 0.03 1:5 over Si [1857]
CCI4-N2 0.035 1:6 over poly-Si [1896]
CHF3-CO2 0.1 high over Si [1874]

326
P R O P E R T I E S OF CVO FILMS

TABLE 10.7 (continued)

1 I 2 | 3 | 4 | 5 | 6

4 PSG CF4-H2 0.05 27:1 over Si [1869]


CHF3-02 0.05 [1878]
CHF3-NH3 0.12 30:1 over Si [1871]
CHF3-C02 0.1 high over Si,
poly-Si, and P R | [1875]
5 Si 3 N 4 CF4-H2 1 0.01 7:1 over Si [1869]
CF4-Ar 0.045 2:1 over Si [1846]
CHFg-Ar 0.018 2:1 over Si [1846]
CBrF 3 0.04 2:1 over SiO a [1749]
CClgF 0.05 1:3 over Si [1857]
C
2F6 0.12 ] 10:1 over Si | [1867]
6 PR CF4-Ar 1 0.048 1.5:1 over SiO a [1846]
CHFg-Ar 0.005 1:6 over S i 0 2 [1846]
7 GaAs CCl4-Ar 0.15 1 [1816]
CF4, CC12F2, CC14 0.8 [1886]
Cla 1 \ [1889]
SiCl4 ! 0.6 1 [1887]
CC1 4 -H 2 , C C l 4 - 0 2 1-1.7 [1888]
CF2Cl2-02-Ar j 0.35 [1885]
CF2C12 7 [1901]
8 .E^'-GaAs CF 4 0.002 1:10 over PR [1890]
CF4-N2 0 [1890]
SF6-N2 0 [1890]
9 InP cci 4 -o 2 1 [1891]
CF2Cl2-02-Ar 0.25 [1885]
10 Al SiCl4 0.07 15:1 over SiOa [1883]
3:1 over PR
SiCl4-Cl2-BCl3 0.4 [1880]
CC14 0.03-0.1 [1696, 1882]
CC1 4 -N 2 0.025 10:1 over S i 0 2 [1882]
CCl4-Ar 0.09 [1816]
Cl 2 —H 2 1 14:1 over S i 0 2 [1853]
11 Au CF4-Ar 0.01 1:5 over Si 3 N 4 [1846]
CHFg-Ar 0.0075 1:2 over Si 3 N 4 [1846]
12 Cr CF4-Ar 0.002 1:15 over SiO a [1846]
CHFg-Ar 0.001 1:20 over SiOa [1846]
13 Mo SF e , S F e - 0 2 [1810]
CC12F2, C C l 2 F 2 - 0 2 [1810]
cci 4 -o 2 0.08 very high over Si [1814]
and SiO a
CFgBr 0.09 [1749]

14 Nb CF4 [1818]

15 Ta CCl4-Ar 0.09 [1816]

16 Ti C2Fe 0.014 [1867]


CF 4 0.006 [1896]
1 cci4 1 0.09-0.34 1 I [1816, 1896]

327
FUNDAMENTALS

TABLE 10.7 (continued)

1 2 3 4 5 6

17 V C2Fe 0.011 [1867]


18 W CF4-Ar 0.034 1:1 over SiOa [1846]
CHF3-Ar 0.003 1:10 over S i 0 2 [1846]
SFe-Oa 0.2 [1884]
CF4 0.01 5:1 over GaAs [1890]
1:2 over PR
CF4-Na 0.02 00 over GaAs [1890]
SFe-Na 0.1 00 over GaAs [1890]

19 Ti02 C2Fe 0.066 [186η

20 v2o5 C2Fe 0.085 [1867]

21 MoSi 2 CF4-Oa 0.1 1:2 over poly-Si [1892, 1897]


4:1 over S i 0 2 [1810]
NF3-HCI, N F g -
-CC1 4 , S F e - H C l ,
SFe-CCl4
SFe-Oa [1893]
SFe-Oa 0.1 2:1 over poly-Si [1899]
i siF4-aa 0.3 6:1 over poly-Si [1899]
CF4-€2Fe-02 [1897]

22 NbSi 2 NF 8 -CC1 4 , [1810]


NFg-HCl,
SFe-CCl4,
SFe-HCl

23 TaSi 2 CF4-Cla 0.1 [1894]


SFe-Cla 0.01 [1894]
SF 6 -CC1 4 [1899]
BCl8-Cla 0.1 1:3 over poly-Si [1895]
SFe-CaQF5 0.2 1:2 over poly-Si [1898]
SiF4-Cla 0.2 4:1 over poly-Si [1899]

24 TiSi 2 CCl4-Na 0.23 6:1 over S i 0 2 [1896]


CF4 0.012 1:4 over S i 0 2 [1896]

25 WSi 2 CF4-Oa [1823]


CClaFa [4731]
SFe-CaClF6 0.2 1:2 over poly-Si [1898]
26 Ta polycide BCl8-Cla 0.2 40:1 over SiOa [1895]

sition of sputtered material is often possible. In comparison with RF


sputter etching, the main disadvantage of ion milling is the limited
beam area. An ion-beam etching system consists of a vacuum chamber
(a low pressure gas discharge chamber) containing a water-cooled sample
holder and an ion source (Fig. 10.23).
The pressure in the vacuum chamber during etching is one or two orders
of magnitude below typical RF sputtering pressures, so that endpoint de-
tection is possible by coupling the equipment to, for example, a SIMS.

328
PROPERTIES OF CVD FILMS

Fig. 10.23 Schematic cross section of the ion and


reactive ion-beam etching a p p a r a t u s :
1 — reactor chaml)er; 2 — grids for cation extraction;
3 — grounded electrode (cathode) and wafer platen;
4 — wafers; 5 — argon or reactive gas inlet; 6 — to
vacuum pump.

Etch rates for various materials [1913—1923] are given in Table 10.&.
The main factors controlling ion etch rates are the impinging ion density,
the composition of the substrate and the ion beam, the ion acceleration vol-
tage (ion energy), and the angle of incidence of the beam onto the substrate.
TABLE 10.8
Argon Ion-Beam Etching Rates for Selected CVD Materials [1651, 1905, 1905]

Ion beam energy Etching rate


No. Material References
(keV) (A/min)

1 Si 0.5 400 [1923, 1929]

2 poly-Si 250 [1930, 1931]

3 Ge 0.5 100 [1923]

4 GaAs 0.5 800-1050 [1816, 1923]

5 InP 0.5-1 400-1500 [1919, 1925, 1923]

6 AlGaAs 0.3 1250 [1816]

7 GalnAs 0.5 500 [1923]

8 Si0 2 0.5-1 3 0 0 - 670 [1905, 1909, 1918,


1923, 1929-1931]

9 A1.0, 0.5 83 [1905]

10 Al 0.5 450 [1816]

11 Au 0.5 1150 [1816]

12 Ta 0.5 340 [1816]

13 Ti 0.5 190 [1816]

14 TiSi 2 0.6 170 [1896]

10.8.2.5 Reactive Ion-Beam Etching

Reactive ion-beam etching [1924—1927] is similar to ion milling except that


the ions responsible for the sputtering action are also reactive. Although
the etch rates are not high enough, this approach has the advantage that

32*
FUNDAMENTALS

the matterial being etched can be converted to a volatile compound as well


as sputtered. This technique thus combines the selectivity of plasma etching
with the high degree of anisotropy associated with a physical etching process.
For etching CVD materials [1928—1939] various gases have been used as
the source, such as CF4, C2F6, CHF3, CH3F, CC14, Cl2, CC12F2, and
0 2 (Table 10.9). A variant of RIBE is chemically assisted ion-beam etching

TABLE 10.9
Reactive Ion-Beam Etching (Reactive Ion Milling) of Some CVD Materials

Ion beam Etching rate


No. Material Etching gas References
energy (keV) (Ä/min)

1 Si CF 4 ,C 2 F 6 0.5 200 [1923, 1926]


CF4-02 0.8 100 [1929]
CHF 3 0.8 70 [1929]
Ar-Cl2 0.5 1000 [1933]

2 poly-Si CF 4 , C2F6, C 3 F 8 0.5-1 1 0 0 - 500 [1927, 1930, 1931]


SiF 4 2000 [1930
BF 3 1000 [1930]
Ar Cl2 0.5 900 [1933]

3 Ge CF4 0.5 400 [1923]


C2Fe 0.5 100 [1923]

4 GaAs CF4 0.5 600 [1923]


QFe 0.5 600 [1923]
ci2 [1934]

5 InP CF4 0.5 500 [1923]


C2F6 0.5 1200 [1923]
N2 1 900 [1938]
N2-02 1 700 [1938]
Ar-02 1 1300 [1920]

6 GalnAs CF4 0.5 700 [1923]


C2F6 0.5 300 [1923]

7 SiO a CF4 0.5 900-1200 [1923, 1926, 1931,


1932]
C2F6 0.5-1 650-1200 [1923, 1927, 1930,
1931]
SiF 4 2000 [1930]
BF 3 1500 [1930]

8 Al Ar-Cl2 0.5 5000 [1936]

9 Mo Ar-Cl2 0.5 200 [1933]

10 Ti Ar-Cl2 0.5 700 [1933]

11 MoSi 2 Ar-Cl2 0.5 300 [1933]


12 TaSi 2 Ar-Cl2 0.5 600 I [1933]
13 TiSi 2 Ar-Cl2 0.5 650 [1933]

330
P R O P E R T I E S O F CVD F I L M S

(CAIBE) which uses an additional reactive gas not subjected to a plasma


generating discharge. The system is more complex but provides independent
control over ion and neutral fluxes and somewhat higher etching rates [1937].

10.8.3 Laser-Assisted Dry Etching

Recently, several attempts have been made to use beams of photons (or
electrons) combined with suitable gases to etch thin film and substrate ma-
terials [1940—1943]. The motivation was to provide an alternative to plasma
and reactive ion etching, because these methods have a large number of
drawbacks, such as insufficient selectivity and radiation damage. Laser
radiation has been found useful to induce or enhance localized dry-chemical
etching of various materials [1944—1956]. Laser-assisted dry etching occurs
by means of a mechanism involving either a photochemical reaction or hea-
ting the sample by the intense light. Some example of laser-assisted dry etching
are the following: IR photon excitation of SF 6 leads to a vibrationally ex-
cited SF 6 * molecule which reacts rapidly with a moderately heated silicon
surface; IR photon dissociation of CF3Br and CF3C12 causes rapid etching
of Si0 2 and Si3N4; products of the UV laser photolysis of CH3C1 and CH3Br
rapidly etch III—V compounds.
Table 10.10 provides a summary of the laser-assisted dry etching of
electronic materials.
TABLE 10.10

Laser-Assisted Dry Etching of CVD Materials [1941]

Mechanism
Laser Etchant Etch rate
No. Material Photo- Ther- References
che- source gas (μηι/min)
mal
mical
1 2 3 4 5 6 7 8

(a) Simple laser-assisted etching (no plasma)

1 Si * C0 2 (pulsed),Ar+ SF 6 , X e F 2 [1941, 1946]


* Ar+ Cl 2 , HC1 360 [1945]
2 Poly-Si * * Ar+ Cl 2 , HC1 360 [1945]
* Excimer(ArF) COF 2 0.2 [1947]
* Excimer(XeCl) Cl 2 [1941]
3 Ge * Ar+ Br 2 [1941]
♦ Excimer Br 2 [1941]
4 GaAs * Doubled Ar+ CH 3 Br 0.03 [1944]
* Excimer (ArF, CF 3 Br, CF 3 Br 0.5 [1941, 1952]
XeF)
* Excimer(ArF) HBr, H B r - A r 0.7-8 [1941, 1945]
Ar+ HC1, H C l - H e 0.03 [1951]
* Ar+ Cl 2 [1941]

331
FUNDAMENTALS

TABLE 10.10 (continued)

1 1 2 3 4 5 6 7 8

5 InP * Doubled Ar + CH 3 Br, CH3C1 0.06 [1944]


6 SiO a * C0 2 (pulsed) CF 3 Br [1941]
* Excimer(ArF) C 2 H 3 F, N F 3 - H 2 [1941]
Excimer(KrF) CCI 2 F 2 [1941]
* COa( pulsed) HF [1941]
7 BSG * Excimer(KrF) CF 2 Br 2 [1941]
8 Mo * Ar+ Cl 2 , N F 3 240 [1956]
* Excimer(ArF) NF3 0.6 [1947]
9 Ta * C0 2 (pulsed) SF 6 [1941]
10 Ti * Excimer(ArF) NF3 0.24 [1947]
11 W Ar+ Cl 2 , N F 3 120 [1956]
* Excimer(ArF) COF 2 0.3 [1955]
12 Ferrites * Ar+ CF 4 , SF e [1941]

(b) Laser-assisted PE
1 Si * Ar+ CF4-02 0.5 [1813, 1828}
* Ar+ Kr+ NF3 0.72 [1813]
2 Poly-Si * Excimer(KrF) Cl 2 0.08 [1831]
3 GaAs * Ar+ HCl-He 0.02-0.03 [1829, 1830]
4 Epi- * Ar+ HCl-He G.01 [1830]
-GaAsP
5 oc-Al203 * Excimer(KrF, SiH 4 0.035 [1832]
(sapphire) XeF, XeCl)
6 CVD- * Excimer(KrF, SiH 4 0.05 [1832]
-SiO a X e F , XeCl)

(c) Laser-assisted R I E
1 I GaAs I I * I Ar+ I CC14-H2 110 I [1902]

10.8.4 Diffusion
Doping of semiconductor substrates and films is one of the most important
processes in semiconductor technology. The doping process refers to the
addition of donor or acceptor impurities (dopants) to a semiconductor in
order to achieve a desired n- or p-type conductivity. For silicon, doping implies
the incorporation on substitutional lattice positions of elements from the
third and fifth column of the periodic table whose covalent radii are close
to that of silicon.
For the doping process various techniques are available [I960]. The doping
may be performed primarily by means of thermal diffusion in which case

332
P R O P E R T I E S OF CVD FILMS

semiconductor substrate slices or films are heated with the doping source
[1957—1959]. Other techniques include ion implantation [2044—2046], laser-
induced diffusion [2066—2077], doping during the CVD process [1961], and
neutron transmutation [1962, 1963]. I n this section, thermal impurity dif-
fusion, ion implantation and laser diffusion as related to CVD will be dis-
cussed in detail. Impurity diffusion in CVD thin films and substrates can
be perfomed b y using two general procedures, namely from solid, liquid,
or gaseous sources and from doped CVD films. I n addition, some CVD films
serve as diffusion mask in many diffusion processes in which thermal Si0 2
is not effective. Various theoretical and practical aspects of the diffusion
of impurities in silicon have been discussed previously in several books and
reviews [1957-1959, 4880].

10.8.4.1 Thermal Diffusion from a Gas-Phase Deposited Source

The introduction of a certain concentration of donor or acceptor impurities


into CVD semiqonducting thin films is often accomplished by doping during
the CVD process. This technique enables a homogeneous dopant distribution
to be obtained. However, for some CVD films, such as polycrystalline and
amorphous silicon, it is necessary to use gas-phase diffusion for increasing
their conductivity.
The diffusion characteristics of both donor and acceptor dopants in
monocrystalline. layers, such as Si (or GaAs), are identical with those esta-
blished for bulk materials. On the contrary, for polycrystalline or hetero-
epitaxial silicon layers, there are some deviations caused b y the presence
of structural defects in these layers.
In general, gas-phase impuritiy diffusion in silicon or CVD thin films
[1964] may be performed from either solid, liquid, or gaseous sources in
an open tube (Fig. 10.24 and Table 10.11), e.g. BN [1964—1969], Sb 2 0 3 [1970—
1974],AlAs0 4 [1975],BBr 3 [1976], P0C1 3 [1977], B 2 H 6 [1967-1981], PH 3 [1982,
1983], and AsH 3 [1984].
The diffusion equation states t h a t :

N(Xi,t) = N0enc(-jL·) (10.122)

where N(xjt t) is the concentration at a depth x} and time t (which is equal


to the background concentration iV b ); N0 is the surface concentration; x}
is the diffusion junction depth; t is the diffusion time; D is the diffusion coeffi-
cient of dopant in semiconductor; and erfc is the complementary error func-
tion. Thus, if the bulk concentration Νζ and the junction depth xi are known,
the surface concentration can be calculated.
The diffusion process can be enhanced [1985—1990] or retarded [1991]
by oxidation.
The diffused layers are usually characterized by means of two quantities,
i.e. the junction depth Xj which is determined by SEM [1992], TEM [1993],

333
co TABLE 10.11
CO
Main Open-Tube Diffusion Techniques Using Solid, Liquid, or Gaseous Sources [1957, 1964]

Dopant concen-
Source tempe- Chemical reaction
No. Source Carrier gas trations obtained References
rature (°C)
C0(at/cnr>)

1 BN(s) 200-1100 0 2 then Ar o r N 2 2BN + 3 / 2 0 2 - » B 2 0 3 + N 2 1 0 1 7 - 2 x 1020 [1965-1969]


2 B 2 0 3 + 3Si + 2 N 2 - > 3SiO a +
+ 2N 2 + 4B

2 Sb 2 0 3 (s) 750 N2 2 S b 2 0 3 + 3Si-> 4Sb + 3Si0 2 ^ 6xl019 [1970-1974]

3 AlAs0 4 (s) 975-1025 N2 2AlAs0 4 -> A s 2 0 3 - ! - A l 2 0 3 + 0 2 1017-1021 [1975]


1000-1250 2As 2 0 3 -f 3Si -> 4 A s + 3Si0 2

4 BBr 3 (1) 10-30 Ar or N 2 —O a 2BBr 3 + 3/20 2 -+ B 2 0 3 + 3Br 2 1017-8 X 1020 [1976]


2 B 2 0 3 + 6Br 2 -f- 3Si -> 3Si0 2 -f-
+ 4B+6Br2

5 POCl 3 (1) 2-40 Ar or N 2 — 0 2 2POCl 3 + 3/20 2 -> P 2 0 5 + 3 C 1 2 1017-1 lo 2 i [1977]


2P205+6Cl2+5Si-+ 5Si02+
+ 4P+6C12

6 BCI3 (g) 20 Ar or N 2 — 0 2 2BCl 3 + 3/20 2 -> B 2 0 3 + 3C12 1017-lo 2 i [1957]


2 B 2 0 3 + 6Cl2 + 3Si-». 3SiO a +
-f6Cl2+4B

7 B 2 H 6 (g) 20 Ar or N 2 — 0 2 B 2 H 6 -f 3/20 2 — B 2 0 3 + 3 H 2 1017-io 2 i [1978-1981]


2 B 2 0 3 + 6H 2 + 3Si -> 3Si0 2 -f
+ 6H 2 + 4B

8 PH 3 (g) 80 Ar or N 2 —O a 2 P H 3 + 5/20 2 -> P 2 0 5 + 3H 2 1017-1021 [1982, 1983]


2 P 2 0 5 + 6H 2 -f 5Si-> 5Si0 2 +
+ 6H 2 + 4P

9 AsH 3 (g) 20 Ar or N 2 —O a 2AsH 3 + 3/20 2 -► As 2 0 3 + 3H 2 1017-5 x 1019 [1984]


2As 2 0 3 + 6H 2 + 3Si - * 3SiO a +
+ 6H 2 -f 4As
P R O P E R T I E S OF CVD FILMS

F i g . . 10.24 Typical open-tube diffusion arrange-


5 € 6 7
ments employing:
a - solid (e.g. B N ) ; b - liquid (e.g. POCl 3 ); and
c — gaseous source (e.g. PH 3 ) (after Croset and
Mercandalli [1964]; reprinted with permission
from Revue Technique Thomson C S F ) :
1— flowmeters; 2 —valves; 3 —carrier and oxidant
gases; 4—impurity source ; 5—solid source furnace;
6 — diffusion furnace; 7 — silica glass t u b e ; 8 —
silicon wafers placed vertically in a silica b o a t ; 3
9 — thermocouple leads and well.

and the grooving and staining [1994] techniques and the average resistivity
ps (also named sheet resistivity) which is determined by using a four-point
probe technique. The surface concentrations can be estimated from the
sheet resistance and junction depth measurements by using Irvin's curves
[1995-1997].
In most cases, the impurity diffusion is achieved selectively by using
a diffusion mask, typically thermal Si0 2 [1998, 1999]. Other useful masks
are CVD films of Si3N4 [2000-2002], A1203, Si0 2 , PSG [2003], Si0 2 -Si 3 N 4
[2004] and Mo. Si3N4 masks not only the same diffusants as Si0 2 (B, P, As,
Sb), but also many diffusants where silicon dioxide fails (Ga). Also, Si3N4
and PSG are often used as masks for Sn and Zn impurity diffusion in III — V
compounds such as GaAs which do not have a stable thermal oxide. Μσ
films act as partial masks for B diffusion from B-doped glasses.
Thermal diffusion in a CVD film (e.g. epi-Si [2005, 2006], SOS [2007],
and poly-Si [2008—2010]) is primarily determined by its structure. For exam-
ple, dopant impurities diffuse into poly-Si films much more rapidly than,
into single crystal Si films, probable because of diffusion down grain boun-
daries in the films. Moreover, the diffusivity of dopant atoms is maximized
by forming needle-like grains with their long axis parallel to the motion of
the diffusing atoms.

333
FUNDAMENTALS

10.8.4.2 Thermal Diffusion from Doped Thin Films

The use of doped thin films (especially LTCVD doped oxides) as diffusion
sources in the processing of semiconductor substrates and films offers several
advantages over the standard high temperature gas-phase diffusion: better
uniformity and reproducibility, easy achievement of varying surface concen-
trations and diffusion profiles, minimization of diffusion-related defects, the
ability to do simultaneous complementary diffusion, and better protection
of the semiconductor surface from contamination [2011—2013].
The concentration profile in a semiconductor, C2 (x, t), is given by the
relation:

Cs(x,t) = Cs erfc f ^ = ) (10.123)

where
CjDjD2
V
1 + 4DJD\m '
and Cs is the surface concentration in the semiconductor, C0 is the initial
concentration of dopant in the oxide, Dx and D2 are the diffusion coefficients
of the dopant in the oxide and in the semiconductor, respectively, and m
is the segregation coefficient (the ratio of the concentration of dopant in
the semiconductor and the concentration in the oxide at the surface).
It has been shown that the junction depth and the sheet conductivity
(the reciprocal of sheet resistivity) increase as the square root of the diffusion
time.
Diffusion from doped HT [2014], anodic [2015, 2016], spin-coated [2017,
2018], and LT [2019—2036] oxide films is widely used for silicon (BSG [2019-
2021], PSG [2019-2023], SbSG [2027], and AsSG [2019,2024-2026]
sources); germanium [2028]; and gallium arsenide (zinc-[2029, 2030, 2032]
and tin-[2031—2036] doped sources). Other doped thin films, such as B-[2037
—2040], P- [2040], As- [2039], or Al-[2041] doped polysilicon and B-doped
£_/>i-Si[2042] can also be used as a diffusion source.
The diffusion characteristics of CVD films from doped oxides (e.g. poly-
Si) have been found to be dependent on film deposition parameters such as
deposition rate, thickness, temperature and substrate material. Some CVD
films (e.g. ZnO [2043]) can serve undoped as diffusion materials.

10.8.4.3 Ion Implantation

A new method of doping silicon and GaAs substrates and CVD films such as
polycrystalline or amorphous films is ion implantation [2044-2065]. Compared
with diffusion, this method is superior in many ways. It offers room tempera-
ture operation, a uniform and reproducible dopant distribution, a wider
range of impurities and masking materials, the elimination of localized defects
resulting from chemical reaction between the dopant and the mask, and the

336
PROPERTIES OF CVD FILMS

possibility of achieving sharp and shallow doping profiles. However, this


technique requires the annealing of lattice damage introduced during implan-
tation at temperatures of 500° C for Si and 900° for GaAs. Since GaAs tends
to dissociate during this annealing, a suitable encapsulant, such as CVD Si3N4,
Si0 2 , or Si^O^N^ must be used. The main process parameters which determine
the depth and concentration of implanted dopant are the ion energy, the
ion dose, and the annealing temperature.
Typical examples of this technique applied to semiconductor fabrication
are the following: Si with B, P, and As [2048], GaAs with Zn, Be, Si, Ge,
and S using Si3N4 as a mask [2050], and Si with N2 [2051—2055]. Nitrogen-
implanted poly-Si is useful as an oxidation resistant material, while con-
trolled hydrogenation of α-Si at low temperature by H-ion implantation
leads to the formation of a-Si: H.
As and P have been implanted in SOS [2056], Ar [2057], B [2058—2060], and
P [2061] in poly-Si, H in Si3N4 [2062,2063], and As in WSi2 [2064] for impro-
ving the electrical properties or amorphization. The properties of other semi-
conducting films (e.g. GaAsP [2065]) can also be improved by using ion
implantation.

10.8.4.4 Laser-Induced Diffusion

Laser-induced diffusion (LID) of impurities in bulk and thin-film semicon-


ductors has become an interesting new doping method [2066—2077]. In
this method, the semiconductor crystal is brought into contact with the
dopant by, for example, evaporating the dopant source onto the surface
by choosing an appropriate gas atmosphere or by using spin-coated glasses.
The semiconductor crystal surface is then heated with a short laser pulse up
to the melting point, and high-temperature liquid-phase diffusion occurs.
LID has some very important advantages compared to conventional furnace
diffusion: the prevention of thermal stress-induced damage to wafers, the
ability to form closely spaced junctions without photolithography, the possi-
bility of performing metal deposition on a specific surface area of a wafer
before LID on another surface area, the obtaining of higher maximum im-
purity concentrations, and the use of very high diffusion temperatures
and thus of very short diffusion times. The LID of impurities can also be
an alternative to ion implantation, since it avoids crystal damage caused by
ion bombardment.
LID in Si [2066—2074], GaAs [2072, 2075. 2076] and other compound
semiconductors (e.g. ZnTe [2077]) has been reported in the literature.
LID in Si occurs from elemental aluminium [2067], elemental phosphorus,
[2066], boric acid [2068] and spin-coated glasses [2074] doped with oxides
of antimony, arsenic and phosphorus sources. Other examples are the LID
of S(fromH2S) and Si(from Si3N4) in GaAs [2075,2076] and of Al, In, and Cl
donors in ZnTe from evaporated metals or from an Ar—Cl2 gas atmosphere
[2077].

337
FUNDAMENTALS

10.8.5 Oxidation

Oxidation of thin films can be achieved in four ways: theimal oxidation


[2078—2202], photochemical oxidation [2203—2210], wet arodization [2211 —
2237], and gaseous anodization [2238—2251].

10.8.5.1 Theinral and Photochemical Oxidation

Thermal oxidation of semiconductors has been widely investigated, since


this process is a relatively simple means of attaining the surface passivation
of semiconductor devices [1238, 2078—2080, 4880].
Oxidation of a silicon substrate (or epitaxial film) at high temperature
converts a thin portion of its surface to silicon dioxide. The easy preparation
and chemical stability as well as excellent passivation, insulating, and mas-
king properties of this material explain its predominant role in silicon de-
vice technology. Thermally grown oxides with thicknesses ranging typically
from 0.5 to 1.5 μιη are prepared by means of the high-temperature (1000° C)
oxidation at atmospheric pressure of silicon wafers [2081—2086] in atmos-
pheres of dry oxygen, wet oxygen or H 2 /0 2 pyrogenic steam, according to
the reactions:
Si(s) + 0 2 ► Si02(s) (10.125)
Si(s) + 2H 2 0 —► Si02(s) + 2H2 (10.126)
This process usually introduces crystalline defects in underlying single
crystal substrate or film [2087—2089].
The kinetics of silicon oxidation [2090—2101] is described by the
linear-paratolic relationship:
x\ + Ax0 = Bt + x» + Ax, (10.127)
which can be rewritten as:
x% + Ax0 = B{t + τ) (10.128)
2
where B is the parabolic rate constant (μιη /1ι), BjA is the linear rate con-
stant (μΐη/h), #t is the initial oxide thickness, and τ = (#? + Axx).
Oxidation can also be performed on shaped surface [2102], or selectively
using, for example, Si3N4 as a mask [2103—2107].
Thermally grown oxides with very low levels of alkali ion contamina-
tion can be produced by means of oxidation in atmospheres containing a
small percentage of HC1 [2108-2131], Cl2[2115, 2131, 2137], C 2 HC1 3 [2132-
2138], C2H3C13 [2139—2141], or CC14. Chlorine ions introduced in Si0 2 by
this technique serve as getters for alkali ions.
Alternatively, there are two high pressure oxidation techniques in
H 2 /0 2 pyrogenic steam and dry oxygen ambient [2142—2154].
Very thin silicon dioxide films in the range of 40—100 Ä, which are
useful in certain applications, are prepared by means of the oxidation of
silicon with one of the following: oxygen or steam at low temperatures

333
PROPERTIES OF CVD FILMS

(400—800° C) [2155—2157], oxygen or steam diluted with an inert gas at


higher temperatures [2158—2160], nitric oxide and hydrogen at 950—1140° C
[2161], HF—N0 2 [2162], and 0 2 or N 2 —0 2 mixtures at low pressure and tempe-
ratures of 900—1000° C [2163-2165]. Thick Si0 2 films can be obtained by
means of the thermal oxidation in wet oxygen of porous silicon [2166, 2167].
Thermal oxidation has also been investigated for other related CVD films
such as heteroepitaxial Si [3382, 3 429], ^>oZy-Si[2168—2178], and Ä-Si [2179]
films. The oxidation of Ge is best performed at high pressure [2180].
The oxidation of GaAs [2181—2185] and other III—V compound semicon-
ductors, such as InP[2186—2188], GaAsP[2189], as well as of II—VI compounds
(e.g. ^'-CdHgTe [2190])unlike Si, results in an unstable thermal oxide whose
composition and crystallinity change with growth temperature and to some
extent with the ambient gases. Therefore, from a technological standpoint
insulators appear more important than grown oxides.
SiC substrates [2191, 2192] or CVD layers [2193] can be thermally oxi-
dized using dry or wet oxygen, at around 1000° C, in the same way as used for
silicon. The thermal oxide layer of SiC was found to be Si0 2 and can be
used as a passivating film. The thermal oxidation of polycrystalline sili-
con has recently been studied due to its technological importance. Si0 2
film resulting from this oxidation is needed to provide electrical isolation for
conducting poly-Si lines. The rate of thermal oxidation of N-implanted^oZy-
Si was found to be linearly dependent on the nitrogen concentration in the
film.
Oxidation of some dielectric films such as Si3N4[2194, 2195] proceeds
at a rate much lower than that of silicon according to the reactions:
Si3N4 + 30 2 = 3Si0 2 + 2N2 (10.129)
Si3N4 + 6H 2 0 = 3Si0 2 + 4NH3 (10.130)
Accordingly, Si3N4 is widely used in several technologies as an oxidation
mask in the selective oxidation of silicon.
ZrB2 and TiB 2 films are sufficiently resistant to oxidation on exposure
to air at 400° C; the zirconium (titanium) boron oxide formed acts as an anti-
reflective coating.
The oxidation of silicides such as TaSi2[2196], WSi2 [2197], and HfSi2
[2198] has also been investigated and surveyed [2199].
A process similar to thermal oxidation, nitridation [2200—2202], i.e.
the process of preparing very thin nitride films by means of thermal reac-
tion with N2 or NH3, has recently been investigated for Si [2200], Si0 2 [2201],
GeO2[2202], and III—V compound semiconductors.
Direct conversion of Si0 2 films to nitride seems to obey the following
reaction:
90 cc
3Si0 2 + 4NH3 ° y Si3N4 + 6H 2 0 (10.131)
Recently, the principle of laser-induced localized oxidation for Si [2203 —
2208], GaAs [2209], and other compound semiconductors (e.g. HgCdTe [2210])
has been demonstrated.

339
FUNDAMENTALS

10.8.5.2 Anodic Oxidation

Anodization of silicon and gallium arsenide substrates and epitaxial films


has been investigated as an alternative to thermal oxidation. Indeed, this
technique shows two important advantages over thermal oxidation, namely:
the low substrate temperature and fast oxidation rate. The low substrate
temperature prevents the generation of structural defects and the redis-
tribution of impurities in monocrystalline silicon as well as the evaporation
of As 2 0 3 from GaAs during oxidation, thus making possible the creation
of a good native oxide film.
Anodization can be performed in aqueous or non-aqueous solutions
(wet anodic oxidation) and in oxygen plasma (gaseous anodization). The
dry process is generally superior to the wet process due to better control of
oxide growth parameters such as substrate temperature and ion density in
the plasma.

10.8.5.2.1. Electrolytic Anodization. Electrolytic anodization of Si [2211 —


2217] has been studied due to possible applications of the oxide obtained
as a diffusion mask, a diffusion source or a passivation film. This process
is usually carried out in an electrolytic cell (Fig. 2.1 c) using one of the follow-
ing electrolytes: HN0 3 , H 3 P0 4 , KN0 3 + ethyleneglycol, or NaN0 2 , HN0 3 ,
H 4 P 2 0 7 , NH 4 N0 3 , or NaOH) + tetrahydrofurfuryl alcohol.
Anodization of silicon under certain conditions results in a porous oxide
film which has been used to obtain isolated integrated circuit elements.
Anodization of III—V and II—VI compounds such as GaAs [2218—2226],
GaP [2227-2229], InP [2230], InSb [2231], CdTe [2232], etc. has been used to
form overlying oxide layers which have passivation properties better than
the corresponding thermal oxides. Polycrystalline semiconducting films can
also be anodized, e.g. poly-GaAs in propylene glycol + tartaric acid +
NH4OH at pH = 6.
Anodization of dielectric layers such as Si3N4 [2233—2237] is technologi-
cally important, since it results in a more soluble layer of Si0 2 . This ano-
dization occurs in solutions of pyrophosphoric acid in tetrahydrofurfuryl
alcohol or KN0 3 in ethylene glycol, according to the overall reaction:
602- + Si3N4 = 3Si0 2 + 2N2 + 12e" (10.132)
The anodization of metallic layers such as Al results in the formation
of an A1203 protective layer.

10.8.5.2.2 Plasma Anodization. Plasma anodization is a promising technique


for both silicon and GaAs.
Plasma anodization of silicon [2238—2243] has been shown to have some
advantages over thermal oxidation, namely reduced oxidation underneath
the Si3N4 mask in LOCOS processes and the suppression of stacking faults.
However, the oxide grown has a higher interface charge, which must be
reduced by annealing.

340
P R O P E R T I E S OF CVD FILMS

This can be achieved by using a d.c. [2238-2242], RF [2239-2241]


or microwave [2243] current source.
Nitridation of Si0 2 and Si can be obtained in a plasma of NH3 or
N 2 H 4 at elevated temperatures (925—1050° C) [2244—2246].
For GaAs [ 2247], a native oxide film of high resistivity and break-
down field is obtained, but it has a relatively high interface charge. In
spite of their inferior passivation properties, native oxide films grown on
GaAs and Si substrates can be applied to the fabrication of devices and
integrated circuits.
The apparatus used for gaseous anodization has been described in
Chapter 2 (Fig. 2.6c). Plasma anodization has also been investigated for
other CVD materials such as GaAsP [2248], InP [2249], [2250], anda-Si
[2251].
11
Equipment and Substrates

11.1 Introduction

Advances in CVD film technology are closely linked to the development


of better equipment and substrates. While early deposition was made using
closed tube reactors and small single crystal wafers, today's deposition re-
quires large wafers of high quality and is performed in open tube reactors
which are very useful for a wide commercial application.
CVD equipment has previously been surveyed in a number of reviews
[12,14,19, 45]. Various general aspects of substrate wafer preparation [2292,
2296] and cleaning [12, 2306, 2307] have also been discussed.
This chapter gives a short description of the main aspects of reactors,
safety, and substrates in CVD.

11.2 Equipment for CVD

CVD equipment contains three main functional elements: a system for genera-
ting and introducing into the reaction zone of controlled amounts of reactive
vapours (the feed system), a system for accommodating the substrates to
be coated and for supplying activation energy to the reaction which leads
to the formation of the film (the reactor), and a system for the removal
and disposal of the reaction by-products (the exhaust system).
An essential part of any CVD equipment is the reactor, which will be
described later. There is a great variety of reactor systems [2252, 2253],
depending on several factors such as the manner of reactant supply and
by-product exhaust (closed or open systems), the energy source for the
reaction (thermally- [2254-2272], plasma- [2274-2277], UV radiation- [2278],
laser-or electron-beam promoted reactors), the operating pressure (atmos-
pheric [2254—2272] or low [1689, 2273—2277] pressure reactors), the tempe-

345
TECHNIQUES

N259iSiHi> 02 f±0 NH3 %Hß PHj WFß N? M, SiHft


inN
2 (C02) (*%)
Fig. 11.1 Schematic drawing of polyfunctional equiprrent for the deposition of various semi-
conducting {epi-Si, poly-Si, a-Si), dielectric (SIPOS, Si0 2 , BSG, P S G , AsSG, Si 3 N 4 , A1203)
a n d conducting (Al, W,SnO a ) films b y using APCVD, LPCVD and PECVD in a horizontal,
R F heated reactor (after Morosanu and Soltuz [206], reprinted with permission frpm Vacuum,
Copyright 1981, Pergamon Journals L t d . ) :
1 — gases; 2 — needle-valve flowmeters; 3 — shut-off valves; 4 — mixing chamber; 5 —gas
filters; 6 — quartz cradle; 7 — graphite susceptor; 8 — wafers; 9 — R F coil; 10 — horizontal
quartz reactor; 11 — to vacuum pump and exhaust.

rature conditions (high [2254—2261] or low [2262—2272] temperature reac-


tors, one or more temperature zone reactors), the wall temperature (cold
[2254—2261] or hot [2609] wall reactors), the gas flow characteristics (hori-
zontal [2254, 2328, 2259-2261, vertical [2269-2272], or barrel [2255,
2257, 2258] reactors), the principle of operation (batch or continuous [2266,
2267] processing reactors), the degree of automation (manual or auto-
mated [2279—2282] reactors), and the number of thin film types or
processes (monofunctional or polyfunctional (Fig. 11.1) reactors). The choice
of a particular reactor is primarily imposed by the requirements of CVD
reactions and temperature limitations arising from the substrate type.
Basic types of reactors can be classified in two main categories: atmos-
pheric pressure reactors and subatmospheric pressure reactors (Table 11.1).
TABLE 11.1
Features of the Main CVD Reactor Systems in Commercial and Laboratory Use [45, 90]

Reactor
Wafer Reactor configu- Substrate Wall
Reactor Designa- Referen-
processing chamber ration heating tempera-
system tion ces
type type (gas flow method ture
direction)

1 2 3 4 5 6 7 8

A. Atmospheric horizon- batch tubular horizon- resis- hot, [2263]


pressure reactors tal tube tal tance cold
1. Low tempe- reactor
rature reactors
vertical cylindri- vertical " [2264]
rotary
" cal bell
It

reactor jar

346
EQUIPMENT AND SUBSTRATES

TABLE 11.1 (continued)


1 2 3 | 4 | 5 | 6 | 7 | 8

disperser continu- slotted t» hot [2266]


plate ous disperser "
reactor plate
laminar " laminar " II cold [2267]
flow flow
nozzle nozzle
reactor
conveyo- gas in- >t It hot [4136,
rized " injector 4661,
reactor 4662]
2. High-tem- horizon- batch tubular horizon- RF, cold, [2254,
perature tal tube tal resis- hot, cold 2261,
reactors reactor tance, 2390,
radiant hot 2609]
barrel batch barrel vertical RF, hot, cold [2255,
reactor radiant cold, hot 2257,
2258,
2390]
pancake a pancake horizon- R F cold [2261,
reactor tal (verti- 2390]
cal flow)
pedestal single tubular vertical RF cold [2344]
reactor wafer
B. Subatmos- horizon- batch II horizon- resis- hot [182,
pheric pressure tal (dif- tal tance 204]
reactors fusion
3. Low-pres- tube)
sure reactors reactor
vertical two vertical resis- II [2269-
(isother- quartz tance 2272]
mal dual bell jars
chamber)
reactor
4. Plasma- vertical single tubular vertical RF-f hot, cold [211,
promoted tube wafer resis- 4332]
reactors (pedestal) tance
reactor
horizon- batch parallel horizon- RF + hot [211,
tal paral- plate tal resis- 2275,
lel-plate (radial tance 2276]
(planar) flow)
reactor
vertical batch parallel horizon- RF+ hot [212]
parallel- plate tal (ver- resis-
plate tical tance
(hot flow)
tube)
reactor
5. Photo-CVD Photo- batch rectan- horizon- resis- hot [2278]
reactors chemical gular tal tance
reactor

347
TECHNIQUES

Atmospheric pressure reactors can be subdivided into low temperature


and high temperature reactors.
Low temperature reactors [2262—2272], which operate at temperatures
up to 500° C, can be classified according to their gas flow characteristics
and principle of operation as horizontal-tube reactors, vertical reactors,
and continuous reactors (disperser-,nozzle- or injector-type reactors) (Fig. 11.2)*
For reactors of this type, heat is supplied by resistance heating. Cooling
of the reactor wall is sometimes provided to suppress undesirable
homogeneous gas-phase nucleation. The main application of these reac-
tors is the production of Si0 2 , BSG, PSG, and BPSG layers deposited
over aluminium-metallized semiconductor devices by the oxidation of nitro-
gen-diluted hydrides at a substrate temperature ranging from 325° to 450° C.
High temperature reactors [2254—2261] operate at temperatures higher
than 500° C. They can be divided into horizontal, barrel, and single wafer
or pancake vertical flow reactors (Fig. 11.3). They are either cold-wall

1 2
HLin Γ Τ Τ Π

(a)
72
ΓΤΤ-2 6 ft. SwfV; I.
3
, ΑΤΛΤΤΙΠΜΙΙΙΙΙ t
\rm ψ* vrn ΓΓΛ 1771 171 ^

5 ΕΞΞΞ2ΞΞ} ^ Χ77Ά V77X Γ7Ά

c ^
(C) (e)
Fig. 11.2 Five basic types of LTCVD reactors used, for example, for preparing undeped or
doped S i 0 2 layers (from Kern [2263]; reproduced by permission of SOLID STATE TECHNO-
LOGY)
a — horizontal tube inductively-or resistively-heated reactor;
b — vertical rotary reactor;
c — continuous reactor with slotted disperser plate (e.g. AMS 2000 Continuous Silox Reactor,
manufactured by Applied Materials, Inc.);
d — continuous reactor with laminar flow nozzle (e.g. Model 2000 Vapour Deposition System,
manufactured by Pacific Western Systems, Inc.);
e — continuous reactor with gas injector consisting of laminar-flow ports (e.g. Model 6 CVD
(Si0 2 -PSG)-88 Furnace, manufactured by Watkins-Johnson Co.):
1 — nitrogen-diluted oxygen stream; 2 — nitrogen-diluted hydride (SiH 4 , P H 3 , B 2 H 6 , etc.)
stream; 3 — substrate wTafers; 4 — resistance heater; 5 — cenveyor system for moving the
substrate wafers; 6 — exhaust gases; 7 — undiluted oxygen stream; 8 — nitrogen stream.

348
E Q U I P M E N T AND S U B S T R A T E S

öas

Fig. 11.3 Schematic representation of HTCVD reactors used, for example, for preparing epi-
taxial silicon layers (from Cullen [3382]; reproduced by permission of Springer Verlag):
a — horizontal plate reactor; b — barrel reactor; c — single-wafer pedestal reactor; d— pan-
cake reactor; 1 — quartz reactor; 2 — cooling m a n t l e ; 3 — R F coil; 4 — substrate; 5 —
graphite susceptor; 6 — pedestal; 7 — exhaust.

or hot-wall types and can be RF inductively- [2254, 2257, 2261], resistively-


[2260], or radiantly - [2254] heated reactors. Reactors of this type have been
successfully used for the CVD of nearly all thin film materials, such as
semiconductors, insulators, conductors, superconductors, and magnetics in
monocrystalline, polycrystalline or amorphous form. The most important
utilisation is in the field of the epitaxial growth of elemental, III—V, and
II—VI semiconductors.
Subatmospheric pressure reactors can be further divided according to
the type of energy source for initiating the chemical reaction as thermal,
plasma-enhanced, and photochemical reactors.
Plasma-enhanced and photochemical systems may also heat the wafers
to increase film adhesion, deposition rate, or integrity, but in general the
wafers are not processed at temperatures as high as used in thermal systems.
Thermal subatmospheric reactors, also called low-pressure reactors,
operate at pressures of 0.1 to 10 torr and at low or high temperatures
between 300 and 900° C. The low pressure reactors are resistively heated
and have hot walls. They are of two different types, namely horizontal and
vertical [2269—2272]. The horizontal type consists of a modified diffusion
furnace in which the substrate wafers stand vertically, whereas the vertical
one employs a vertical gas flow across the vertically placed wafers in a dual
quartz bell jar chamber (Fig. 11.4). These reactors were first used for the
LPCVD of low- and high-temperature SiÖ2, BSG, PSG, BPSG, and doped
or undoped polysilicon and have recently gained extensive additional VLSI
applications for depositing refractory metals (W) and suicides.
The horizontal system is available in two variants: (1) the end-feed reac-
tor, used in high temperature ( > 600° C) processes (e.g. 800—850° C for
Si3N4 or SiN^Oy), in which the gases are introduced at the reactor inlet, and
{2) the distributed-feed reactor, used in lower temperature ( < 600° C)
processes (e.g. 400° C for Si0 2 , PSG, and BPSG), in which, for ensuring
deposition uniformity, the gases are introduced through a gas distributor tube
placed under the wafer boat [90].

349
TECHNIQUES

(a) (b)
Fig. 11.4 Production LPCVD reactors:
a — horizontal reactor: 1 — gases; 2 — gas panel (mass flow controllers); 3 — vitreous silica
reactor tube fitted with load/unload end c a p ; 4 — three-zone furnace; 5 — wafer carrier;
5 _ wafers; 7 — pressure sensor; 8— vacuum break valve; 9 — particulate filter; 10— me-
chanical booster p u m p ; 11 — furnace; 12 — balast valve; 13 — rotary piston mechanical
p u m p ; 14 — pump oil purifier; 15 — exhaust.
b - vertical reactor (after [2269]; reproduced b y permissicn of SILICON VALLEY G R O U P ,
INC.): 1 — gas injectors; 2 — caged wafer b o a t s ; 3 — heaters; 4 — to vacuum p u m p .

Plasma-promoted reactors are low temperature (250—350° C) and low


pressure (0.1 — 1 torr) reactors. They are of three main types: the inducti-
vely-coupled vertical-tube reactor, the capacitively-coupled horizontal
parallel-plate reactor and the inductively-coupled vertical parallel-plate
reactor [4325] (Fig. 11.5). In these reactors, the RF plasma glow discharge
T A B L E 11.2
CVD Equipment Suppliers with Film Depositicn Capabilities 1, 2

Equipment
APCVD
HTCVD LTCVD MOCVD
No. Company R/RF/
R RF IR R H B(C) SP
IR
MC
BJ(V)
BJ(V)

w
BJ(V)

w w w in
B(C)

C(B)
B(C)

RF

RF
Η

«
IR
Η

IR
Η
Η

1 —> »—1

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 "Ϊ7 18

1 Advanced Concepts, Inc., * * *


San Diego, CA

350
EQUIPMENT A N D SUBSTRATES

I Gas 7

δ i ΗΠΠ . JBXUL
ι· · · ! 153^

VAC Gas VAC

(b) (c)
F i g . 11.5 Plasma-assisted CVD reactors:
a — laboratory vertical tube inductive reactor; b — production radial-flow planar r e a c t o r
(after Benzing et al. [2266]; reproduced by permission of SOLID STATE TECHNOLOGY) ;
c — production hot tube reactor (after Rosier and Engle [212]; reproduced b y permission o f
SOLID STATE T E C H N O L O G Y ) : 1 — incoming gases; 2 — R F supply; 3 — resistance
heater; 4 — graphite susceptors; 5 — wafer(s); 6 — R F coil; 7 — wafer holder r o t a t i o n ;
8 — vacuum pump system.

is generated either capacitively within the deposition chamber in the case-


of the horizontal parallel-plate system, or inductively from the outside of
the reaction chamber in the other two cases. The first reactor is a labora-
tory single-wafer system whereas the other two reactors are high capacity
systems having a horizontal or vertical wafer parallel-plate configuration,
respectively. Currently, plasma enhanced CVD systems provide films of
silicon nitride, doped (B, P, and As) silicon dioxide and poly silicon.
The photochemical system is a very low temperature ( > 50° C) reactor
(Fig. 2.12) for producing silicon nitride, silicon oxide, and other thin films-
[2278].
A list of major CVD equipment suppliers together with the reactor
types and film deposition capabilities is given in Table 11.2.

Films
LPCVD PECVD SC I M
R |RF IR 1
O1&
BSG, PSG, BPSG
SiQ2>LTO/HTQ
Poly-Si, SiPOS

CO
H
&
Si3N4> SiON

O
UVCVD

> >
LCVD

O
MCSW

to PH
o" d
Epi-Si

>
1—1
to C4
o
WSi2
TiSi2

SnOa

to
VPP

PH CO
MCSW

MCSW

< to
HPP

α-Si

> a. CO to
H £
BJ(V)
B(C)

A
C

O
.en o
Τ

PH
H > > H
CO 1 CO
M H
Η

hi
V

Ό tß
6
n
o CO CO
to 1 PQ *o
19
1 20 21 22 23 24 25 26 27 28 : 2 9 | 3 0 31 | 32 33 | 34 35 36 137 (38 39 40 41 42 43


* * * * * * * * *
1
351
TECHNIQUES

TABLE 11.2 (continued)

o | i 12 3 4 5 6 7 8 9 10 11 12 | 13 14 15 | 16 17 18

2 Advanced Crystal Scien-


ces, Inc., San Jose, CA
3 Advanced Semiconductor * *
Materials International
NV
(ASM Europe BV,
Bilthoven,
The Netherlands and
ASM America, Inc.,
Phoenix, AZ)
4 Aixtron Aachen, -West *
Germany
5 Amaya, Tokyo, J a p a n
6 Anelva Corp., San
Jose, CA
7 Applied Materials, Inc., * * * * * * * * *
Santa Clara, CA
8 B T U Engineering Corp./
Bruce Systems Div.,
N. Billerica, MA
9 Crystal Microwave Corp., *
Whitehouse Station, N J
10 Crystalox 1982 Ltd., *
Oxon, U.K.
11 Crystal Specialties, Inc., * *
Portland, OR
12 CVD Equipment Corp.,
Deer Park, N Y
13 C V D , Inc., Woburn, MA *
* —
14 CVD Systems & Services,
Quakertown, P A
15 E. T. Electrotech,
E.T. Equipments,
Hauppauge, N Y
16 Elmatec Electronics
GmbH, Rendsburg, W.G.
17 Emcore Corp., South *
Plainfield, N J
18 Enerjet Div., K u r t
J. Lesker Co.,
Clairton, P A
19 Enya, Tokyo, J a p a n
20 Epitaxx Inc., *
Princeton, N J
21 Focus Semiconductor
Systems, Sunnyvale, CA
22 Gemini Research, Inc., *
Fremont, CA
23 General Air Corp., *
Tarzana, CA

352
EQUIPMENT AND SUBSTRATES

19 | 20 | 211 22 |23 |24 | 25 26


| 27] 28 29 30 31 32 33 34 35 36 37 38 39 | 40 41 | 42 43

1 * * * * * * *

* * * * 4c * * * *

*

—■
* — — — * * — —

* *1 * * * * * * * * * * *
* * * *

*
— i — *
— — * * * * — —
~*~
♦ * *
— — — * * *
*
* * * * * * * *
* * * *

* * * * *
*
* * * * *

* — —

* * * * * *
* *

♦ * * * * *

353
TECHNIQUES

TABLE 11.2 (continued)

ol i 2 | 3 4 5 6 | 7 | 8 9 | 10 | 111 12 1i31H| 15 | 16 1 171 i*


24 Genus, Inc., Mountain
View, CA
25 1 Helionetics, Inc., Laser
1 Div., San Diego, CA
26 Helmut Seier G m b H ,
Radolfzell, W.G.
— —
27 1 High Vacuum Equipment
1 Corp., Hingham, MA
28 | Hitachi, Tokyo, J a p a n
29 1 International Advanced
Materials, Inc.,
Suffern, N Y
30 1 IVI Corp., Pembroke, MA

31 J a p a n P r o d u c t s ,
Tokyo, J a p a n
32 Kanematsu Semiconductor —
Corp., Tokyo, J a p a n
* —
33 Kokusai Electric Co. *
Ltd., Semiconductor
Equipment Div., Tokyo,
Japan
34 Koyo Lindberg Ltd.,
Semiconductor Equip-
ment Div., Tenri Nara,
Japan
— —
35 Leadtorn Industrial,
Inc., Taipei, Taiwan,
R.O.C.
— — — —
36 Leybold AG, Hanau,
West Germany
37 L F E Corp., Process
— —
Control Div., Clinton, MA
38 Machine Technology, —
Inc., Parsippany, N J
39 Master Images, Inc.,
San Jose, CA
40 Metals Research Ltd., * * * * * *
Royston, U.K.
41 Microscience, Inc.,
Braintree, MA
42 Nav-Tec Industries, *
Albertson, N Y
43 Novellus Systems, Inc., 1 — —
San Jose, CA
44 Pacific Western Systems, 1 *
Inc., CVD Div., Los
Gatos, CA
45 P e a k Systems, Inc., 1
— — —
Fremont, CA |
46 P F D Systems, Inc., — —
_l Portland , OR |

354
E Q U I P M E N T AND S U B S T R A T E S

| 29| 30 | 3 11
19 |20 |21 |22 |23 |24 |25 |26 | 27 28 32 33 34 35 36 | 37 38 | 39 40 41 42 43
* *
* * — * *
* *
* * * —

* * *

* *
* — * * * * *

* * *

* *
— * *
~*~ *

* * * * *
* *
* * * * *
* * * *

* * * * *

* * *
* 1 * * *

355
TECHNIQUES

TABLE 11.2 (continued)

0 1 1 |2 3 4 | 5 6 7 8 | 9 10 11 12 | 13 14 15 | 16 17 18

47 Phoenix Materials Corp., * *


Kitanning , P A
48 Plasma Physics Corp.,
Locust Valley, N Y
49 Plasma Technology (UK)
Ltd., Bristol, England
— —
50 Plasma-Therm., Inc.
Voorhes, N J
51 Process Technology Ltd.,
Oromocto, Canada |
52 Samco International *
Inc., Kyoto, J a p a n
— —
53 Semy Engineering, *
Juvignac—Montpellier,
France

54 The Semy Group, Inc.,
Sunnyvale, CA

55 Silicon Valley Group,


Inc./ Anicon, Inc., San
Jose, CA

56 Sitesa SA Semiconductor *
Technology, Biasca,
Switzerland

51 Spectrum CVD, Inc.,


Phoenix, AZ

58 Spire Corp., Inc., *


Bedford, MA

59 Technics, Inc., Dublin,


CA

60 Tegal Corp, Novato, CA

61 Tempress, A Unit of * * * *
General Signal, Santa
Clara, CA

62 Tetron, Inc., Fremont, *


CA

63 Thermco Systems, Inc., *


Orange, CA

64 Tylan Corp., Carson, CA



65 Ulvac North America
Corp., Kennebunk, M E

356
EQUIPMENT AND SUBSTRATES

119 20 21 22 23 24 25 | 26 | 2728
] 29 30 1311 32 |33 40 41 42 | 43
|34 |35 |36 37 38 | 39 |

* * * * * *

* * *

* * * * * * * * *

* *
*

* * * * * * *

# * # * «
#

* * * * * *
* * * * *

* * *

* * * * * * * * *


* * *

* *

* * *

* * *

* * * * * *

* *

* * * * *

* * * * *

* * * 1 * *

11
357
TECHNIQUES

TABLE 11.2 (continued)

Ü 1 2 3 4 5 6 7 8 9 10 11 12 \3 14 15 16 17 18

66 Vacutec AB, Malmo,


Sweden

61 Varian Associates, Inc.,


Semiconductor Equip-
ment Group, Palo Alto, CA

68 V E B Electromat,
Dresden, D D R

69 Wat kins-Johnson Co., *


Scotts Valley, CA
— — —
70 Wellman Furnaces Ltd., * *
Warley, England
— — —
71 XMR, Inc., Santa
Clara, CA
1
H = horizontal reactor; BJ(V) = bell jar (vertical) reactor; B(C) = barrel (cylinder)
reactor; C(B) = continuous (belt) reactor; R == resistance heated reactor; R F = radiofrequency
heated reactor; I R = infrared heated reactor; MCSW = multi-chamber single-wafer reactor;

11.3 Safety in CVD

Safety is an important problem in CVD technology, which requires the han-


dling of numerous hazardous chemicals at high temperatures and high
voltages [2283]. In addition to mechanical, thermal, and electrical hazards
there is an increased number of chemical hazards. It is well known that
fire, explosion and toxicity hazards are associated with many liquid and
gaseous chemicals.
Liquid hazardous chemicals involved in semiconductor CVD technology
may be divided into flammable solvents (alcohol, acetone), toxic solvents
(CC14, C2HC13), strong acids (H 2 S0 4 , HN0 3 , HC1, HF), strong bases (NaOH,
KOH, NH4OH), strong oxidizers (H 2 0 2 ), and other liquids (fluorinated
hydrocarbons).
All liquid chemicals are dangerous to some degree and should therefore
be used with suitable caution. Some essential safety rules for their handling
are as follows.
(1) Good ventilation should be provided in all areas where liquid chemi-
cals are handled or stored.
(2)Adequate equipment (such as chemically resistant face shields, glasses,
gloves, boots, and aprons) should be provided for the protection of
face, eyes, hands, feet, and body when working with solvents, strong acids,
and bases.
(3) Flammable liquids should be kept away from any ignition source.
(4) Proper fire extinguishers should be provided.
(5) Eye and body-wash facilities should be readly available.

358
EQUIPMENT AND SUBSTRATES

43
19 20 21 22 23 24 25 26 27 28 29 30 | 3 1 | 3 2| 33 I 3 4 | 35| 36I 3 7 | 38| 39| 40I 4 1 | 421
* * * *

* * * *

* * * * *

* ♦ * * * *

♦ * * *

T = tube reactor; HPP = horizontal parallel-plate reactor; VPP = vertical parallel-plate


reactor; A == afterglow (remote) deposition reactor; C = continuous reactor; SC = semicon-
ductor; I = insulator; M = metal (metal compound).

(6) The formation should be avoided of dangerous combinations of


liquid chemicals (such as organic* solvents with strongly oxidising acids).
(7) First aid treatment should be readily available in the event of skin
contact, eye contact, the inhalation of fumes or chemical ingestion.
Gaseous hazardous chemicals may be divided into the following hazard
categories: explosive (all gases compressed in cylinders under high pressure),
flammable (H2, B2H6, PH3, AsH3) or pyrophoric (SiH4, Al2 (C2H5)3), corrosive
(HC1, NH3) or noxious (HC1, NH3, SiH2Cl2) and poisonous (B2H6, PH3, AsH3)
gases. Table 11.3 summarizes safety information for gases [2285—2290]
and volatile liquid sources [2291] commonly used in CVD technology. General
precautions in using gases and volatile liquid sources are as follows [2285,
2286].
(1) Always use gases in areas where adequate ventilation is provided.
(2) Use a proper handling system (valves, pressure regulators, flowme-
ters, fittings, pipes) to provide leak-free plumbing.
(3) Use leak detection systems in all areas of CVD equipment (rooms,
ducts, and reactors).
(4) Store compressed gas cylinders in vented, fire resistant cabinets
or outside.
(5) Purge dangerous gas lines with separate N2 cylinders.
(6) Close off main cylinder valves when not in use.
(7) Avoid subjecting cylinders to temperatures higher than 75° C.
(8) Use a check-valve to prevent suckback of foreign materials into
gas cylinders.
(9) Use auxiliary cut off valves in the line.
(10) Turn over corrosive gas cylinders within a reasonable time.

359
TECHNIQUES

(11) Determine cylinder content by weight for liquified gases and by


gauge pressure for non-liquefied gases.
(12) Safety equipment such as breathing equipment, protection equip-
ment (face shields, rubber gloves, rubber or plastic aprons, gas-tight goggles),
fire extinguishers, eye-washing fountains, and water showers must be readily
available.
(13) Special precautions should be taken when pumping hazardous
gases such as in the LPCVD and PECVD techniques [1689, 2273, 2289,
2290].

11.4 Substrates

11.4.1 Classification and Requirements

A substrate is a material on which a CVD film is deposited. When the material


is a large single crystal of semiconductor (Si, GaAs), the substrate is usually
called a wafer or a slice. Theoretically, a substrate must only provide me-
chanical support and not interact with the thin film except for ensuring suffi-
cient adhesion. In practice, however, the substrate exerts a considerable influ-
ence on the characteristics of a CVD film.
Substrate materials used in CVD thiniilm technology [2292—2294] can be
classified according to several criteria.
(1) Crystallinity. Amorphous (glass, silica), polycrystalline (alumina,
metals), and monocrystalline (elemental and compound semiconductors,
sapphire, spinel).
(2) Electrical conductivity. Conductors (metals), semiconductors (Si,
Ge, III—V, II—VI and IV—VI compounds), and insulators (sapphire, spinel).
(3) Chemical composition. Elements (Si, Ge, metals), oxides (sapphire,
spinel, garnets, silica, glass), sulphides (ZnS, CdS, PbS), selenides, tellurides,
phosphides (GaP, InP), arsenides (GaAs, InAs), and antimonides (GaSb,
InSb), etc.
(4) Application Jield. Substrates used for discrete devices and integrated
circuits (Si, Ge, sapphire, GaAs), optoelectronics (GaAs, GaP), microwave
devices and circuits (GaAs, Si, alumina, sapphire), magnetic bubble memories
(garnets), and surface acoustic wave devices (sapphire).
(5) Light transmission. Transparent (glass, sapphire, spinel) and opaque
materials.
(6) Operation. Active (semiconductors, sapphire, garnets) and passive
(glass, alumina) substrates; the utilization of the latter is not dependent on
the deposition of an epitaxial layer.
(7) Deposited layer crystallinity. Substrates used for homoepitaxial
(monocrystalline substrates), heteroepitaxial (insulating and semiconducting
crystalline substrates), polycrystalline (any substrate), and amorphous
(any substrate) layer deposition.
(8) Thermal stability. Substrates that are stable thermally only at lower
(<500°C) temperatures (glass, InSb), at intermediate (< 700—900° C)

360
TABLE 11.3
Main Characteristics of Gases and Organometallics Commonly Used in CVD [ 2 2 8 3 - 2 2 8 6 , 2288, 2291, 2595, 3386]

Cylinder Fire hazard


Chemical pressure
2
formula (kg/cm ,20°C) Pyrophori-
No. Compound for gases; city (% in Flam- Explosion Toxicity TLV Corrosivity Main uses
and
Vapour air, autoig- mability hazard hazard (ppm) hazard
abbrevi-
pressure (mm nition (% in air)
ation
Hg, 20°C) temperature)
for OMs

0 1 2 3 4 5 6 7 8 9 10

Gases
1 Hydrogen I H2 | 133 Yes 4-75% Yes Nontoxic No Carrier gas in CVD
(585°C) (Vigorous asphyxiant processes
reaction
with 0 2 ,
air, N 2 0 ,
NO)
2 Nitrogen N2 147 No No No Nontoxic - No Carrier gas in CVD
asphyxiant proceses; CVD of Si 3 N 4
3 Argon Ar 147 No No No Nontoxic No Carrier gas in CVD,

asphyxiant processes
4 Helium He 147 No No No Nontoxic - No Carrier gas in CVD
asphyxiant processes
5 Silane SiH 4 40 (pure); 0.5% Yes Yes 0.5 No CVD of epitaxial, poly-
120 (diluted SiH 4 /H 2 crystalline and amor-
in H 2 , Ar, 4°/ phous silicon; S i 0 2 ;
He, H 2 ) SiH 4 /N 2 Si 3 N 4 ; silicate glasses
(375°C) Doping of I I I — V ma-
terials
6 Dichloro- SiHXL 1.67 Yes Yes No Highly 5 No (dry) CVD of epitaxial and
CO silane (53-63°C) (4-90%) toxic (simi- Yes(wet) polycrystalline silicon,
05 lar to HCl) , Si0 2 , Si 3 N 4
CO TABLE 11.3 (continued)

0 1 2 3 * 1 5 6 7 8 9 10

7 Silicon SiCl 4 - - No No » 5 No (dry) CVD of epitaxial sili-


tetrachloride Yes (wet) con, SiC
(liquid)

8 Hydrogen HC1 43 - No No Noxious 5 Highly CVT of Si0 2 , Si 3 N 4 ,


chloride corrosive II—VI compounds

9 Hydrogen HBr 22.5 - No No Noxious 3 >> CVT of I I - V I com-


bromide pounds

10 Ammonia NH3 8 - 15-28% No Noxious 50 CVD of group I I I - V


(651°C) nitrides, Si 3 N 4 ; doping
of epi-Ga,AsP, epi-SiC
11 Hydrogen HF 0.04 - No No Noxious 3 CVT of I I - V I com-
fluoride pounds
12 Arsine AsH 3 14.4 NA Yes Yes Highly 0.05 No CVD of group I I I - V
(undiluted) toxic arsenides; doping of
epi-, poly-, and a-Si,
SiC
13 Phosphine PH3 41.8 Yes Yes Yes 0.3 No CVD of group I I I - V
(undiluted) (40—50°C) " phosphides; doping of
epi-, poly-, and a-Si,
SiC
14 Diborane £*2^6 Yes Yes Yes 0.1 No CVD of B - V com-
(37- (0.8- " pounds ; doping of epi-,
-52°C) -88%) poly-, and α-Si, SiC
15 Nitrous N20 52.4 No No No Nontoxic "~~ No CVD of SiO a and metal
oxide Supports asphyxiant oxides
com-
bustion
16 Nitric NO 33 No „ „ No Extremely 2.5 No CVD of S i 0 2 and metal
oxide toxic oxides
17 1 Carbon co2 58.3 No No No Noxious in 5000 No CVD of SiG 2 and metal
dioxide high con- oxides
centrations
18 Oxygen o2 147 No No No No —

" No CVD of Si0 2 and m e t a l
Supports oxides
combus-
tion
19 Sulphur SF 6 22.5 — No No Nontoxic 1000 Noncorro- High-temperature
hexafluoride asphyxiant sive vapour etching of Si
substrate

20 Tungsten WF6 1 No No No Highly 0.1 Yes CVD of elemental tung-


hexafluoride toxic sten, WSi 2
21 Germane GeH 4 3.64 No Yes Yes Toxic 0.2 No CVD of epitaxial, poly-
crystalline a n d amor-
phous germanium on
Si, Ge, GaAs substra-
t e s ; doping of I I I — V
materials
22 Hydrogen H 2 Se 8.8 Yes Yes High 0.05 No CVD of I I - V I group
selenide toxicity selenides
" Doping of I I I —V ma-
terials

23 Silicon SiF 4 93 - No No Highly 1 No (dry) CVD of S i 3 N 4 , a-Si


tetrafluoride toxic Yes (wet)
24 Boron BC1 3 0.31 - No No Corrosive 1 Noncorro- CVD of B - V com-
trichloride to the skin sive pounds
25 Hydrogen H2S 17.7 — Yes Yes Highly — Noncorro- CVD of I I - V I sulphi-
sulphide (4.3- toxic gas sive des; doping of I I I — V
-45%) materials
26 Hydrogen HI 6.7 - No No Toxic gas 3 Corrosive CVT of I I - V I com-
iodide pounds
27 Methane CH 4 150 — Yes Yes Nontoxic 1000 Noncorro- CVD of C and carbides
CO (5.3- asphyxiant sive
CO -H%)
Co TABLE 11.3 (continued)

0 1 2 3 4 5 6 7 8 9 10

28 Ethane C2H6 38.2 - Yes Yes Nontoxic 1000 Noncorro- CYD of C and carbides
(3- asphyxiant sive
-12.5%)
29 Propane C3H8 7.7 — Yes Yes Nontoxic 1000 Noncorro- CYD of C and carbides
(2.2- asphyxiant sive
-9.5%)

Organometallics
30 Diethyl Be(C 2 H 5 ) 2 j 12(194°C) Pyropho- No Doping of I I I — V ma-
beryllium DEBe ric terials
31 Dimethyl- Be(CH 3 ) 3 NA
beryllium DMBe No Doping of III—V ma-
terials

32 Bis(cyclo- Mg(C 5 H 5 ) 2 0.043(25°C) No Doping of I I I — V ma-


pentadienyl) Cp 2 Mg terials
magnesium

33 Dimethyl Zn(CH 3 ) 2 124(0°C) » — Decomposes No CVD of epitaxial Zn—


zinc DMZn violently —YI compounds
in water Doping of I I I — V ma-
rials

34 Diethyl Zn(C 2 H 5 ) 2 15(29°C) " — „ „ No CVD of epitaxial Zn —


zinc DEZn 91(60°C) —VI compounds
Doping of I I I — V ma-
terials

35 Dimethyl Cd(CH 3 ) 2 350(80°C) Pyro- — Decomposes No CVD of epitaxial Cd—


cadmium DMCd phoric in water —VI compounds
Doping of I I I —V ma-
terials
36 Dimethyl Hg(CH 3 ) 2 Flam- No CVD of epitaxial Hg—
mercury DMHg mable —VI compounds
:
37 Trimethyl B(CH 3 ) 3 Pyro- Does not Toxic No CVD of epitaxial B—V
boron TMB phoric react with compounds
water in
absence of
o2
38 Triethyl B(C 2 H 5 ) 3 42.6(20°C) Pyro- - » » Toxic - No CVD of epitaxial B - V
boron TEB 108(40°C) phoric compounds
39 Trimethyl A1(CH 3 ) 3 8.4(20°C) Extre- Reacts Toxic — No CVD of epitaxial Al—V
aluminium TMA1 68.5(60°C) mely extremely compounds, A1BSG and
pyropho- violently elemental Al
ric with water Doping of epi-SiC
40 Triethyl A1(C2H5)3 0.8(60°C) „ „ — Toxic — No CVD of epitaxial Al—V
aluminium TEA1 110(140°C) compounds, A1BSG
and elemental Al
41 Trimethyl Ga(CH 3 ) 3 65.4(0°C) Pyropho- Reacts Toxic - No CVD of epitaxial Ga—V
gallium TMGa 222(25°C) ric violently compounds
* with water
42 Triethyl Ga(C 2 H 5 ) 3 16(43°C) „ „ - }} » Toxic - No CVD of epitaxial Ga—V
gallium TEGa 62(72°C) compounds
43 Trimethyl In(CH 3 ) 3 7.2(30°C) Less Less reac- Toxic No CVD of epitaxial In—V
indium TMIn 72(70°C) pyro- tive with compounds a n d l n 2 0 3
(solid) phoric water t h a n
t h a n Ga Ga alkyls
alkyls

44 Triethyl In(C 2 H 5 ) 2 3(54°C) Less Less reac- Toxic No CVD of epitaxial I n — V


indium TEIn 12(83°C) pyro- tive with compounds and l n 2 0 8
phoric water t h a n
t h a n Ga Ga alkyls '
alkyls

45 Tetramethyl Ge(CH 3 ) 4 139(0°C) - Flam- No CVD of epitaxial Ge


germanium TMGe mable Doping of I I I — V ma-
terials

46 Tetramethyl Si(CH 3 ) 4 . NA - Flam- No CVD of epitaxial Si


si lane TMSi i mable
ω TABLE 11.3 (continued)

0 1 2 3 4 5 6 7 8 9 10

47 Tetramethyl Sn(CH 3 ) 4 10(-21°C) - Flam- No CVD of S n 0 2


tin TMSn mable Doping of I I I —V ma-
terials
48 Tetraethyl Sn(C 2 H 5 ) 4 NA Flam- No CVD of S n 0 2
tin TESn mable Doping of III—V ma-
terials
49 Trimethyl P(CH 3 ) 3 381(20°C) Pyro- — — Toxic — No CVD of epitaxial group
phosphine TMP phoric I I I —V phosphides
50 Triethyl P ( Q H 5 ) 3 46.5(50°C) Pyro- — — Toxic — No CVD of epitaxial group
phosphine TEP phoric III—V phosphides
51 Trimethyl As(CH 3 ) 3 238(20°C) Flam- Insensitive High toxi- No CVD of epitaxial group
arsine TMAs mable to water city because I I I —V arsenides
of As
content
52 Triethyl As(C 2 H 5 ) 3 15.5(37°C) - v Flam- » >> ,, „ - No CVD of epitaxial group
arsine TEAs 93(73°C) mable I I I —V arsenides
53 Trimethyl Sb(CH 3 ) 3 78(20°C) - Flam- Insensitive Poisonous - No CVD of epitaxial group
stibine TMSb mable to water because of I I I —V antimonides
Sb content
54 Triethyl Sb(C 2 H 5 ) 17 (75°C) — Flam- Insensitive — No CVD of epitaxial group
stibine TESb mable to water Poisonous III—V antimonides
because of
Sb content
55 Diethyl Se(C 2 H 5 ) 2 NA Flam- No CVD of group I I - V I
selenide DESe mable selenides
Doping of III—V ma-
terials
56 Dimethyl Te(CH 3 ) 3 14(30°C) Flam- No CVD of group I I - V I
telluride DMTe mable tellurides
Doping of I I I —V ma-
terials
57 Diethyl Te(C 2 H 5 ) 2 Flam- No CVD of group I I - V I
telluride DETe mable tellurides
Doping of I I I —V ma-
1 i terials
EQUIPMENT A N D SUBSTRATES

temperatures (GaAs, GaSb, InP, InAs), or in the entire temperature range


(< 1250° C) used in CVD (Si, sapphire, spinel, garnets, silica).
Substrates used in a CVD film technology should meet some general
and some special requirements. More stringent substrate requirements are
always encountered in the production of high-quality homo- and heteroepi-
taxial films.
General substrate requirements are as follows: single crystallinity with
few crystalline defects and low lattice mismatch to the film material; a mini-
mum number of surface defects; a thermal expansion coefficient similar to
that of the film; chemical inertness to the growth conditions; chemical, mecha-
nical, and thermal stability. Fulfilment of the above requirements will
allow the nucleation of a single crystal film with good crystalline quality,
few interfacial defects, low stress, low contamination from the substrate,
and with the ability to withstand further processing.
For particular applications, other considerations could be important
such as: high thermal conductivity (required for high-power microwave cir-
cuit applications), high transparency in a wide wavelength range (NEA photo-
cathodes), and low acoustic wave attenuation (SAW devices) of the substrate.

11.4.2 Preparation, Properties and Uses of Substrates

Active substrates. These substrates are very interesting especially for homo-
and heteroepitaxial growth. They can also be used for polycrystalline and
amorphous film deposition. Si, GaAs, GaP, sapphire, spinel, and garnets
are the most used active substrates . Ge, SiC, GaSb, InAs, InP, InSb have,
at present, only a limited commercial applicability.
Silicon wafers [2295-, 2297] are the starting material in the fabrication
technology of most semiconductor devices and integrated circuits. They are
prepared by converting polycrystalline silicon material into a single crystal,
using two basic crystal growing processes, namely the float zone method and
Czochralski method. The first process relies on freezing of a floating molten
zone initially established at the lower end of a polycrystalline bar in contact
with a single crystal seed. The second process consists of withdrawing the
crystal from a silicon melt contained in a quartz crucible, surrounded by
RF or resistance heated graphite susceptors. At present, the Czochralski
technique is the most widely used technique of producing silicon single crys-
tals up to 100—150 mm in diameter (4"—6") with about zero dislocation
density. Commonly available dopants include boron, phosphors, arsenic, and
antimony. Resistivities are commonly available from 0.001 to 100 ohm cm
(8 X 1019 to 1014 atoms/cm3). Undamaged and flat wafers suitable for the
most demanding applications are obtained by slicing and polishing techni-
ques.
III—V compound semiconductor substrates (GaAs, GaP, etc.) [2298,
2299].
These substrates are widely used for fabricating various microwave and
optoelectronic devices. Single crystals of III—V compound semiconductors
are obtained mainly by two methods, namely the horizontal-gradient freeze

367
TECHNIQUES

technique in silica boats and the liquid-encapsulation Czochralski technique.


Commonly available material may be undoped, p- or n-type doped or semi-
Insulating. For example, GaAs wafers may be undoped n-type (1015—1016
atoms/cm3), doped n-type(Se, Si, S, Te, Sn-—1017-1018 atoms/cm3), doped
p-type (Cd, Zn—1017-1019 atoms/cm3), or semi-insulating (Cr—106-108 ohm
cm). The diameter of the currently available GaAs wafers is greater than
2" (50 mm).
Oxide substrates (sapphire, spinel) [2300, 3382] are obtained by the follow-
ing four methods: the flame fusion method, the Czochralski method, the
heat exchanger method, and the edge-defined film-fed method. Polished sap-
hire substrates are now available up to 10" in diameter. Sapphire substrates
offer good stability at high temperatures, resistance to chemical attack, good
electric isolation, excelent thermal conductivity, a high dielectric constant,
and a low loss factor. Consequently, they are extensively used in the prepara-
tion of heteroepitaxial semiconductor films for various electronic devices
such as SOS devices, microwave integrated circuits, and SAW devices.
Garnets are used primarily for fabricating of magnetic-bubble memo-
ries. The gadolinium gallium garnet — Gd3Ga5012 (GGG) is the most com-
monly used substrate for epitaxial garnet film growth. In the Czochralski
technique, crystals with a diameter of 3'' are pulled from melts contained in
RF heated iridium crucibles.
TABLE 11.4
Physical Properties of CVD Substrates [2299-2302, 3382]

Sub
No.
Parameter Si Ge GaAs GaP GaSb InP

0 1 2 3 4 5 6 7

1 Crystal
structure
2 Lattice 5.42 5.65 5.63 5.45 6.09 5.87
constant (Ä)
3 P u r i t y (%)
4 Density 2.33 5.32 5.3 4.14 5.60 4.79
(g/cm 3 )
5 Colour grey

6 Hardness 7
(Mohs)
7 Melting 1412 936 1240 1480 712 1062
point (°C)
8 Dielectric constant 11.7 11.1 14
9 Dissipation - - - - - -
factor

368
EQUIPMENT A N D SUBSTRATES

Passive substrates. These substrates include mainly alumina ceramic


substrates and glass substrates which are applied to thin film resistors (hybrid
integrated circuits) and conductors, dielectrics, and resistors (hybrid micro-
wave integrated circuits).
Glass substrates [2301] have a barium aluminium borosilicate composi-
tion. Their properties, such as high volume resistivity, lack of alkaline ion
impurities, and high surface smoothness (60 Ä) are required for some elec-
tronic thin film circuits such as those including resistors. Glass substrates
are typically produced as 2" χ 2"flat-plate substrate having a thickness o
0.5 to 1 mm.
Alumina ceramic suMtrates [2302] are formed from a dense small-grained
99.5% aluminium oxide and have a surface smoothness better than 2000 Ä.
Owing to their electrical insulation ability, thermal deformation stability and
heat dissipation characteristics, these substrates are extensively used in micro-
wave electronics. Glazed alumina, which offers a surface finish better than
250 Ä, can be used for thin film deposition up to 700°C. A1203 substrates are
also prepared as 2" χ 2" sheets.
SiC [2303], A1N [2304], and I I - V I compound (e.g. CdTe, ZnSe) [2305]
substrates are still in the phase of development.
The properties of the most important substrates used in CVD are summa-
rized in Table 11.4.

strate
A1 2 0 3 MgAl204 1 GGG
A1203 1 BABSG
InAs InSb BeO
(sapphire) (spinel) (alumina)

8 9 10 H 1 12 13 14 1 15

Rhombo- Face-centred - cubic


hedral cubic
6.06 6.48 a = 4.75 8.08 - 12.38
c = 12.99
99.5 99.5 99.8
5.66 5.8 3.98 3.58 7.09 3.89 2.76

white colour- white clear


less
9 8 9 9

943 523 2030 1 2105 1500 1720 1600 842

14 15.9 9.4 1 8.4 6.9 10 10.5 5.84

- - 10-3-.10-4 10- 3 _ io-4 2 x 10-4 IQ" 4


io- 3

369
TECHNIQUES

TABLE 11.4 (continued)

0 1 1 2 | 3 4 5 | 6 | 7

10 Refractive 3.49 3.30 3.37 - 3.1


index

11 Optical transparent
transmission in I R

12 Absorption 0.82 - 1.79 -


edge (μιη)

13 Energy gap 1.11 0.67 1.35 2.4 0.7 1.34


(eV)

14 Thermal 1.41 0.61 0.37 1.1 0.27 0.7


conductivity
(W cm- 1 °C-J)

15 Thermal 3.59 x 10-6 6.1 x 10-6


expansion
coefficient
TO')
16 Resistivity
(Ω cm)
— undoped 2.3 x 105 46 ~ 1_ >2(n) 0.07(p) >0.25(n)
-0.1(n)
— n-type
p-type 0.0001-50 > 0.001 ~0.02- 2-0.025 0.04- 0.003
-0.001 -0.001
— p-type 0.0007- > 0.007 ~0.4- 0.7- 0.06- 8-0.02
-50 -0.002 -0.004 -0.0008
— semi-insula- 106 _ 108 108 107
ting

17 Mobility
(cm 2 /Vs)
— undoped 5,500 120 600 5,000
— n-type 1350 3900 8,500 150 3,000 2,000
— p-type 480 1900 300 90 550 150
— semi-insula-
ting

18 Wafer
dimensions
03/4-8" ~ 1 " χ 1" ~3S x 055 mm 15 x
30 m m
~0
55 m m
47 m m —
-03"

11.4.3 Surface Contamination and Cleaning

Cleanliness of surfaces in CVD thin film technology is essential to the fabri-


cation of semiconductor devices and integrated circuits having the desired
stable electrical characteristics. This subject has previously been reviewed
for both silicon substrates and thin film substrates of many types [12, 2306,
2307]. In this section, the nature of contaminants, cleaning procedures and

370
E Q U I P M E N T AND S U B S T R A T E S

8 9 10 11 12 13 | 14 15

3.42 3.90 1.77 1.72 1.965 1.53

> 8 0 % in > 8 0 ° o in transparent


visible and visible and in visible
IR IR and IR

3.7 7.4

0.42 0.17

0.29 0.16 0.27 0.14 0.50 0.37 0.0160

8.4 x 10-6 7.4 x 10-6 8.7 x 10 6


7.7 x 10-6 4.6 x 10-6

>1014 >1014 1022

0.014- 0.25-
-0.07(n) - 0 . 0 4 ( H )
0.006- 0.026-
-0.0004 -0.0001
6.0-0.01 6.0-0.01

23,000 500,000
20,000 240,000
8,000

~ 0 4 O mm ~ 0 3 8 mm 6" 2" x 2" 02-4* 2"x2" 2"x2"

analytical methods for detecting contamination, as encountered in CVD pro-


cessing, are presented.
Contamination of a substrate or film surface can occur prior to or after
etching. Both initially present and residual contaminants arising from etching
treatments consists of particulate materials, organic or inorganic surface
films.
For silicon substrates, the particulates consist of silicon dust, inorganic
abrasive particles and lints, the organic films include photoresist residues, orga-

371
TECHNIQUES

nie solvent residues and oil films, and the inorganic films are silicon dioxide,
metal (e.g. Cu) and ionic (e.g. Na+) layers. These impurities should be remo-
ved both before etching (initial decontamination), since they disturb the nor-
mal etching process, and after etching (final decontamination) because they
may adversely affect the nucleation, growth, structure, composition, adhe-
sion and other properties of the CVD film, or may penetrate into the substrate
during the subsequent high temperature processing. In general, initial decon-
tamination is simpler and sometimes unnecessary, while final decontamina-
tion is complex and decisive.
Decontamination procedures depend largely on the type of contaminant
encountered, but are relatively independent of the moment of their application
[2308—2315]. Particulate removal is usually achieved by various simple physi-
cal means such as mechanical scrubbing, the use of gas or liquid jets, and ultra-
sonic treatment in cleaning solutions. Organic surface films are removed either
partially by using dissolution in proper organic solvents, or completely by
using oxygen plasma ashing, inert-gas glow-discharge plasma cleaning, Ar
sputter cleaning, UV radiation-0 3 cleaning, or chemical reaction in aqueous
oxidising media (in particular NH 4 OH—H 2 0 2 and H 2 S0 4 —H 2 0 2 [2311,
2312]. Inorganic surface films can be removed in HF or BHF (for silicon dio-
xide)" in HC1-H 2 0 2 or H 2 S0 4 —H 2 0 2 (for metals), or in HC1 - H 2 0 2 (for
metallic ions). Therefore, a cleaning sequence must include three main steps:
removal of organics in NH 4 OH—H 2 0 2 , removal of oxide layers in HF, and
final removal of metallic or ionic layers in HC1—H202. Final treatment is
usually deionized water or electronic grade isopropylalcohol, followed, if
necessary, by storage in closed glass containers. Contamination can be detec-
ted by a number of methods such as phase contrast or interference contrast
microscopy, and scanning electron microscopy (for particulate contaminants),
and by ellipsometry, spark source mass spectrometry, scanning Auger micro-
analysis, ion scattering spectrometry, radioactive tracers, Rutherford ion
backscattering, and neutron activation (for organic and inorganic films).
Another important aspect of substrate surface preparation — substrate
etching—has already been discussed in Chapter 10.
12
Preparation and Properties of
Semiconducting Thin Films

12.1 Introduction
Chemical vapour deposition has been used extensively in the field of solid
state technology for growing layers of various semiconductors such as silicon,
germanium, gallium arsenide, and many other compounds.
Semiconducting thin films can be divided into four groups, in order
of decreasing degree of structural perfection, i.e. homoepitaxial, heteroepi-
taxial, polycrystalline, and amorphous films. Homoepitaxy refers to the growth
of monocrystalline films on a monocrystalline substrate of the same material.
In the case of heteroepitaxy, monocrystalline films are grown on a mono-
crystalline substrate of a different material. Polycrystalline and amorphous
films are commonly obtained on insulating amorphous substrates.
The commercial growth of all categories of semiconducting films, which
are important in a variety of applications [2316] is, at present, carried out
exclusively in CVD systems. Indeed, by using CVD, perfect semiconducting
layers of controlled impurity content and stoichiometry can be obtained
relatively simply and reproducibly at high deposition rates.
Many aspects of the CVD of semiconducting films have been surveyed
in books and reviews [11—22, 43—54], some covering the whole topic while
others are restricted only to a specific category of such materials. The pur-
pose of this chapter is to give a comprehensive survey of all general aspects
related to the preparation and characterization of all material groups encoun-
tered in the field of CVD semiconducting films.

12.2 Homoepitaxial Semiconducting Films


12.2.1 Introduction
Epitaxial growth is the growth of a crystallographically oriented film on an
oriented crystalline substrate. Homoepitaxial growth refers to the growth of
an oriented film on an oriented substrate consisting of the same material.

373
TECHNIQUES

The film and the substrate consist of either undoped (true homoepitaxy) or
doped (pseudohomoepitaxy) materials.
The dopants in pseudohomoepitaxial growth change the physico-chemi-
cal properties of the two materials, such as lattice parameter, thermal coeffi-
cient of expansion and chemical affinity differently.
Typical examples of homoepitaxial growth are film-substrate combina-
tions such as Si/Si, GaAs/GaAs, ZnS/ZnS and Pb^^Sn^Te/Pb^^Sn^Te,
while pseudohomoepitaxial growth is .encountered in composite structures
such as undoped Si/doped Si, doped Si/undoped Si, and doped Si/doped Si
(doping with a different dopant, or with different concentrations of the same
dopant).
The achievement of true homoepitaxial growth is only of scientific impor-.
tance, its study helps to understand the basic growth mechanisms and is also
useful in structural characterization of such films. On the contrary, pseudo-
homoepitaxy is of crucial technological importance to many solid state elec-
tronic devices. The role of homoepitaxial semiconducting thin films in prac-
tical devices is extremely large, encompassing generating, detecting, and am-
plifying functions of either electrical or optical signals. Homoepitaxial semi-
conductors play a part in all types of integrated circuits and discrete devices
ranging from low-power low-frequency to high-power high-frequency devi-
ces. Besides the fact that these materials are amenable to forming into p-n
homojunctions, they have light-emission or detection capabilities and offer
new possibilities for obtaining microwave current oscillations and for the
generation and amplification of microwave signals. The main applications in
electronics and microelectronics include bipolar junction diodes and transis-
tors, bipolar integrated circuits and MOS integrated circuits. Homoepita-
xial semiconductors are also used in optical (laser diodes, light-emitting dio-
des, detectors, solar cells) and microwave (Gunn effect or transferred electron
devices, impact-avalanche-transit-time (IMPATT) devices, and field effect
transistors (FETs) devices.
Homoepitaxial semiconducting films applied to electronics require struc-
tural perfection, thickness uniformity, high purity stoichiometry, good sub-
strate adhesion, controlled doping, suitable electrical or optical properties,
low autodoping, low stress and strain, adequate orientation, and increased
resistance to radiation damage. Semiconductor films have a prominent role not
only in industrial electronic applications, but also in the development of
semiconductor device physics allowing the observation, explanation, and
exploitation of some basic phenomena such as rectification, pjiotoconduction,
luminescence, and others.
Homoepitaxial semiconductor growth, as applied to semiconductor devi-
ces, is achieved mainly by chemical vapour deposition. The success of chemical
vapour-phase epitaxy may be attributed primarily to both its wide pro-
cess flexibility and commercial adaptability. The main reasons that other
epitaxial deposition methods (vacuum evaporation, ion beam deposition,
MBE, H W E , sputter deposition, ion plating, L P E , SPE) have not received
wider acceptance are the difficulty of preparing high quality layers and/or
their nonadaptability to commercial processing.
General aspects of the homoepitaxy have formed the subject of several
books and reviews. Reviews restricted to specific materials such as silicon,

374
SEMICONDUCTING THIN FILMS

I I I — V compounds, II—VI compounds, and IV—VI compounds have also


appeared. In this section, homoepitaxial semiconducting films will be discus-
sed according to the following classification: group IV semiconductors (Si,
Ge, SiC); group III—V compound semiconductors (GaAs, other binary com-
pounds, ternary compounds), group II—VI semiconductors; and group
IV—VI semiconductors.

12.2.2 Group IV Semiconductors

Group IV semiconductors prepared by CVD include germanium, silicon, and


silicon carbide. Germanium is the most tractable material of the elemental
semiconductors. Its properties, such as low melting point, high minority charge
carrier lifetime, and high charge carrier mobility, focused initial experiments
on this material. However, today germanium is only of historical importance,
being completely replaced by silicon.
Silicon shows two major advantages over germanium: a larger bandgap
(1.2 eV for Si as compared to 0.78 eV for Ge) and the excellent homogeneity
and stability of its thermally formed oxide affording many processing and
device advantages. Consequently, it has become the most valuable material in
the electronics industry today, having applications in a multitude of discrete
and integrated devices.
Silicon carbide offers certain advantages over silicon, i.e. a larger bandgap
(3.0 eV for 6H-SiC), and; a higher thermal stability and conductivity,
but unfortunately the poor crystalline perfection of this material and the
inferior quality of the grown oxide precludes its extensive use in microelectronic
technology. SiC is, at this moment, mainly of research importance, for a num-
ber of high-power, high-temperature and high-frequency electronic devices,
as well as optoelectronic devices such as light-emitting diodes.

12.2.2.1 Silicon

By far the most important development in homoepitaxy is the growth of


silicon on silicon. Such films of micron or submicron thickness are widely
used in silicon device technology, e.g. in microwave devices (epitaxial layer
thickness ^ 0,6 μΐη), in integrated circuits (one or two layers with a total
thickness of ^ 4 — 1 4 μιη), and in power devices (three to four differently
doped layers with a total thickness of ^ΊΟΟ μπι).
As a result of this extensive use in the electronics industry, silicon epi-
taxial growth has been surveyed in numerous review papers [2317—2341].
In this section, the basic processes used for the growth and some characteri-
zation aspects of silicon epitaxial films will be described. Some interesting
recent developments are also included.
Silicon epitaxial layers have also been grown using other processes, such
as evaporation, sputtering, MBE, and ion-beam epitaxy, which offer the possi-
bility of achieving films of the highest purity at the lowest epitaxial tempera-

375
TECHNIQUES

tures. However, because of the difficulty in controlling layer doping and low
throughput, these processes are not of practical importance.
The large-scale growth of device-quality epitaxial silicon is currently
carried out either by hydrogen reduction of SiCl4 [2344—23581 or SiHCl3
[2377-2379], or by the pyrolytic decomposition of SiH4 [2359-2365], SiH4—
HC1 [2366-2368] or SiH2Cl2 [2369-2376] (Fig. 12.1). The chlorosilanes SiCl4
or SiHCl3 offer the advantages of non-pyrophoricity, relatively low price, appli-
cability at higher temperatures (1150°C), at which higher growth rates can be
obtained, and the lack of the need for the water cooling of reactor walls.
Silane (SiH4) enables deposition at lower temperatures (800—1050°C) in the
absence of chlorine, which may be harmful in some cases. Dichlorosilane
(SiH2Cl2), which, unlike the higher chlorides, is gaseous, shows some additio-
nal advantages such as irreversible reaction, higher growth rates, a relatively
low growth temperature (1100°C), and excellent thickness control. Other reac-
tions investigated, for example the disproportionation of silicon di-iodide in
closed-tube or open-tube systems [253, 2342, 2343], the hydrogen reductions
of SiBr4 [2380, 2381], Sil 4 [253, 2382], or Si2Cl6 [340] and the decompositions
of organic silicon compounds (Si(CH3)4 [2383], CH3SiCl3, CH3SiHCl2>
(CH3)2SiCl2 [2384], present several practical limitations. In addition to NPCVD,
which is the preferred technique, LPCVD [2385—2390] has been used as a
means to overcome autodoping, and PECVD [2391—2397] has been emplo-
yed for lowering the process temperature (<800°C). Epitaxial silicon growth
has been performed in a great number of reactors [2254, 2398], which can
be divided into closed-tube, close-spaced open-tube, and open-tube reactors.
The first two types of systems, as well as the single-wafer vertical reactor, are
used only for fundamental evaluations, while open-tube reactors (horizontal
barrel, and pancake reactors) have found wide acceptance for commercial
applications.
Newer reactor designs, such as the rotary disc reactor (consisting of a
set of parallel closely-spaced susceptor discs that rotate on a common axis

Sify orSifyCU-*-

V0ppmB2H6inH^
lOOpprnPHjiAsHj)**-
inH2

Fig. 12.1 Gas handling system used for the growth of homoepitaxial silicon (as well
as heteroepitaxial or polycrystalline silicon) from silicon tetrachloride, silane on
dichlorosilane.

376
SEMICONDUCTING T H I N FILMS

(a) (b)
Fig. 12.2 Two nonconventional reactors used in silicon epitaxy:
a — the high capacity rotary disc reactor consisting of a susceptor structure containing a
number of parallel graphite disc (after Ban [2259]; reproduced by permission of North-Holland
Physics Publishing):
1 — gas inlet; 2 — gas distributor; 3 — susceptor disc with two wafers; 4 —rotating shaft;
5 — R F coil; 6 — gas exhaust;
b — the low-temperature PECVD reactor consisting of a vertical radiant-heated chamber
(after Donahue et. dl. [2398]); reproduced by permission of The American Institute of Physics):
1 — gas inlet; 2 — quartz t u b e ; 3 — stainless steel endplates; 4 — R F generator; 5 — cop-
per plate wrapped around the chamber; 6 — graphite susceptor; 7 — silicon wafer; 8 — stain-
less steel b o t t o m ; 9 — d.c. bias; 10 — radiant heater; 11 — IK pyrometer; 12 — turbomole-
cular pump.

in a horizontal tube (Fig. 12.2) and the automated epitaxial reactor are con-
cerned with improving the chemical and power efficiencies and with overall
process automation. There are also continuous reactors [2399].
To minimize the defects and pattern washout [2403—2405] in the epita-
xial layer, the substrate surface must be of adequate orientation [2400—2402],
free of irregularities and foreign contaminants. The practical procedure for
the removal of most of these defects is in situ vapour etching of the substrates
prior to epitaxial growth [2406] by using one of a number of gas-phase
etchants, such as HC1 (1000-1250°C) [2407], SF 6 (1050°C) [1396], H 2 S
(950°C) [2408], Cl2 (900-1100°C) [1404], H I - H 2 (1000°C) Γ2409, 2410], H a O
(1150°C) [2411], a n d H B r - H 2 (1000°C). Trace water impurities in the gas
flow must be removed, since they influence the boron doping [2412],
The development of silicon epitaxial technology made the fabrication
of many sophisticated devices possible. This field is in steady progress, novel
process technologies such as low-pressure epitaxy [2385—2390], plasma epi-
axy [2391—2397], low-temperature epitaxy [2413—2417], selective epitaxy
581, 2418—2436], and epitaxy over ion implanted regions [2437 —2439] being
under intense investigation.
Low-pressure and plasma epitaxy have been successfully employed to
minimize epitaxial silicon autodoping. They also permit lower epitaxial
temperature growths (800°C). For LP epitaxy, depositions are carried out in
reactors identical with those used for atmospheric-pressure depositions, but
having a rotary pump attached to the exhaust side. The reactants used are

377
TECHNIQUES

SiH4, SiH2Cl2, SiHClg or SiCl4, with B2H6 and AsH3 as dopant gases. For
plasma epitaxy, the vertical radiant-heated reactor is the most used and the
react ants are either SiH4 or SiH2Cl2 [2397].
Low-temperature epitaxy — the growth of defect-free layers with reaso-
nable growth rates at temperatures more than 100°C under those of the
standard process — is of considerable interest. Indeed, by using this technique
a range of beneficial effects can be obtained: the reduction of the redistribu-
tion of dopants from any diffused region in the substrate, the prevention of
the diffusion of impurities into the layer during growth, the minimization of
premature vapour-phase reactions, the reduction of epitaxial autodoping,
and the achievement of steep impurity profiles on heavily doped substrates.
Low-temperature silicon epitaxy can be achie\ ed by using various approaches:
(1) growth from mixtures such as S i H 4 - H e (800°C) [2413-2415] and
S i H 4 - N 2 (800°C) [2416];
(2) so-called dual-temperature growth technique (initial high-tempera-
ture growth at 1200°C and final low-temperature growth at 850°C);
(3) glow discharge decomposition of silane (850°C);
(4) low-pressure deposition from silane (850°C);
(5) low-pressure deposition in a closed-tube reactor using Si-I2 transport;
(6) using dichlorosilane instead of SiCl4 (1100°C);
(7) using organo-silicon compounds (tetramethylsilane, for example)
(950°C);
(8) UVCVD from Si2Cl6 (650-700°C) [340], SiCl4 [341], Si2H6 [358, 360],
SiH2Cl2 (750°C) [359], and SiH2F2 [360];
(9) LCVD from SiH4 [406].
Selective epitaxy refers to the growth of silicon in defined areas on a sub-
strate, for example growth into the windows of a dielectric mask, into mask-
defined depressions in the substrate, or into grooves in a bare substrate. Silicon
is grown epitaxially on oxidized silicon substrates in which the oxide has
been removed over selected areas by using both SiCl4 and SiH4 at tempera-
tures above 1200°C. Selective deposition has been achieved at lower temper a-
ures (~1100°C) using the SiCl4—H2 system where HC1 (or HBr) is added
to suppress nucleation of the silicon on mask. The second selective growth
method (selective silicon refill growth) has been best achieved by using the
SiH4—HC1—H2 react ant system [1401, 2426], while the third approach of
selective epitaxy (groove filling) is usually carried out by means of any one
of three chlorosilanes and appropriate amounts of HC1. Selective epitaxy
can also be obtained by means of LPCVD from the SiH2Cl2—HC1—H2 sys-
tem [2427-2436].
Epitaxial growth on arsenic or antimony implanted substrates is required
in the buried layer technology of fabricating bipolar integrated circuits. Suc-
cessful epitaxial growth is obtained after removing the implanted crystal
damage by means of high-temperature annealing and HC1 surface etching.
Epitaxial silicon growth over buried dielectrics such as Si0 2 or Si3N4 obtai-
ned by means of ion implantation is also required for obtaining'isolation of
MOS VLSI circuits.
Thermodynamic analysis and the mechanism and kinetics of epitaxial
silicon growth have been discussed in several papers. The practical objective
of deposition uniformity is obtained only by selecting conditions so that deposi-

378
SEMICONDUCTING T H I N FILMS

tion uniformity is obtained only by selecting conditions so that deposition


rates are governed by diffusion. A number of models have been proposed to
account for the dependence of growth rate on growth parameters in the diff u-
sional growth regime.
Characterisation of grown layers implies measurements in order to eva-
luate the thickness, structure, composition, and electrical properties. Layer
thickness is usually determined by one of the following methods: bevel and
stain of the interface; the grooving method; measurement of stacking fault
size by means of optical microscopy; measurement of the I R reflectance of
the layer on a substrate of different refractive index; infrared spectrometry
based on Fourier transforms.
Structural properties have a decisive role in establishing device charac-
teristics, yield, and reliability. Crystallographic perfection of silicon epitaxial
films is influenced by many factors, such as the quality of the substrate surface,
the cleanliness of the substrate, the thermal and crystallographic mismatch
between layer and substrate, and growth conditions (i.e. the type of susceptor,
the growth temperature, the growth rate, the dopant concentration, ambient
contamination during growth, temperature gradients, reactants, and the
diluent gas, etc.). Typical defects include: crystallographic slips and disloca-
tions ; stresses and strains; stacking faults; twins; tripyramids; facetting; surf a-
c e p i t s ; and surface irregularities such as pattern shift and washout. The most
prevalent defects are stacking faults and dislocations [2440—2467], which
are initiated at the film-substrate interface and are caused by surface and/or
substrate contaminants (carbon, oxygen, or metal impurities) [2468—2472]
and dislocations in the substrate. Defect formation can be avoided by using
various procedures such as cleaning and in-situ vapour etching of the sub-
strate surface, substrate gettering techniques, use of slightly off-oriented sub-
strates, etc. Defect-free nucleatioil of epitaxial film even on precisely oriented
substrates has been found possible.
The crystallographic quality of epitaxial silicon has been investigated by
means of advanced techniques such as X-ray diffractometry to determine
crystallite size and orientation, X-ray topography to show up defects, etching
plus optical microscopy to determine dislocation density and orientation, TEM
to detect imperfections, and electrical measurements to assess the crystal per-
fection indirectly. The epitaxial silicon surface has been characterized by
using visual inspection for observing pits or facets, interference contrast micro-
scopy for detecting surface steps, replica electron microscopy to study topo-
graphy, SEM for observing topography and orientation, L E E D for studying
surface structure, and ion backscattering to evaluate the relative position
of surface atoms.
The nucleation and early growth of silicon epitaxial film have also been
studied both theoretically and experimentally in a few papers.
Chemical analysis of layers gives indications of the presence of desired
or undesired foreign elements in the silicon lattice. Electrically active donor or
acceptor impurities are determined via electrical measurements, whereas elec-
trically inactive bulk impurities such as Au, Cu, Fe, O, and C are detected
using neutron activation analysis and vacuum fusion analysis (or I R absorp-
tion), respectively. Surface contamination can be measured by using a num-
ber of sensitive techniques, including AES, SIMS, and ESCA. The achieve-

379
TECHNIQUES

ment of appropriate electrical properties of epitaxial silicon layers, in view


of their use in the fabrication of device structures, requires the addition of
electrically active impurities to the growing film (intentional doping). There
are also undesired effects such as unintentional doping (impurity incorpor-
ation) and autodoping (doping caused by the transfer of substrate impuritiss
into the growing film) which must be avoided as much as possible.
Dopant incorporation (typical dopants are B, P and As while unusual
dopants are Ga [2473] and Sb [2474]) is commonly performed by adding gaseous
dopants, as, for instance, B2H6, PH3, AsH3, and SbH3, which are decomposed
at the deposition temperature, to the main gas stream. In the case of SiCl4,
liquid or solid dopants, for example, BBr3, BC13, PC13, PC15, AsCl3, SbCl3 are
added to the liquid source initially. The mechanism of dopant incorporation
[2475—2494] in silicon epitaxy has been discussed in several models, taking
into consideration various factors such as dopant type, growth temperature,,
growth rate, the presence of impurities, and substrate orientation.
Contamination of epitaxial silicon may arise from the following sources:
reactant gases, quartz reactors, susceptors (quartz, graphite, or quartz cover-
ed graphite), or dopant gases. These contamination sources can be partly
avoided by using ultrapure gases, cooled reactor walls, SiC-coated susceptors
and system cleaning. Autodoping is caused by contamination from heavily
doped substrates or substrates having diffused regions. The main causes of
autodoping [2495—2511] as shown by several proposed models are solid-state
dopant out-diffusion from the substrate, vapour phase contamination due to
dopant evaporation from the front or back of the substrate, impurity transport
by halide by-products in systems using halide (SiCl4) as the source, and sur-
face contamination from the surroundings (susceptors, reactor tubes). Several
techniques have been proposed to prevent autodoping: low temperature
growth by using silane and dichlorosilane f the high-low temperature cycle
epitaxial growth method; the low-high mole -fraction coating technique;
substrate sealing by coating its reverse side with a film of Si0 2 , Si3N4, or
polycrystalline silicon; susceptor sealing; interrupted growth by purging;
a lower growth rate; a different silicon source; the choice of substrate dopants
with a slow diffusion rate, such as Sb; low pressure growth. The electrical
assessment of silicon epitaxial layers has been carried out by using one of
several existing methods. Resistivity has been measured by using four-point
(for p on n and n on p layers) and three-point probes; carrier concentra-
tion profiles have been determined by means of the differential sheet con-
ductivity technique (the resistivity profile with a four-point probe using
a thinned sample by means of repeated etching), C-V measurements
(using reverse biased diffused or Schottky diodes at layer surf aces) and spread-
ing resistance (resistivity profile on bevelled specimens); carrier concen-
tration, mobility, and type can be estimated by means of the Hall effect;
minority carrier lifetime is obtained from MOS device measurements.
The rapid development of epitaxial layers having excellent uniformity,
purity and crystalline quality has resulted in their extensive use [2512—2524]
in almost all important technologies in the electronics field (Table 16.1),
for instance, the so-called planar-epitaxial technology, buried layer techno-
logy, and MOS technology. Electronic applications range from low-frequency
low-power devices (e.g. diodes, bipolar transistors, thyristors, field effect

380
SEMICONDUCTING T H I N FILMS

transistors, and bipolar and MOS integrated circuits) to high-power devices


(e.g. bipolar transistors) and further to high-frequency devices (e.g. IMPATT,
pin and variable capacitance diodes). In optoelectronics, epitaxial silicon
is applied to several types of photodiodes, phototransistors and solar cells.

12.2.2.2 Germanium

Homoepitaxial deposition of undoped or doped germanium layers [2525—2537]


is accomplished in a manner similar to that of silicon, except for the lower
temperature required. Successful processes for producing high-purity ger-
manium deposits include the following four:(1) hydrogen reduction of halides
(GeCl4 [2326-2328, 2346] or GeBr4 [2329]) at 850°C; (2) thermal or plasma
decomposition of germane (GeH4) at 500—900°C [2529, 2530]; (3) iodide
disproportionation (Gel4) in open-or closed-tube systems at 400°C [2531,
2532]; and (4) chemical transport with water vapour, hydrogen iodide [2535—
2537] or chlorine as transfer agents at 800°C in open-tube, closed-tube, or
close-spaced systems. Another process used, the pyrolysis of organometallics
such as Ge(CH3)4 or Ge(C2H5)4 at 700— 1000°C, results in carbon-contaminated
epitaxial layers [2533]. Selective epitaxial growth of germanium [2534] has
also been achieved by means of the pyrolysis of germane or by LCVD [406].
High-speed transistors have been fabricated with homoepitaxial ger-
manium layers [2534].

12.2.2.3 Silicon Carbide

Silicon carbide is a material of interest for various applications because


of its unusual mechanical, thermal, optical, semiconducting and chemical
properties. However, owing to the difficult control of its structural perfection,
morphology, stoichiometry and purity, this material has so far remained
only of research importance. SiC layers can also be grown by several non-CVD
techniques, for example reactive evaporation, sublimation, and RF sputtering,
but CVD has remained the major preparative technique [14, 2538—2576].
SiC single crystals may exist in numerous crystalline structures, three of
which have been more investigated than the others: the hexagonal structure
(α-SiC), the cubic structure (ß-SiC or 3C-SiC), and a mixed structure (6H-poly-
type SiC).
Homoepitaxial α-SiC layers have been grown on both of the opposite
{0001} crystal faces (p face and k face) of a-SiC crystals using several systems.
Reaction between silicon vapours (obtained from a silicon source heated
at~ 1800°C) and carbon vapours (supplied from a graphite tube held at~2500°C)
swept together by a flow of argon, at substrate temperatures in excess of
1900°C led to perfect homoepitaxial layers [2540]. Lower growth temperatures
(~1550-1600°C) have been employed in the SiH 4 -C 3 H 8 -H 2 [2538, 2539]
and SiHClg—C6H14—H2 [2540] systems. Depositions may also be achieved
by means of chemical vapour transport with hydrogen serving as transport
agent.

381
TECHNIQUES

Homoepitaxial deposits of ß-SiC form are of increasing interest owing


to their optical and electrical properties, which are superior to α-SiC. It
may be formed by using numerous procedures, either indirectly by engaging
the substrate in the reaction, or directly by means of reactions independent
of the substrate. Indirect deposition reactions can occur using a carbon sub-
strate at 1600—2000°C in the SiCl 4 —H 2 [14] system or a silicon substrate
at 1200—1350°C in the CH 4 —H 2 system [2549]. Examples of direct deposi-
tion reactions are the hydrogen reduction of SiCl4 in the presence of hydro-
carbon (C6H6 at 1700-2000°C [14], C 3 H 8 at 1250°C[2553—2556]); the reaction
of SiCl4 with CC14 in the presence of hydrogen at ~ 1 3 0 0 - 1 7 0 0 ° C [2551,
2574] and the pyrolysis of organometallics such as CH 3 SiCl 3 [2557], TMS
[2558] or (C2H5)2SiCl2 [14] at 1300-2400°C.
Other important APCVD processes use the SiH 4 —C 3 H 8 —H 2 [2559—
2561] and S i H 4 - C 2 H 4 - H 2 [2565, 2566] systems at 1300°C. LPCVD [ 2 5 6 9 -
2571],PECVD [2572,2573], UVCVD [347, 365], and LCVD [416] have also
been used.
SiC possessing the 6H-polytype structure is the most used in the pre-
paration of device structures. It has been grown by using the simultaneous
reduction of SiCl 4 and CC14 by hydrogen at above 1700°C [2574]; the SiCl4—
C 3 H 8 - H 2 system at 1500-1750°C [2575, 2576], and the S i C l 4 - C 6 H 1 4 - H 2
system at 1800—1850°C [2542—2544]. Nitrogen, arsine, phosphine or ammonia
are added to grow n-type layers, and diborane or trimethyl aluminium to
grow p-type layers.
SiC deposits have been obtained mainly by using horizontal cold-wall
RF-heated reactors. The most satisfactory susceptor which can be used
at the high temperatures involved in the process was found to be tantalum
coated with tantalum suicide or tantalum carbide. Prior to growth, the sub-
strates are usually etched with hydrogen at 1650—1700°C. Gaseous etching
of SiC may also be accomplished with HC1, Cl2, 0 2 , F 2 , and C1F3. Several
methods for measuring SiC film thickness have been described: I R absorption,
ellipsometry, cleavage followed by measurement using SEM, Tolansky mul-
tiple beam interferometry, nuclear backscattering, etc.
Layer characterization involves the determination of properties of the
following types: structural (crystallinity, polytype, grain size, and defects),
compositional (stoichiometry and purity), mechanical (adhesion, hardness,
and smoothness), thermal (thermal stability), optical (index of refraction,
n = 2.66, energy gap, Eg = 2.1 — 3.00 eV), I R spectra (peaking at 12.6 μιη),
electrical (conduction type, resistivity carrier concentration, and carrier mo-
bility), and chemical (oxidation resistance due to the formation of a pro-
tective Si0 2 layer on the surface, chemical stability against many reagents).
Homoepitaxial SiC layers have been used primarily to the preparation
of light-emission diodes with emission in the blue range of the spectrum
[2540, 2542-2544, 2560, 2575].

12.2.3 Group III—V Semiconductors


Although the group IV semiconductors, particularly silicon, are very useful
for fabrication of semiconductor devices, they have several weaknesses,
such as inapplicability to light emitters because of fixed and indirect band

382
SEMICONDUCTING THIN FILMS

gaps [EB(Ge) ~ 0,68 eV, E g =(Si) ~ 1.1 eV, Eg{SiC ~ 3.0 eV]; unsuitability
for transferred electron devices (Gunn oscillators) due to indirect energy band
structure; insufficient efficiency in solar energy converters because of small
energy gaps and absorption coefficients; low utility in heterojunctions; and
unrealizability as high speed MOS devices because of only moderate mobility
of the majority charge carriers [4823]. Compound semiconductors, in particular
III—V compounds, exhibiting a broad range of electrical and optical proper-
ties have been found to be very useful in solid state technology, replacing
silicon in several specific applications, such as light emitting diodes and
lasers (especially materials having direct and variable band gaps); Gunn
diodes (some binary and ternary compounds such as GaAs, InP, and GaAs^P*
having a special band structure permitting Gunn oscillations); high-efficiency
solar cells (nearly all materials, with a few exceptions such as InAs and InSb,
which have band gaps and absorption coefficients higher than that of silicon);
hetero junctions (all III—V compounds); and high speed, high temperature
FETs and ICs, also operating in the microwave range (mainly GaAs because
of its high carrier mobility and wide band gap). Besides CVD, two methods,
namely liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE),
have emerged as being the most useful for growing single crystal layers
of III—V compound semiconductors. There are other applicable methods
such as vacuum evaporation, sputtering hot-wall epitaxy, etc. Although
LPE and MBE show certain advantages useful in certain applications, such
as low cost, the possibility of achieving unique dopings, and the capability
of growing extremely thin multilayers, respectively, only CVD offers the
flexibility required to prepare almost all compounds having a variety of
properties and geometries. CVD includes closed-tube and close-spaced chemi-
cal transport, and the open-tube flow method. The latter method, which
is used extensively for the large scale preparation of III—V compounds,
can in turn be subdivided into the following three techniques: (1) the halide
(chloride) technique, in which trichlorides of group V elements react with
suitable group III metals or III—V compounds; (2) the hydride technique
in which hydrides of group V elements react with monochlorides of group
III elements resulting from HC1 interaction with the appropriate metal;
and (3) the organometallic technique in which trimethyl or triethyl compounds
of group III elements react with the hydrides of group V elements.
The III—V compounds [2577—2595] include binary compounds such
as the nitrides, phosphides, arsenides, and antimonides of group IIIA ele-
ments; ternary compounds such as arsenide-phosphides, arsenide-anti-
monides, and double phosphides, arsenides, or antimonides of group IIIA
elements; and quaternary compounds, such as gallium-indium arsenide-phos-
phide, etc.
The compound most completely studied has been GaAs. Other relating
binary compounds, such as GaP, InP, etc., which are prepared similarly
have been investigated in numerous papers. Among the solid solutions of
compound semiconductors, only AlsGa^As, GaAs^P^ and Ga^In^As
have received much attention to date.

383
TECHNIQUES

As shown by Ludowise [2595], the III—V semiconductors can also be


divided, according to the differences in the growth process, into several
classes:
(a) the arsenides (GaAs, Al^Ga^ As, AlAs), which are the most com-
pletely studied materials, whose electrical and optical behaviour is common
to all III—V compounds;
(b) the phosphides (GaP, GaAs^Py, AIP, Al^Ga^P, AlAsyP^y,
Alj^GaJP^As^), which require special growth conditions owing to the
high P vapour pressure over the growing film and the higher energy needed
for decomposing the precursor PH 3 ;
(c) the In-containing III—V compounds(InP, Ga^In^As, Gaj^In^PyAs^y,
Ga^In^P, AlyGa^^In^As, AlyGa^^In^P, AL^Jn^P, InP^As^), whose
growth is complicated by room-temperature reactions between the In alkyls
(TMIn and TEIn) and the group V hydrides. For reducing the effect of
this parasitic reaction, various alternative techniques have been used: sepa-
rated introduction of the reactants in the growth chamber; low-pressure
growth; substitution of a group V trialkyl, TMAs for AsH 3 ; the use of pre-
synthesized adducts (e.g. TMIn: TMP, TMIn: TEP); the use of adducts
formed in situ] the use of a 1: 1 mixture of H 2 and N 2 (instead of pure H2)
at atmospheric pressure for lowering the thermal conductivity of the carrier
gas; precracking of PH3, which minimizes the Teln—PH 3 reaction; and
(d) the Sb-containing III—V alloys (AlSb, GaSb, InSb, GaAs^Sbj,,
Ga^In^Sb, InAs^Sb^, Al^Ga^Sb, A^Ga^As^S^ _y, I n A s ^ - ^ S b y , and
Ga^InaAsj-^Sb^), whose growth mechanisms are more complex and dif-
ferent from other related systems and can be explained by means of an equi-
librium thermodynamic model.

12.2.3.1 Gallium Arsenide

Epitaxial growth of gallium arsenide — the most important III—V com-


pound — has been the topic of a large number of investigations during the
last twenty-five years [2596—2792]. These investigations have resulted
in the development of gallium arsenide suitable for device applica-
tions in the fields of microelectronics, microwaves, and optoelectronics.
Many aspects of the vapour growth epitaxy of GaAs have already been
summarized in several reviews and books [2577—2597]. The present section is
intended to survey the main aspects of the preparation and characterization
of GaAs and to update this epitaxial technology.
Besides CVD, the LPE and MBE methods have been successfully applied
in obtaining high quality layers. However, these two methods have several
disadvantages such as poorer control of layer thickness, composition, and
doping, as well as limited crystal-size capability as a result of low growth
rate. Consequently the CVD method, which appears to be advantageous for
the large-scale preparation of layers having specific doping levels and profiles,
has gained the widest acceptance.
CVD methods can be divided into two groups: (1) transport methods,
and (2) synthesis methods.

384
SEMICONDUCTING T H I N FILMS

Furnaces

2 0 L f O ^ JF1^^ (Cl)

Ä
3 Γ · β » · 0 T~6jO'C

GaAs

ffF Co/7 Go As

0 0 0

Fig. 12.3 Schematic representation of the main three CVD systems


for deposition of GaAs:
a — halide system; b — hydride system; and c — organometallic
system.

Transport methods (i.e. open-tube, close-spaced and sealed-tube trans-


port) are generally limited to laboratory use. They use either a single source
of gallium arsenide or separate sources of gallium- and arsenic-containing
vapours. Examples of these methods have been given in Section 3 on chemi-
cal reactions in CVD.
Methods of obtaining gallium arsenide growth using open-tube systems
are in current use and applied on a large scale (Fig. 12.3). These methods
can be divided into three categories, i.e. the halide ]2598—2100], the hydride
[2701—2718], and the organometallic [2719—2792] methods. As with silicon,
advanced growth technologies, such as LPCVD, LTCVD, PECVD, LCVD,
and selective epitaxy, are available in the case of gallium arsenide.
The three APCVD techniques use AsCl3—Ga—H2, HC1—Ga—AsH3—H2,
and Ga(CH3)3—AsH3—H2 CVD processes, respectively. The first two processes
are both based on inorganic chlorine transport of the gallium metallic source
as GaCl and require a hot-wall reactor. The last process uses a Ga component
in the form of a metallo-organic compound, whereas As is supplied as a
hydride or alkyl compound and needs a cold-wall reactor.

385
TECHNIQUES

The halide AsCl 3 —Ga—H 2 system is the most commonly used for growing
epitaxial GaAs for a variety of applications, including high speed integrated
circuits. It offers the following important advantages: easy handling, high
purity, controlled doping, precise thicknesses, mirror-smooth surfaces, sui-
table growth rates, the capability of growing epitaxial layers of low doping
level ( N d — N a < 1015/cm3) and high mobility ( ~ 8800 cm 2 /V-s). However,
there are some drawbacks, such as the use of a reactor with two temperature
zones, the impossibility of independently varying the gallium and arsenic
concentrations in the vapour phase, and halide attack of the substrate and
reactor surfaces.
The deposition reaction occurs at 750°C between GaCl (resulting from the
transport of Ga heated at above 800°C with obtained HC1 in situ and As 4
(formed by means of hydrogen reduction of AsCl3) in the presence of hydrogen:
4GaCl(g) + As(g) + 2H 2 0(g) +=± 4GaAs(s) + 4HCl(g) (12.1)
The equipment is usually a furnace-heated horizontal reactor, but could
also be an RF-induction heated horizontal or barrel reactor surrounded b y
graphite cylinders. It is equipped with provisions for in-situ etching of the
substrates with AsCl 3 —H 2 mixtures and for intentionally doping the growing
layers by using a suitable dopant. The dopant can be a gas (i.e. H 2 S), or a
solid added to the Ga (i.e. Sn) for n-type doping and a vaporized solid source
(i.e. Zn) for p-type doping. Semi-insulating (p = 108 Ω cm) layers can also
be grown using chromyl chloride.
The hydride (HC1—Ga—AsH 3 —H 2 ) system is widely employed in reac-
tors for the commercial growth of GaAs. It uses to advantage separate control
of each component, a single-temperature zone reactor, and a gas (AsH3)
instead of a volatile liquid (AsCl3). Although it is also capable of growing
layers of low doping levels and high mobility, the crystal quality and doping
need further improvements. The deposition reaction is similar to that men-
tioned previously; the reactors may be of three main types, namely the fur-
nace-heated horizontal reactor, the furnace-heated vertical reactor, and the
RF-heated vertical reactor.
The metallo-organic [Ga(CH 3 ) 3 —AsH 3 —H 2 ] system has many important
advantages over the halide and hydride systems: all starting sources are
vapours; a cold wall reactor is used (since the reaction is endothermic); a
single temperature zone reactor; low substrate temperature; elimination
of autodoping and impurity incorporation from the reactor walls (since the
system is chlorine free and the walls are cold); the reaction is far from equili-
brium. Disadvantages derive from the use of organomet allies that are volatile
liquids needing accurate temperature control for their introduction into the
reactor and may be relatively impure, pyrophoric, or toxic compounds.
The overall reaction is:
(CH 3 ) 3 Ga + AsH 3 > GaAs + 3CH 4 (12.2)
Other organic compounds of gallium (e.g. triethylgallium or dimethyl-
gallium chloride) or arsenic (e.g. triethylarsine or trimethylarsine) have
been tried in order to obtain higher purity layers.

386
SEMICONDUCTING T H I N FILMS

In addition to the conventional processes mentioned above, new pro-


cesses for growing GaAs epilayers have been described in recent literature,
such as LPCVD [2765-2774], PECVD [2775-2777], and selective growth
[2778-2792].
GaAs deposition under reduced pressure has been achieved using only
LPOMCVD with the AsH3—(CH3)3Ga—H2 system and GeH4 and SiH4 as
dopant gases. The standard halide and hydride processes do not lend them-
selves to this process technology. At low pressure the autodoping phenomenon
of the layer caused by the impurities of the substrate is eliminated even in the
case of substrate heavily doped with tellurium. Furthermore, impurity
profiles between the substrate and the deposition, as well as between adjacent
layers, are more abrupt than those obtained at atmospheric pressure, and
the homogeneous chemical reactions in the system are much reduced.
GaAs deposition at low temperature (typically 650°C) has been achieved
by using both halide and organometallic methods.
Selective growth can be achievedwith the help of the halide (or hydride)
method on GaAs masked with a Si0 2 layer, while the organometallic method
results in total overgrowth. The presence of HC1 as etchant in the former
case prevents nucleation on the mask material.
The deposition of homoepitaxial GaAs in an RF-glow discharge and
under laser irradiation of the substrate has been achieved using the Ga(CH3)3—
AsH3 system.
The thermodynamics and kinetics of GaAs deposition systems have been
thoroughly investigated.
The Ga—As—Cl—H system has been evaluated thermodynamically by
means of both the nonlinear equation method and the free-energy minimiza-
tion method. In the halogen transport systems, there are two distinct processes,
namely the source and the growth reaction. The occurrence of both kinetic
and diffusional regimes has been established. Operation in the kinetic regime,
which is typical for most reactors, results in the dependence of the growth
rate and impurity incorporation on the temperature of the substrate and
the crystallographic orientation. A value of activation energy of about
49 Kcal/mole has been obtained in the 725—800°C temperature range. Accurate
kinetic data can be obtained by adapting the continuous rate monitoring
equipment to GaAs deposition as well as by using direct in-situ ellipsometric
observations. The interpretation of the surface kinetic limitation has been
made in terms of rate processes.
For the OM system, growth can be described by means of a diffusion
model. In this case, the growth rate is almost independent of the deposition
temperature (in the range 600—800°C), crystalline orientation, and arsine
mole fraction, and varies linearly with the TMG mole fraction.
Characterization of GaAs homoepitaxial layers consists mainly of the
determination of the thickness, defect content, purity, and electrical properties.
The thickness of homoepitaxial GaAs layers can usually be determined
by means of the following three methods:
(1) profilometer measurement of a step in the grown layer, which is
usually obtained by partly covering the substrate with an Si0 2 film and
etching off this film after the growth;

387
TECHNIQUES

(2) (scanning electron) microscope measurement of a cleaved plane


stained in an HF :H 2 0 2 : H 2 0 (1:1:10) solution;
(3) C-V measurement using Schottky barriers formed on the grown layers.
The study of layer morphology identifies the existence of various microsco-
pically visible defects such as hillocks, spikes, pyramides, terraces, dislocations,
and twins. Defect-free layers, suitable for device fabrication, are only obtained
by optimizing parameters such as substrate quality, substrate preparation,
in-situ etching, and adequate substrate misorientation [a few degrees off (100)].
Chemical purity of the layers, which is of particular importance in esta-
blishing the electrical properties, has been determined by using methods
such as SIMS and mass spectrographic analysis. The results show that the
best purity layers can be obtained by using the halide and the metallo-
organic methods at low pressure.
The electrical properties of technological importance are the majority
carrier concentration and mobility, which are determined by means of capa-
citance-voltage measurements carried out on Schottky barriers (or p-n
junctions) and the method of van der Pauw. The carrier profile is measured
by using either the C-V method or an electrochemical profiler.
The electrical properties of homoepitaxial GaAs are strongly affected
by many impurities. The depositions accomplished via the chloride transport
processes which require hot-walled reactors are silicon contaminated. Ele-
mental Si is formed by means of the hydrogen reduction of chlorosilanes, the
latter being obtained from the following reaction occurring between HC1 or
another chloride (AsCl3) etc., and the quartzware of the reactor:

Si0 2 + (4-*)HCl + *H 2 > SiCl 4 _Ä + 2H 2 0 (12.3)

Intentional doping is usually performed by introducing the dopant ele-


ments in the vapour phase. For n-type doping, sulphur is the preferred dopant,
using diluted H 2 S, elemental S, or SCI; other n-type dopants are Se, H2Se, Te,
Te(C2H5)2, Ge, GeH4, SiH4, SiCl4,Sn and Si2H6. For p-type doping, elemental
zinc heated at 300—400°C or added to the gallium melt is currently used in
halogen transport systems, while in MOCVD layers are doped with the group II
elements Zn and Cd from Zn(C2H5)2, Zn(CH3)2, and Cd(C2H5)2. For obtain-
ing high resistivity layers, compensating acceptor impurities such as Cr
from volatile Cr02Cl2 or Cr(CO)6 or Fe transported by HC1 at 370—550°C
must be introduced.
Substrate autodoping has been reported for silicon, selenium, and tellu-
rium doped GaAs substrates. When low doped n-type layers were deposited
on heavily doped (n+) silicon substrates, a high resistance region (known as
the "i-layer") is formed in GaAs at the epitaxial/substrate interface. This
interface dip is caused by silicon autodoping. The i-layer can be avoided by
growing a heavily doped buffer layer at the beginning of the epitaxial growth.
Homoepitaxial GaAs layers have numerous device applications (Table 16.1)
especially in the fields of microwaves and microelectronics (Gunn diodes, tunnel
diodes [260], field effect transistors, GaAs avalanche transit time (IMPATT)

388
SEMICONDUCTING THIN FILMS

diodes, GaAs Schottky mixer diodes, varactor diodes, and GaAs integrated
circuits), and in optoelectronics (infrared light emitting diodes, lasers, solar
cells, photocathodes, photoconductors, and photodiodes).

12.2.3.2 Other Binary III—V Semiconductors

In addition to GaAs, other binary III—V compound semiconductors such


as the boron class (BN, B P , and BAs), aluminium class (A1N, AIP, AlAs,
and AlSb), gallium class (GaN, GaP, and GaSb), and indium class (InP
InAs, and InSb) have been intensively investigated in the last years. Clearly,
true homoepitaxial deposits can only be obtained for layers having the same
composition as the III—V semiconductor substrates available, i.e. GaP,
GaSb, I n P , InAs, and InSb. However, growth on substrates of similar chemi-
cal and structural properties (pseudo-heteroepitaxial growth) is possible for
all the compounds listed above and therefore these will also be discussed
in this section.
Many of the results obtained with GaAs are generally applicable to
other binary materials, and can provide a guideline for their preparation,
characterization and application. For this reason, the III—V compounds
will be discussed in less detail.
Preparation of epitaxial binary and ternary III—V semiconductors
is mainly performed by using the chemical transport and organometallic
processes. Typical growth apparati are shown in Figs. 12.4 and 12.5.
A. The boron class of III—V compounds has attracted great interest
owing to its refractory properties, large band gaps [£ g(BN) = 10 eV,
£ g ( B P ) =2 eV, Eg (BAs) = 1.45 eV], and potential applications to the fabrica-
tion of high-temperature devices.

HfHCl
Fig. 12.4 Schematic representation of the equipment for growth of I I I — V
semiconductor compounds and alloys using the hydride method (after Tietjen
et al. [2701]; reproduced with permission from RCA Review) [The same equip-
ment, but using appropriate sources (e.g. Cd, Zn, H 2S , H 2S e , dopants), can
be used for the growth of I I —VI semiconductor compounds by means of the
reaction of metal vapours with group VI hydrides]:
1 — Ga source zone; 2—In (or Al) source zone; 3 — solid dopant zone; 4 — mixing
zone; 5 — deposition zone; 6 — quartz reactor; 7 — substrates; 8 — exhaust.

389
TECHNIQUES

»Reactor

Ho

10%PH3 IMAsHz 'mSb^u "$%


inH2 inH2 'π/ή? * *

o o o o o/,
X
60S
inlet o/o o o o I , 1 - ^ ' oI I I
72 \°}-+Vent

( • Q " ^ ^ |

* ►

EK
B
Fig. 12.5 Schematic representation of the apparatus used for
the growth of I I I —V semiconductor compounds by means of
APMOCVD or LPMOCVD.
The reaction chambers are inductively and radiantly-heated cham-
bers and have a horizontal or vertical configuration
Various group I I dopants (DEZn, Cp 2 Mg, DECd, etc.), group IV
dopants (SiH 4 , GeH 4 , TMSn, etc.) and group VI dopants (H 2 Se,
H 2 S, DMTe, etc.) can be used. Also, the gaseous inorganic sources
a n d dopants are often replaced by liquid organometallics such as
T M P or T E P , TMAs or TEAs, TMSb or T E S b , TMSi TMGa '
DMTe or DETe.
The same equipment, but using appropriate sources (e.g. DMZn
DMCd, DMHg or Hg, H 2 S, H 2 Se, DMTe, dopants), is usually
used for the growth of I I - V I semiconductor compounds by means
of MOCVD.
A - t h e gas handling system (after Ludowise [2595]): 1 - H
carrier or dilution gas; 2 - liquid and solid source alkyls · 3 -
temperature-controlled b a t h ; 4 - gaseous inorganic sources' 5 -
rotameters (or electronic mass flow controllers); 6 - valves ;
7 — stainless steel tubing;
B - the reactor chamber, heating system and exhaust or low-
pressure pumping system: 8 - horizontal chamber; 9 - vertical
chamber; 10 - R F coil; 11 - infrared l a m p s ; 12 - graphite
susceptor; 13 - wafers; 14 - vacuum pump.

390
SEMICONDUCTING TH^N FILMS

Boron nitride is a high resistivity semiconductor with a very wide band


gap [2793—2813]. Its possible applications are as diffusion sources [2810],
protective coatings [2812], sodium barriers, and high-temperatuie dielectrics
[2811] in silicon integrated circuits. BN films can be prepared by reacting
diborane and ammonia at normal preasure and at temperatures of 600—
1250°C [2793—2795]; by reacting the same reactants in a plasma with sub-
strate temperatures of 750— 1000°C [2796—2799] or at reduced pressure at
250—600°C [2804]; by reacting boron trichloride and ammonia at 600—900°C
[2800—2803] or at reduced pressure at 700—800°C;by reacting boron trifluo-
ride and ammonia [2805]; by using the thermal decomposition of trichloro-
borazole [2807] or borazine [2806] at 300—650°C; and by employing the
decaborane—NH3 [2808] and boranetriethylamine — NH3 [2809] systems.
Boron phosphide is an interesting semiconductor for potential optoelec-
tronic applications in visible light [2814—2829]. Epitaxial BP layers
have been prepared by the thermal reduction of a boron tribromide—phospho-
rus trichloride mixture with hydrogen at 1050°C [2814, 2815]; by the thermal
decomposition of a diborane — phosphine mixture in hydrogen at 950°C
[2816—2829]; by the synthesis reaction between boron trichloride and phos-
phine at 1000°C [51]; and by using vapour transport of bulk BP with iodine
in a sealed tube system at 900—1100°C [51].
Boron arsenide films have been prepared by using reactions in the
BCl 3 -AsH 3 -H 6 and B 2 H 6 -AsH 3 —H 2 [2830-2831] systems at 800—850°C
as well as iodine transport with BAs source temperatures of about 740°C and
substrate temperatures of about 680°C [3617].
B. The aluminium class of III—V compounds contains indirect wide
band gap materials [Eg (A1N) = 6.9 eV, Eg (AIP) = 2.5 eV, Eg (AlAs) = 2.1 eV,
and E (AlSb) = 1.7 eV], having high melting temperatures (I.e. Tm (A1N) =
2400°C, Tm (AIP) = 2550°C, Tm(AlAs) = 1740°C, and" Tm (AlSb) =
1050°C] which are potentially useful for acoustic and electrooptic devices
(A1N), and for solar cells (AIP, AlAs, AlSb).
Aluminium nitride shows several properties that are very different
from those of the remaining members of the Al—V compound family, such
as hexagonal structure, a much higher band gap, greater chemical stability,
piezoelectric properties, and electrical insulating properties [2832—2844].
In most studies, the deposition process involved reaction between the
aluminium chloride and ammonia at 1000°C [2832—2839], aluminium bro-
mide and ammonia [2841], or trimethyl aluminium and ammonia at 70.0°C
[2840]. A chemical transport reaction assisted by a glow discharge in the
A1C13—N2—H2 system has also been described [2842, 2843].
Epitaxial A1N films are potential useful for surface acoustic wave
devices, as capping layers for the ion implantation of GaAs and as an insu-
lating layer in silicon devices.
Aluminium phosphide epitaxial layers [2845] can be deposited by using
the AI—HC1—PH3—H2 system in an alumina tube at 1200°C, the Al—I2—
P 2 - H 2 system at 900°C, and the Al ( C H 3 ) 3 - P H 3 - H 2 system at 700°C.
Undoped AIP layers are of n-type and may be useful in some optoelectronic
applications.
Aluminium arsenide films [2846—2850] are usually prepared on GaAs
substrates by using either the AI—HC1—AsH3—H2 system at 1000°C in an

391
TECHNIQUES

all-alumina reactor [2846—2850], or the A1(CH3)3—AsH3—H2 system at


700°C [3499]. .Similar to AIP, the as-grown films are n-type and unstable
in the moist ambient. These films offer the potential of obtaining high
performance solar cells.
Aluminium antimonide is a candidate material for photovoltaic solar
energy conversion [2851—2853]. Its epitaxial growth on GaAs has recently
been achieved by means of an MOCVD method using the A1(CH3)3 [TIBA] —
Sb(CH 3 ) 3 -H 2 system at 700-800°C [2853]. Vapour-phase growth of AlSb
is also possible on GaAs or GaSb substrates from the AI—HC1—Sb4—H2
system at 650°C [2851].
C. The family of Ga—V compounds encompasses two indirect' band gap
compounds (GaP and GaAs) and two direct band gap compounds (GaN and
GaSb), having Eg = 2.4, 1.53, 3.5, and 0.73 eV, respectively. These compounds
are interesting primarily in optical devices.
Gallium nitride [2854—2873] is a refractory large band gap material
useful in the fabrication of MIS electroluminescent diodes emitting violet,
blue, green and yellow light [2869—2873]. In addition, the piezoelectric
properties and high acoustic velocities in this material make it attractive for
acoustic devices. The most common reaction used for the epitaxial growth of
gallium nitride on sapphire is the ammonolysis of gallium mbnochloride at
850°C [2854—2862]. Other reactions used include the ammonolysis of tri-
methylgallium at 950°C [3477, 3478], and the thermal decomposition of
GaBr 3 -NH 3 [2863-2866] or Ga(C2H5)3 · NH 3 [2867] complexes. PECVD has
also been used [2868].
Undoped crystals are n-type, of high carrier concentrations in the 1017—1020
cm range, and of resistivity in the 10-2—10~3 Ω cm range, presumably
-3

caused by the presence of native defects such as nitrogen vacancies. By doping


with Zn or Mg, the crystals can be compensated giving a semi-insulating
material.
Gallium phosphide layers [2874—2894] can be obtained homoepitaxially
on available high quality GaP substrates. GaP is a widely used material for
electroluminescent applications [2894]. The background doping level is
n-type, in the order of 1015—1016 cm-3. The incorporation of dopants into
GaP can be achieved during the growth process: for n-type doping, H2Se or
Te(C3H3)2 is used, for p-type doping, Zn(C2H5)2, and for nitrogen doping, NH3.
The introduction of N as an isoelectronic impurity allows efficient recombina-
tion in the indirect-band gap semiconductor GaP resulting in a green light
emission. GaP films have been synthesized using HC1—Ga—PH3—H2
[2874—2881, 2888] or Ga—PC1 3 -H 2 [2882-2885] transport processes at
800-850°C, Ga(C 2 H 5 ) 3 -P(C 2 H 5 ) 3 -H 2 , or better Ga(C 2 H 5 ) 3 -PH 3 -H 2 me-
tallo-organic processes at 500-750°C [2889-2892, 3504, 3557], CTCVT
(the G a P - H 2 0 system) [241, 2886] and OTCVT (the G a P - C l 2 - H 2 system)
[2887].
Gallium antimonide is a III—V compound semiconductor useful in the
optoelectronic field [2895—2900]. It has p-type conductivity when crystals
are grown without any intentional doping. GaSb can be grown homoepitaxially
on .GaSb substrates by using either the Ga—HC1—SbH3—H2 system at
<700°C [2895] of chemical transport of Te-doped polycrystalline GaSb with

392
SEMICONDUCTING T H I N FILMS

iodine in a closed-tube system at a substrate temperature of 550°C [2896,


2897, 2900]. MOCVD has been achieved on InAs substrate using the TMGa—
T M S b - H 2 system at 550-600°C [2898, 2899].
D. The III—V indium compounds are direct band gap semiconductor
materials, i.e. I n P , InAs, and InSb showing energy gaps of 1.41, 0.43 and
0.23 eV, respectively. In addition to optoelectronic applications which are
typical for all these compounds, I n P is also potential useful in the microwave
field. However, to date, there are few reports concerning epitaxial growth
of InSb by means of CVD methods — probably due to the relatively low
melting point of this compound (530°C).
Indium phosphide epitaxial layers [2901—2951] have shown considerable
promise for use in semiconductor lasers, photodetectors, solar cells, Gunn
diodes, and MESFETs.
Conventional growth systems for I n P epitaxy include the following
systems: P C l 3 - I n - H 2 (650°C) [2901-2905], P C l 3 - I n P - H 2 (650°C) [2906],
H C l - I n - P H 3 - H 2 (675°C) [2907-2910]; T e l n - P H 3 - H 2 (500-750°C)
[2911-2925]; T M I n - P H 3 - H 2 ( 5 5 0 - 7 0 0 X ) [2926-2940]; TMIn: T M P - H 2
(650°C) [2934, 2939]; and TMIn: T E P - H 2 (650°C) [2933, 2936].
New advanced methods, such as LPMOCVD starting from the T E I n — P H 3
system at 500—650°C [2941—2951] and excimer laser-induced deposition from
(CH 3 ) 3 InP(CH 3 ) 3 vapours at 400°C [385] have recently been developed.
Layers having etch low pit densities can be deposited on either n-type (sul-
phur, germanium, or tin-doped), or semi-insulating (iron-doped) substiates
which have been previously etched in situ with HC1 or PC1 3 —H 2 mixtures.
Chloride and hydride V P E I n P has an n-type residual doping (10 13 —10 15 cm - 3 ).
The layers can be doped n-type (using H 2 S or Te) or p-type (using Zn) and
have μ (300Κ) = 4600 cm 2 V" 1 s"1. MOCVD-InP is usually doped with
DEZn, DMZn, DECd, DMCd, Cp2Mg (p-type dopants) or H 2 Se, H 2 S, and
SiH 4 (n-type dopants).
Indium arsenide films [2952—2957] are attractive for laser diode fabrica-
tion. Homoepitaxial layers of InAs have been grown in a hydrogen stream
on InAs substrates by using the reaction of AsCl 3 with indium or polycrys-
talline InAs (held at 800°C) at 650-800°C [ 2 9 5 2 - 2 9 5 5 ] ; the reaction of
indium monochloride (formed from the In—HC1 system) with arsine at
700°C [2701]; and the reaction of trimethyl indium or triethyl indium with
arsine at 550-675°C [2956, 2957].
Indium antimonide films [2958—2960] of high quality have been grown
on InSb substrates by means of MOCVD using T E I n and TMSb in a vertical
atmospheric pressure reactor at 400—460°C [2959, 2960]. The best layers
have a background carrier level n <^ 2—8 X 1016 cm - 3 and a room-temperature
mobility of 40,000 cm 2 V" 1 s~K

12.2.3.3 Ternary and Quaternary III—V Semiconductors

Owing to the unavailability of appropriate substrate, true homoepitaxy


of III—V compound semiconductor alloys is not possible. These materials
are commonly prepared by pseudoheteroepitaxial growth, i.e. on semiconduc-

393
TECHNIQUES

tor substrates having similar chemical and structural properties (for example
Al^Ga-^As/GaAs, Ga^In^P^As^/InP etc.).
CVD is readily applicable to the growth of several ternary alloys consisting
of combinations of GaAs, GaP, GaSb, InP, InAs, InSb, AIP, AlAs, and AlSb ;
such as GaAs-based alloys (Al^Ga^As, GaAs^P^, Ga^In^As, GaAs-^Sbj,),
InP-based alloys (Ga^In^P, ΑΙ^Ιη^Ρ, InAs^P^), GaSb-based alloys
(ALGa^Sb, Ga^In^Sb), GaP-based alloys (ALGa^P), AlP-based alloys
(AlAs^P^), and InAs-based alloys (InAs^Sb^), as well as quaternary
alloys (Ga^In^P^As^, Al^Ga^^In^P, AlsGa^P^As^, Al^Ga^-yln^As,
InAs-^-sPgSbj/, and Ga-^In^As-^Sb^).
Among these materials, GaAs^Py and Al^Ga^As are the most inten-
sively studied, because of their commercial application to the fabrication of
LEDs and lasers, respectively. Schematic diagrams of typical equipment
used for their preparation are depicted in Figs. 12.6 and 12.5.
Utilization of these compound semiconductor ternary and quaternary
solid solutions is important to improve device performance. Thus, by selecting
a certain alloy composition it is possible to choose desired lattice constant and
band gap values which results in minimization of the strain in multilayer
structures and new opto-electric properties, respectively.

■ AsH3+PHo 'fa* Dopants

•"2

Zone 1

IZone /
0
Zone 2
o
° Zone 3

Zone 4
U77M
+ GAS OUTLET
r ^ ' ^ U ^ i i — ► Exhaust -H2
AsHj^Hj^Se.NH^HCI

(a)
Fig. 12.6 Two commercial types of GaAs-^Pa; growth reactors based on the hydride process:
a — resistance-heated reactor (after Burd [3046]; reprinted with permission from TRANSAC-
T I O N S O F T H E METALLURGICAL SOCIETY, Vol. 245, p p . 571, 1969, a publication of The
Metallurgical Society, Warrendale, Pennsylvania)
b — RF-heated reactor (after Rosier and Benzing [2258]; reprinted b y permission of Applied
Materials, Inc.).

394
SEMICONDUCTING T H I N FILMS

Epitaxial aluminium-gallium arsenide (Al^Ga^As) layers [2961 — 3045]


of high quality, which have previously only been possible by means of L P E
and MBE methods, are obtained at present using MOCVD based on the
T M A - T M G - A s H 3 - H 2 system at 700°C [2965-2991]. As for GaAs grown
by means of MOCV-D, the p-type dopants are Zn, Mg, Be, and Cd, while
the n-type dopants are both the group VI donors (S, Se, Te) and the group
IV dopants (Si, Ge, and Sn). The corresponding dopant sources are DMZn
(DEZn), Cp2Mg, DMBe (DEBe), DMCd, H 2 S, H 2 Se (DESe), DMTe (DETe),
SiH 4 , GeH 4 , and TMSn (TESn).
LPMOCVD using the same reactants has also been perfected for the
growth of this material [2992—2996]. The early hydride method, i.e. the
Ga—AI—HC1—AsH 3 —H 2 system, has not been found useful in device
applications because of the highly reactive behaviour of aluminium chlorides
which attack the quartz reactor, thus resulting in layer contamination.
Alj-^Ga^As growth takes place according to the reaction:
(1 - x) (CH3)3 Ga + (CH3)3 Al + AsH 3 > G a w A l , As + 3CH 4 (12.4)
This material is primarily employed for the fabrication of conventional
Al^Ga^As—GaAs—Al-^Ga^As double heterostructure lasers [2997 —
3026], Alj^Ga^As—GaAs—Ali-^Ga^As quantum-well heterostructure (200Ä
GaAs active layers) lasers, LEDs and HEMTs [5227—5276]. Other uses reported
are for LEDs [3027], solar cells [3028-3035], OEICs [3036, 3037], Gunn
diodes [3038, 3039], FETs [3040], HBTs [3041-3043], photocathodes [3044],
and p-i-n photodetectors [3045].
Gallium arsenide-phosphide (GaAs-^Pz) epitaxial films [3046 — 3074] of
high quality used extensively for LEDs are prepared using the hydride method
at 750°C [3046—3069] according to the reaction:

GaCl + 1—1 As 4 + — P 4 + l/2H a <==> G a A s ^ P , + HC1 (12.5)


4 4
Depositions are commonly achieved on GaAs or GaP substrate by slowly
grading the composition of the growing layer from the substrate composition
to that of needed G a A s ^ P s compund in order to minimize strains caused
by lattice mismatch. Thus, a multilayer structure consisting of a graded and
a constant composition G a A s ^ P r layer is obtained.
As-grown layers have n-type background doping. Intentional doping is
commonly achieved using H 2 S, H 2 Se or Te(C 2 H 5 ) 2 (n-type doping), Zn(C 2 H 5 ) 2
(p-type doping), or NH 3 (for isoelectronic N doping).
G a A s ^ P * layers can also be prepared by using the Ga(GaAs)—AsCl 3 —
P C 1 3 - H 2 system at 800°C [2963] and T M G - A s H 3 - P H 3 at 600-750°C
[3070-3074].
Gallium-indium arsenide (Ga^In^As) alloys have a direct energy gap
that covers the range 0.35—1.4 eV.
Interest has been focused on G a ^ I n ^ A s alloys due to their potential
applications to solar cells, lasers, negative electron affinity photocathodes,
high-frequency field effect transitors, LEDs, and photodiodes.
Epitaxial layers of Ga^In^-As suitable for device applications have
been prepared on GaAs, InAs, and I n P substrates by means of the L P E ,

395
TECHNIQUES

MBE, and CVD techniques. VPE growth of I i ^ G a ^ As [3075—3134] on


GaAs, InP, and InAs substrates is usually performed by using compositional
graded layers in order to reduce the misfit dislocations caused by lattice
mismatches. The following systems have been used: Ga—In—AsCl3—H2
at 700°C [3075-3091]; G a - I n - H C l — A s H 3 - H 2 at ?25°C [3092-3108];
Ga(CH 3 ) 3 -In(C 2 H 5 ) 3 -AsH 3 -H 2 at 600°C; TMGa-TMIn(T-EIn) -
TMAs at 600°C [2916, 3116, 31171; TMGa: T E P - T M I n : T E P - A s H 3 at
600°C [2933]; and Ga(C2H5)3—In(C2H5)3—AsH3 at 600°C and low pressure
[3129—3134]. The alloys can be doped with Se (or Te) donor and Zn acceptor
impurities to achieve n- and p-type conductivity over the broad range required
for device applications.
High quality GalnAs produced by means of MOCVD can also be used
in the fabrication of sophisticated heterostructures, such as GaAs/Ga^In^As
SLs and InP/Ga^In^As 2DEGs, SLs, and MQW LDs. For example, SLs
may consist of 100 pairs of alternating layers of GalnAs lattice matched
to InP, while MQW lasers, operating at 1.55 μηι, may have 10 wells of 100 A
[5280].
Gallium arsenide-antimonide (GaAs-^Sb^) alloys [3135—3143] are po-
tentially important materials for solar cells and detectors in the 1.3—1.6 μηι
region needed for fibre optic communications applications. In addition to
LPE, MBE, and RF sputtering, these alloys have been grown epitaxially
on GaAs and InP substrates by means of two CVD systems: Ga—HC1—
A s H 3 - S b H 3 - H 2 at 700°C [3135] and Ga(CH 3 ) 3 -Sb(CH 3 ) 3 -AsH 3 -H 2
at 600°C [3136-3143).
Gallium-indium phosphide (Ga-^In^P) is one important III—V alloy
system since it has a direct band gap as large as 2.25- eV(x = 0.74) and can
be lattice matched to GaAs, Al^Ga^As, In^Ga^As and other substrates.
Besides LPE, these alloys have been grown by means of VPE techniques
[3144-3158] at normal pressure from G a - I n - H C l - P H 3 - H 2 (700°C)
[3144—3152] and at low pressure from Ga(C2H5)3—In(C2H5)3—PH3—H2
(600—675°C) [3153-3158].
Vapour-grown p-n junction structures employing Se and Zn as n- and
p-type dopants have enabled efficient visible-light emitting diodes and injec-
tion lasers tobe fabricated. Ga^Jn^P layers have also been included in (Gain)
P/GaAs and (GaIn)P/(GaIn)As structures for solar cells and trans-
ferred-electron photocathodes, respectively.
Gallium-indium antimonide (Ga^In^Sb) has been prepared in an open-
tube system [3159].
Aluminium-indium phosphide (Al-^In^P) alloys can be achieved by
means of LP-MOCVD using the Al(CH 3 ) 3 -In(C 2 H 5 ) 3 -PH 3 -H 2 system.
A reported use of these alloys is in the fabrication of Al 0>5 In 05 P—Ga 05 In 05 P
double heterostructure lasers [3160].
Indium arsenide-phosphide (InAs^^P^) alloys are suitable for the fabri-
cation of transferred electron and microwave devices owing to the high
carrier velocity and large peak-to-valley ratio in this system, as well as for
the fabrication of infrared emitting and detecting devices owing to their low
energy band gaps.

396
SEMICONDUCTING T H I N FILMS

VPE growth of InAsj^P^ alloys [3161 — 3168] has been reported using
the hydride system (In-HCl—AsH 3 —PH 3 —H 2 ) at 700°C on InAs, GaAs,
and GaP substrates.
Indium arsenide-antimonide (InAs^Sb^) [3169—3172] has been grown
by using the TEIn—AsH3—TESb (TMSb)—H2 system. The non-linear depen-
dence of alloy composition on inlet gas ratios has been explained by developing
a thermodynamic model of the growth mechanism.
Aluminium-gallium antimonide (Al-^Ga^Sb) alloys [3173, 3174] are
an interesting material for optical devices in the near-infrared region owing
to the fact that its energy gap is in the range 0.74—1.62 eV. In addition
to LPE, these alloys have been deposited on GaSb and InAs substrates at
600°C by means of iodine closed-tube transport [3173] and OMVPE [i.e. the
Al(CH3)3-Ga(CH3)3-Sb(CH3)3-H2 system] [3174].
Epitaxial layers of aluminium-gallium nitride (Al^Ga^N) have been
grown on sapphire (0001) and silicon (111) substrates by means of MOVPE
in an ambient H 2 gas at atmospheric pressure at 1020—1050°C using the
TMA—TMG—NH3 system [3175]. These layers can also be grown by
using VPE of the hydride.
Epitaxial layers of aluminium-indium arsenide (Al^In^As) have been
deposited on InP substrates by means of MOCVD (the TEIn—TEA—AsH3
system) [3176].
Aluminium-gallium phosphide (Al^Ga^P) with its higher indirect
band gap and lower refractive index, compared to GaP, is of potential interest
for optoelectronic structures which have been realized in the past only by
means of LPE. In addition,- owing "to a good lattice parameter match, this
material is applicable to the fabrication of heterojunctions with GaP. Its
growth is achieved by using the MOCVD process from the Ga(CH3)3—A1(CH3)3
— P H 3 - H 2 system at 750°C [2889].
Aluminium arsenide-phcsphide (AlAs^^P^) offers no apparent useful
properties. However, this material can be prepared by means of MOCVD
from the TMA—PH3—AsH3—H2 system at 700°C, and can be used for the
production of AlAs-^P^—GaAs superlattices [5323].
Gallium-indium arsenide-phosphide (Ga1_a;Ina;As1_2/P2/) quaternary alloys
have recently become important for laser and detector diodes in the wavelength
range λ> 1 μΐη at which optical fibre transmission is optimum. Other devices
such as light emitting diodes, photocathodes, QWs, and SLs utilizing this
alloy have also been reported. The reasons for the increased interest in this
material are its wide direct band gap (0.36—2.2 eV) and its ability for per-
fectly lattice-matched epitaxial growth on GaAs [3200] and InP [3193 —
3199, 3201—3203] substrates. Besides LPE, VPE can be used successfully
for the growth of epitaxial Gij-Jn^As^yPy layers [3177 —3205]. The following
methods have been employed in the preparation of these films: (1) the hydride
method, i.e. the G a - I n - H C l - P H 3 - A s - H 2 system at 650°C [3177-3192];
(2) LPMOCVD, i.e. the Ga(C 2 H 5 ) 3 -In(C 2 H 5 ) 3 -AsH 3 -PH 3 -H 2 at 600°C
[3193-3203]; and (3) APMOCVD [3204, 3205], LPMOCVD employing a pre-
packed PH 3 [3200] or H2—N2 carrier gas mixture [3193—3199] and APMOCVD
based on the in-situ formed adduct technique [2595] have also been used.
Doping can be achieved using diethyl zinc Zn(C2H5)2 and H 2 S or (H2Se) in
p-type and n-type doping, respectively.

397
TECHNIQUES

Aluminium-gallium-indium phosphide [(Al^Ga,_-,.)„ I n ^ P ] solid solu-


tions [3206—3219] have been grown, in addition to MBE, by means of
LPMOCVD in a vertical reactor using trimethyl aluminium, triethyl gallium,
triethyl indium and cracked phosphine [3207 — 3213], and APMOCVD in a
horizontal reactor using only methylalkyls without cracking [3215, 3216].
This material, having potentially the shortest emission wavelength (up to
<-^0.58 μηι) of any III—V compound semiconductor, has been employed
in the fabrication of double heterostructures for visible light lasers.
Aluminium-gallium phosphide-arsenide (Ala;Ga1_;cP1/As1_2/) has been grown
by atmospheric-pressure .and vacuum MOCVD using TMA1—TMGa—PH 3 —
AsH 3 —H 2 system and has found practical application in heterojunction
solar cells, strained layer superlattices and quantum-well heterostructures
based on the Ala;Ga1_iCP2/As1_2//GaP2/As1_J/ system [3220, 3221].
Aluminium-gallium-indium arsenide (AliCGa1_ir_2/Ina;As), useful in the
production of Ga1_iCInirAs/Al2/Ga1_a;_2/InirAs solar cells, can be grown b y
means of MOCVD [3119, 3121].
Indium arsenide-phosphide-antimonide (InAs1_2/_zPzSbi/), useful in the
production of superlattices, has been grown by means of MOCVD based on
T E I n - A s H 3 - P H 3 - T M S b - H 2 [3222].
Gallium-indium arsenide-antimonide (Ga1_:cIna;As1_2/Sb2/) metastable al-
loy has been grown on GaSb (at 486°C) and I n P (at 600°C) substrates b y
means of OMPVE in an infrared-heated atmospheric-pressure reactor based
on the T M G — T M I - T M A s ( A s H 3 ) - T M S b - H 2 system [3223]. This material
is interesting for optoelectronic application because its room temperature
Eg covers an extremely wide range (1.43—0.10 eV).

12.2.4 Group II—VI Semiconductors

Preparation of high-quality II—VI semiconductor films is difficult owing


to the non-stoichiometry and polymorphism of II—VI compounds [53, 3224—
3229].
Although various PVD methods (e.g. vacuum evaporation and hot-wall
epitaxy) have been used for preparation, CVD processes are used whenever
good-quality layers are required.
CVD films can be obtained on substrates such as other II—VI compounds,
III—V compounds, Si, Ge, sapphire, spinel, quartz, and the alkali halides
mainly by using the following three methods: open-tube synthesis, i.e. reac-
tion of metal vapours with group IV hydrides; open-tube transport of II—VI
compounds by means of HCl(HBr) or H 2 ; and the organometallic method
based on the interaction of alkylmetals with group VI hydrides.
The use of II—VI compounds is rather limited primarily because of
their inability to grow p-n homo junctions. Indeed, nearly all II—VI com-
pounds with the exception of ZnTe, CdTe, HgCdTe, Zn(Se, Te), and (Zn,Cd)Te,
are only n-type and high-resistivity materials. Also, the reproducible prepa-
ration of smooth and stress-free layers with good crystallinity, controlled
doping and known deviation from stoichiometry has not thus far been achieved.

398
SEMICONDUCTING T H I N F I L M S

However, CVD films are suitable for many optoelectronic applications,


such as light emitting diodes and solar cells.
In the following, the main preparation systems, as well as some properties
and applications, will be presented for the following II—VI compounds:
ZnO, ZnS, ZnSe, ZnTe, CdS, CdSe, CdTe, HgTe, Cdj.JHg^Te, and ZnSx_a.Se,,..
Zinc oxide (ZnO) is an n-type semiconductor having an energy band
gap of 3.3 eV, and large piezoelectric and optical coupling coefficients. It
has found use in solar cells [3258], varistors, optical waveguides [3255], acousto-
optical and electro-optical devices [3259—3261, 5165], surface acoustic wave
devices [3256], ultrasonic transducers [3244], LEDs [3257] as well as for crea-
ting p-type diffusion in GaAs [2043].
In addition to reactive evaporation, sputtering, and ion beam deposition,
ZnO can be prepared by using a variety of CVD systems [3230—3261], namely:
close-spaced chemical-vapour transport with H2—HC1 at 700—800°C [3230,
3231]; open-tube chemical transport of Zn vapour with H 2 0 vapour at 775
-900°C [3232-3237]; OTCVT of ZnO with H 2 at 650-850X [3238-3242],
NH3 at 750°C [3243], a n d H 2 - H 2 0 - 0 2 at 900-1000°C [3253]; oxidation of
ZnSe films [3244] or Zn(acac)2 [3249] with 0 2 at 400-500°C; OMCVD based
on heterocyclic compounds [3251]; oxidation of diethylzinc above 250°C
[3246—3250]; plasma oxidation of diethylzinc with 0 2 or C0 2 at 200°C [3252—
3254]; and LCVD [423].
Zinc sulphide (ZnS) has been grown epitaxially on GaAs, GaP, sapphire,
CaF2, Ge, and Si substrates [3262—3277] by means of the following CVD
systems: Z n - H 2 S - H 2 at 825°C [3262, 3263, 3274]; Zn(C 2 H 5 ) 2 -H 2 S-H 2
at 750°C [3275-3277]; Z n - S - H 2 at 650-800°C [3264]; Z n S - H 2 at 8 5 0 -
950°C [3265-3271]; Z n S - I 2 at 450°C; ZnS-HCl at 800°C [3272, 3273];
and MOCVD starting from heterocyclic compounds [3251].
Zinc selenide (ZnSe) is a semiconductor with a direct band gap of 2.7 eV
having possible applications in optoelectronic devices such as heterojunction
photovoltaic devices [5108, 5109] and light emitting diodes [3301]. In addition
to evaporation, sputtering, LPE, and MBE, numerous methods of obtaining
VPE of ZnSe films on GaAs, Ge, and sapphire have been reported [3278—
3301]. The growth systems are: Z n - S e - H 2 at 650-900°C [3278,3279];
ZnSe—12 at 450°C; Zn—H 2 Se-H 2 at 890°C [3263]; ZnSe-Zn—H 2 at 750°C
[3282]; Z n S e - H 2 at 850°C [3280, 3281, 3283-3286]; Z n S e - H C l - H 2 at
600°C [3286]; Z n S e - H B r - H 2 at 550°C [3287-3289] ?Zn (C 2 H 5 ) 2 -H 2 Se(DESe)
- H 2 at 750°C at normal [3275, 3294-3296] or low [3290—3293] pressure;
and CSCVT in H 2 or HC1-H 2 [3299, 3300]!
Low-resistivity n-type ZnSe layers (p < 0.05 Ω cm) have been grown
epitaxially on GaAs substrates by means of LPMOCVD using A1(CH3)3 as
dopant. MOCVD of ZnSe in the presence of NH 3 or PH 3 also leads to the
introduction of N or P acceptors in ZnSe.
LP-MOCVD of ZnSe is based on the D E Z n - H 2 S e - H 2 system at 350°C.
PE-MOCVD of ZnSe using the DEZ-DESe-Cp 2 Mn—H 2 system at
250°C resulted in Mn-doped films [3297, 3298]. UVCVD has also been reported
for this film [343].
Zinc telluride (ZnTe) has a large direct band gap of 2.26 eV and is therefore
a promising material for application to electroluminescent and other opto-
electronic devices [3302—3306].

399
TECHNIQUES

The II—VI compound has been prepared by means of closed-tube trans-


port with iodine at 625°C [3302-3305], the DEZ—DMT—H2 system at
500°C [3275], and direct combination of elemental vapours in H 2 or He at
550—600°C'[3306].
Cadmium sulphide (CdS) is another wide band gap material which is
attractive for the fabrication of solar cells [3307—3314]. It is usually pre-
pared using the Cd—H2S—H2 system at 700°C on GaAs and sapphire [3263]
and the DMCd—H2S—H2 system at 475°C on sapphire, InP and glass [3275,
3307].
Other techniques used are iodine close-spaced transport [252], open-
tube hydrogen transport [3308-3310], CSVT in H 2 [3311-3314], and OTVT
using the Cd—S—H2 system [3369].
Cadmium selenide (CdSe) layers have been grown epitaxially on CdS,
sapphire, and InP substrate by using the systems Cd—H2Se—H2 at 700°C
[3315], DMCd-HoSe-H 2 at 600°C [3275], and DMCd-DMSe-H 2 at 500°C
[3316].
Cadmium telluride (CdTe) is the only amphoteric semiconductor among
the II—VI compounds. It can be grown on CdTe, GaAs, InP, InSb, A1203,
MgAl204, and graphite substrates [3317 — 3341] by using the close-spaced
chemical vapour transport technique of CdTe with H 2 at 600°C [3317—3320];
the DMCd-DMTe-H 2 or D M C d - D E T e - H 2 systems at 350-500°C [3324-
3335, 3337-3341]; UV-MOCVD based on DMCd—DETe—Ha(He) at 2 0 0 -
300°C [371—372]; and direct combination of the elements in H 2 at 500 —
650°C [3321-3323].
LT-MOCVD of CdTe uses the D M C d - D I P T e - H 2 and D M C d - T D B T e -
H 2 systems [3336].
This material has the lowest band gap among II—VI compounds and
is potentially useful in solar cell applications.
Mercury ielluride (HgTe) eoitaxial layers have been grown on CdTe
substrates [3342-3346] by using CS-CVT [3342] and MOCVD [3343-3346]
process. MOCVD usually occurs at 350°C by means of the reaction
between Hg vapour and DMTe in the presence of hydrogen.
LT-MOCVD can be achieved at 210—270°C by using a thermal pre-crack-
ing technique in the DMHg—DETe—H2 systems [3346]; at 230—310°C based
on H g - D I P T e (di-isopropyltelluride)-H 2 [3336] and Hg-DTBTe (diter-
tiary butyl telluride)—H2 systems [3336]; and at 200 — 300°C by means of
UV photolysis in the H g - D E T e - H 2 system [370, 372].
Cadmium mercury telluride (Cd^Hg/Te) is a semiconducting alloy
which is important for use in infrared detectors, imaging arrays and photo-
voltaic devices [3347]. Epitaxial layers of Cd^Hg^Te on CdTe, GaAs, InSb,
or sapphire substrates have been grown by a variety of methods including
LPE, vacuum deposition, sputter deposition and CVD.
CVD is achieved by means of CS-CVT, MOCVD, UV-MOCVD and
L-MOCVD [3325, 3333, 3334, 3347-3362].
Another useful techniques is based on interdiffusion of alternating
MOCVD-grown CdTe and HgTe layers [3356].
CS-CVT uses close-spaced transport in an H 2 flow of a (CdHg)Te source
heated at 600°C on a CdTe substrate maintained at 300°C [3348].

400
SEMICONDUCTING THIN FILMS

MOCVD is usually carried out in horizontal RF or resistance heated


reactors using the Hg(DMHg)-DMCd-DMTe(DETe)-H 2 system at 4 0 0 -
430°C [3349-3362]. UV-MOCVD (using an Hg lamp) based on Hg-DMCd—
DETe system (200-300°C) [342, 370—372] and L-MOCVD (using an ArF
excimer laser) based on the DMHg-DMCd-DMTe system (150°C) [386,
424] have also been reported. LT-MOCVD (225°C) can also be obtained
by using the thermal pre-cracking technique [3359].
Zinc-cadmium sulphide (Zn^Cd^S) has been prepared on GaAs and
other substrates [290, 291] by means of OT-CVT, CS-CVT [3363] and
spray pyrolysis [3364].
Zinc-cadmium telluride (Zn^CdaTe) have been obtained on various sub-
strates (e.g. W/graphite, ceramic, glass) by combining the elemental vapours
in He or H 2 ambient at 550°—600°C [3306].
Zinc sulpho-selenide (ZnSi^Se^) [3365 — 3368] has been grown epitaxially:
on fluorine at 600—650°C using the ZnS—ZnSe—H2 [3266] (or the ZnS—
ZnSe—12) chemical transport system; on GaAs at 750°C using the ZnSe—
Zn—H2S—H2 system [3366]; and on GaAs at 340°C using the Zn(C2H5)2—
H2S—H2Se system at normal or low pressure [3367, 3368]. ZnSj^Se^ can
be lattice-matched to GaAs (for x = 0.95) and therefore high-efficiency
photodetectors, light emitting devices and solar cells can be obtained.
Cadmium sulpho-selenide (CdS^Se^) has been produced by combining
the elemental vapours in an H 2 ambient [3369].

12.2.5 Group IV—VI Semiconductors

CVD film growth of IV—VI semiconductors has been reported for PbS,
PbSe, PbTe, Pb^Sn^Te, and SnTe grown on substrates such as BaF 2 ,
NaCl, PbSe, PbTe, and Pb^Sn^Te [3370-3373].
The Pb-compounds are useful for the fabrication of infrared detectors.
In addition to LPE and vacuum evaporation, they can be obtained by using
either open-tube [3370, 3373] or closed-tube [3372] vapour transport and
organometallic deposition. The last technique uses the following sources:
Pb(CH3)4 or Pb(C2H5)2, Sn(C2H5)4, H 2 S, H2Se, and Te(CH3)2, growth occurring
at 500°C (for PbTe), 550°C (for PbS and PbTe) and at 600°C for Pb^Sn^Te
in an H 2 ambient [3371]. SnTe has been obtained from the OM system at
265°C on PbTe substrates [3371]. Other chalcogenides of Pb and Sn, for
example, PbSSe, SnPbSe, SnS and SnSe can also be prepared by means of CVD.

12.2.6 Miscellaneous Semiconductors

CVD growth of many miscellaneous semiconductors [3374—3378], such as


I I - V I , I I I - V , I I I - V I , I V - V , I V - V I ; V - V I , and multicomponent com-
pounds has been achieved in both bulk and film form by means of the che-
mical transport technique.
Epitaxial CVD films have also been obtained for the following compounds:
ScP, ScAs, ScAsP, Z n S - G a P , ZnSe-GaP, ZnSe-GaAs, C d S - I n P , YN,

401
TECHNIQUES

DyN, ErN, YbN, LuN, CdCr 2 S 4 , ZnSiP 2 , ZnSiAs 2 , CuInS 2 , CuInSe 2 , and
others.
Among the above compounds the main interest has been shown in
AlBniCJl and AllBlYCJ ternary chalcopyrite semiconductors which have
potential as solar cell materials.
CuInS 2 (copper-indium disulphide) has been prepared on GaP substrates
by means of iodine chemical transport and OMCVD. The last system [3650]
uses the reaction between acetonitride complexes of Cu and In and H 2 S at
850°C. CuInSe 2 (copper-indium diselenide) can be achieved on glass by using
spray-pyrolysis [196], while ZnSiAs 2 (zinc-silicon diarsenide) is grown on Ge
and GaAs substrates at 650°C from the Zn—SiH 4 —AsH 3 system [3649],
V P E of the hydride has been used to obtain the scandium compounds,
the mixed (II—VI) —(III—V) compounds and the rare-earth nitrides. These
epitaxial films have been prepared at 850°C on Si [3374], at. 700—900°C
on GaAs [3375] and at 950°C on sapphire [3377], respectively.
CdCr 2 S 4 films have been achieved on sapphire and spinel at 740°C by
using the Cd—CrCl 3 —S—He system [3378], while the ZnSiP 2 films have
been obtained at 850°C on GaP and Si by employing the Zn—SiCl 4 —PH 3 —H 2
system [3376].

12.3 Heteroepitaxial Semiconducting Films

12.3.1 Introduction

Heteroepitaxial growth refers to the growth of an oriented film on an orien-


ted substrate consisting of a different material.
When the epitaxial film material is chemically (Ge on GaAs, Ge on
Si, GaP on Si, GaN on A1203, ZnS on GaAs, GaN on α-SiC, ZnSe on MgAl 2 0 4 ,
B P on Si) and often crystallographically [Si on sapphire (A1203), GaN on
sapphire, ZnSe on BeO, CdTe on A1 2 0 3 , AIN on A1 2 0 3 , GaAs on BeO] very
different from the substrate material one deals with true heteroepitaxy.
If the film and the substrate show some chemical commonality such as G a P
on GaAs, GaAs-^Pz on GaAs, Ga^Al-^As on GaAs, I n ^ G a ^ A s ^ ^ on
I n P , H g ^ Cd^Te on CdTe or ZnSe on ZnTe one deals with pseudoheteroepi-
taxy [45].
Heteroepitaxial growth can be achieved on either insulating or semi-
conducting substrates. In the latter case, the structures obtained are termed
semiconductor heterojunctions. Heteroepitaxy on insulating substrates pro-
vide slayers having semiconductor, electroluminescent, photoconductor, electro-
optic and piezoelectric functional characteristics which are used in devices
such as transistors, light emitters, photocathodes, optical modulators and
surface acoustic wave devices, respectively.
In addition, the capability of processing microwave signals provides
the possibility of obtaining some microwave device and integrated circuit
structures.

402
SEMICONDUCTING T H I N F I L M S

The formation of p-n, n-n and p-p heterojunctions is also of growing


importance, since these are very valuable for use in a number of optical and
microwave devices such as laser diodes, light-emitting diodes, photoconductors,
photodiodes, phototransistors, photocathodes, solar cells, and heterojunction
IMPATTs and heterojunction bipolar transistors, respectively. Heteroepita-
xial thin film semiconductors on insulating substrates should fulfil a number
of important general requisites for their use in semiconductor microelectronics„
such as: low crystalline imperfection due to the mismatch between the film
and the substrate crystal; decreased contamination of the film due to the
chemical reaction between the deposition constituents and the substrate
material; low stress in the film due to the differential thermal expansion
coefficients of the substrate and the film; optimum electrical, optical, or
acoustic properties, depending on the specific application.
These requirements could be fulfilled by properly selecting the substrate
and by adjusting the deposition parameters. However, owing to increasing
complexity in obtaining good quality heteroepitaxial growth on insulating
substrates, these films have not yet achieved general application in device
production, except for the silicon-on-sapphire structures.
On the contrary, owing to the ready availability of high-quality crystals
of semiconductors such as Ge, Si, GaAs, GaP, GaSb, InAs, InP, and InSb,
and the greater ease with which the surfaces of these crystals can be cleaned
in situ in chemical vapour growth systems, many of the best quality hetero-
epitaxial films have been grown on semiconductor substrates. For a hetero-
junction, low structural imperfections at the interface and in the film, mi-
nimum autodoping effects, suppressed inter diffusion, and an abrupt electrical
junction are the most important requirements of optimum films for device
applications. These requirements of film perfection can be met by using
resonably low growth temperatures and rapid growth rates, by choosing
film-substrate combinations having suitable thermal expansion coefficients,
structural symmetry, mutual miscibility, and lattice parameters, and by
providing a good substrate surface condition.
Heteroepitaxial growth has obtained increasing importance. It provides
a new class of composite material systems of technical interest for many
electronic device applications. Heteroepitaxy is also of scientific interest
offering the possibility of studying some of the basic processes involved, such
as epitaxial orientation relationships for various film-substrate combinations
and he teroj unction theory.
Preparation of heteroepitaxial semiconductors for practical uses in
advanced electronic devices is at present carried out almost exclusively by
means of chemical vapour deposition. The main reason for the wide accep-
tance of CVD is the fact that by means of this method, which uses high purity
sources and high deposition temperatures, layers free of contaminants can
easily be obtained. Heteroepitaxy has been reviewed previously for growth
on both insulating and semiconducting substrates.
In this chapter, a summary is presented of work in the field of hetero-
epitaxial films of silicon, and III—V and II—VI compound semiconductors,
grown on dielectric oxide substrates. Semiconductor heterojunctions of
both elemental and compound semiconducting films will also be briefly
presented.

403
TECHNIQUES

12.3.2 Heteroepitaxy on Insulating Substrates

The increasing interest in heteroepitaxial growth on insulating substrates


is motivated primarily by three main facts: (1) the advantages of growing
large-area single crystals of expensive semiconductor on cheap readily available
substrate; (2) the advantages offered in integrated circuit technology such
as ensuring dielectric isolation between various arrays and the possibility
of fabricating both active and passive devices on the same substrate; and
(3) the possibility of obtaining new device structures. Most heteroepitaxial
work using oxide substrates has been performed on silicon [3379—3383]
and compound semiconductors (in particular III—V compounds) [3384—
3386].

12.3.2.1 Heteroepitaxial Silicon on Insulating Substrates

The heteroepitaxial growth of silicon on insulating substrates (sapphire,


spinel, etc.) has received considerable attention during the past twenty
years [3387—3469]. This technique offers two main advantages in integrated
circuit technology: the elimination of the capacitance associated with iso-
lation junctions, and complete dielectric isolation between individual devices.
The preparation a n d properties of vapour grown silicon on sapphire and
spinel substrates have recently been completely reviewed [3382, 3383]. Al-
though spinel appears to be superior to sapphire in many aspects (e.g. better
crystallographic and thermal expansion matching and lower chemical reac-
tion at the silicon-substrate interface), the use of the silicon-spinel system
is rather limited because of its increased complexity. Indeed, the properties
of silicon on spinel are a strong function of the method by which the sub-
strate crystals are grown even for crystals of the same composition. The most
commonly used substrate is rhombohedral sapphire (A1203). Face-centred
cubic magnesium aluminate spinel (MgO—nAl 2 0 3 ) is also used [3392, 3401,
3403, 3404, 3409 — 3412, 3415, 3418, 3437]. Several investigations use both
sapphire and spinel substrates [3401, 3404, 3411, 3415, 3418]. Other single-
crystalline substrates, such as BeO [3398], Z r 0 2 [3399] and quartz [3400],
have also been tried.
Sapphire substrates are previously prepared by using one or more of the
following procedures: chemical-mechanical polishing with colloidal silica in
alkaline aqueous solution; annealing at elevated temperatures; concomitant
hydrogen annealing and etching; in-situ gas etching (e.g. in fluorotrichloro-
methane — Freon 11); and liquid etching (e.g. molten salts such as V 2 0 5 ,
K H S 0 4 , Na 2 B 4 0 7 , and P b F 4 or high temperature acids such as, for example,
an H 2 S 0 4 — H 3 P 0 4 mixture).
Heteroepitaxial silicon growth is commonly achieved in the same equip-
ment used for homoepitaxial silicon growth by using two main techniques:
hydrogen reduction of SiCl 4 [3390], SiHCl 3 [3391, 3392], SiH2Cl2 [3393] at
1050—1150°C and silane decomposition in H 2 ambient at 950—1050°C [3391,
3394-3399].
Epitaxial growth of (100) Si was observed on either (1102) sapphire or
(100) spinel, while the (111) films were obtained on (0001) sapphire and (111)

404
SEMICONDUCTING T H I N F I L M S

spinel. The former technique is less acceptable because the halogen-bearing


sources as well as the reaction products resulting from their reduction, react
with the sapphire and spinel according to the reactions:
2A1 2 0 3 + SiCl 4 ► 4A1C13 + 3Si0 2 (12.6)
or
A1 2 0 3 + 2HC1 + 2H 2 > 2A1C1 + 3 H 2 0 (12.7)
As a result, the crystallinity and purity of the silicon layer were poor,
and useful semiconducting properties were obtained only in relatively thick
films. In the latter process, the substrate is not attacked by the react ant
and surface coverage is achieved more rapidly.^The erosion of the sapphire
by gaseous silane, which only takes place in higher temperature conditions,
may be useful for the removal of work-damaged surface of the substrate
[3407]. However, silane is an expensive and dangerous reactant, and crystalline
perfection of the grown layers as a result of the nonreversibility of its pyro-
lysis is decreased.
In order to take advantage of the positive feature of both sources,
combined approaces have been developed in which the surface of the insula-
ting substrate is first covered using silane as the source and the silicon is
then grown to the desired thickness by using a chlorinated silane or by adding
hydrogen chloride to the silane stream [3401].
During deposition, the following chemical reactions occur between the
sapphire and spinel substrate and the deposition constituents (which are
sources of layer contamination [3390, 3391, 3402—3405]:
2Si + A1 2 0 3 <=> A1 2 0 + 2SiO): (12.8)
3Si + A1 2 0 3 i = ± 2A1 + 3SiO (12.9)
This contamination can be decreased by sealing the back of the substrate
[3406].
The reduction of both sapphire and spinel by hydrogen has also been
proposed as a source of reaction products which lead to the autodoping of
heteroepitaxial silicon:
2H 2 + A1 2 0 3 * = > A1 2 0 + 2 H 2 0 (12.10)
H 2 + MgO ö Mg + H 2 0 (12.11)
In order to minimize the degradation of the heteroepitaxial silicon deposits
as a result of the incorporation of substrate reaction products, various techni-
ques have been successfully tried: lowering the growth temperature by em-
ploying helium as a carrier gas in silane pyrolysis [3411 — 3413]; dual rate
growth which uses a rapid initial rate of deposition (2—3 μιη/min) from
SiH 4 at low deposition temperatures (950—1000°C) followed by a slow
final rate of deposition (0.3 μιη/min) from SiH 4 —H 2 , SiCl 4 —H 2 , or SiH 4 —HC1
mixtures, eventually at higher deposition temperatures (1050—1100°C) [3421,
3427].
The combined deposition technique relies on the fact that the use of
high rates and low temperatures allows suppression of the reaction between

405
TECHNIQUES

the substrate and the layer, whereas lower rates and higher temperatures
favour good crystallinity of the grown layers. Growth rate and film thick-
ness, which have proved to be critical parameters in the heteroepitaxial
growth of silicon on insulators, can be continuously monitored during the
deposition process by using an interferometric technique. Growth rate is
primarily a function of substrate temperature and the concentration of silane
in the carrier gas. For temperatures up to about 950°C the deposition is sur-
face reaction limited with an activation energy of ^1,6 eV; for temperatures
between r^950°C and 1100°C, the reaction is mass-transfer controlled; and
above 1100°C the deposition rate decreases rapidly as a result of homogeneous
gas-phase decomposition. Optimum epitaxial growth conditions must be
established to obtain a low-defect-density silicon layer [3400, 3401, 3423—
3429]. SOS wafers with very low defect density have also been produced
by using a three step process consisting of (a) vapour-phase epitaxy, (b) Si+
implantation and solid-phase epitaxy, and (c) vapour-phase etching and
epitaxy [3430].
The structural and electrical properties of heteroepitaxial silicon on
insulators are mainly influenced by three factors: (1) the crystallographic
mismatch between the silicon and the substrate crystal; (2) the contamination
of the silicon film as a result of chemical reactions between the deposition
constituents (silicon and hydrogen) and the substrate material; and (3) the
stress in the silicon caused by the difference between the thermal coeffici-
ents of expansion of the silicon and the substrate. Examination of the
nucleatiori and early growth of .silicon on insulating substrates [755] as
a function of the chemical and crystallographic nature of the substrate, the
method of preparing the substrate surface, and the deposition temperature
and rate has been found useful in appreciating the extent of the reaction bet-
ween the substrate and the deposition constituents. This reaction leads to
the formation of an interface compound [4858, 3416, 3417], The crystalline
quality of heteroepitaxial silicon [3431—3446] has been assessed by means
of a variety of techniques: X-ray diffraction, reflection high-energy electron
diffraction (RHEED) [3436], low-energy electron diffraction (LEED) [3382],
optical absorption [3418,3419], replication electron microscopy (REM) [3429,
3434, 3435,3437], transmission electron microscopy (TEM) [3422, 3430, 3431,
3442], ion channelling/backscattering [879, 880, 3430], RED [3436], resistivity
measurement [3443], X-ray double crystal spectrometry [3421, 3430], IR
reflectance [846], UV reflectometry [3443—3445], photovoltage spectroscopy
[3443], SEM [3441], Raman spectroscopy [3446], and others. The crystalline
quality has also been inferred from the films semiconducting properties
[3438 — 3440]. On the basis of electron diffraction and optical absorption
measurements, it has been concluded that an intermediate layer of aluminium
silicate is present between epitaxial silicon and sapphire or spinel. The alumi-
nium impurity profile in the interface region of heteroepitaxial silicon has
been measured by means of SIMS analysis [988, 3418, 3421]. The electrical
properties of interest for device application, the magnitude of the carrier
mobility at the desired carrier concentration [3447—3454] and the magnitude
of the carrier lifetime [3455—3462] have been measured as a function of the
preparation parameters (temperature, substrate orientation and composition,
the rate of deposition and the film thickness), by using the Hall and the pulsed-

406
SEMICONDUCTING T H I N FILMS

MOS techniques, respectively. Carrier concentration and mobility are


mostly determined by crystalline imperfection, contamination, and inter-
nal compresive stress in the film. Carrier mobilities in all deposits chan-
ge with the distance from the silicon-substrate interface. Hole carrier mo-
bilities at certain carrier concentrations in some deposits show values simi-
lar in magnitude to those in bulk silicon and are not significantly degraded
by thermal oxidation. Minority carrier lifetimes, which are more sensitive
to crystalline perfection and impurity content, deviate considerably from
bulk silicon values, more so than do the mobilities. Therefore, heteroepitaxial
silicon cannot be used for bipolar transistors, but it is useful in MOS structures
where only carrier mobilities play a role in device performance. Device appli-
cation of heteroepitaxial silicon [3463—3470] is so far limited to CMOS/SOS
technology [3466]. As has been discussed previously, this technology provides
relatively high operational speed,low power consumption, high device packing
density and increased radiation resistance in MOS transistor structures. Bipo-
lar transistors can also be obtained [3463, 3464].

12.3.2.2 Heteroepitaxy of Other Group IV Semiconductors on Insulating Substrates

A limited amount of heteroepitaxial work using oxide substrates has been


performed on other group IV semiconductors such as Ge [252, 3425, 3471 —
3474] and SiC [14].
Ge films have been obtained from GeH4 on Al-rich spinel substrates
of (100) and (111) orientation at an optimum growth temperature of 750° C
[3471, 3472]. These films possess lower residual compressive stress than Si
films due to both the lower growth temperature used and the closer match
in thermal expansion coefficients of film and substrate (6.19 X 10""6/0 C for
Ge, 3.8 x 10"6/°C for Si and 7.45 x 10-6/°C for MgAl204). The films were
5—10 μιη thick, p-type, 0.1 Qcm and exhibited mobilities of 200—300cm2/Vs.
PECVD from GeH4 at 400° C has also been used to obtain free-standing Ge
films on (100) NaCl substrates [3473, 3474] useful as substrate for AlGaAs/
GaAs solar cells.
SiC films have been prepared on sapphire substrates by using the pyrolysis
of dimethyl dichlorosilane at temperatures of 1300—1640° C in the presence
of excess hydrogen [14].

12.3.2.3 Heteroepitaxy of Compound Semiconductors on Insulating Substrates

Interest in heteroepitaxy has extended from the elements to the compounds.


Because of increasing complexity in growing high-quality materials useful
for applications, the development of the heteroepitaxy of compound semicon-
ductors is still in its infancy [54, 183, 3384—3386].
The epitaxial growth of III—V and II—VI compounds on oxide substrate
is generally achieved by using two processes: chemical vapour transport
and organometallic processes.
Growth of III—V compounds
Nearly all binary and ternary III—V compounds have been grown on
oxide substrates such as α-Α1203, MgAl204, BeO and Th0 2 . In this section,

407
TECHNIQUES

binary compounds are conveniently classified as nitrides, phosphides, and


arsenides of group III elements, while ternary compounds are divided into
GaAs alloys and other alloys.
" A. The nitrides of group III A elements (A1N [3477, 3478, 5165], GaN
[3475—3494]) have been grown epitaxially on oxide substrates (α-Α1203 and
MgAl204) by using both the chloride transport process and the organometallic
process. Also, ScN has been formed on α-Α1203 by using the chloride process
[3495]. (1120) Α1Ν/(01Ϊ2) sapphire grown by using the organometallic pro-
cess and (1120) GaN/01Γ2) sapphire grown by using the chloride process
have potential applications in surface acoustic wave and electroluminescent
devices, respectively.
B. The epitaxy of group III phosphides (AIP, GaP, InP) and group
III arsenides (AlAs, GaAs, InAs) has only been achieved by using the metallo-
organic process.
AIP is grown on α-Α1203 or MgAl204 using the Al(CH3)3 — PH 3 system,
but the films hydrolize in air [3384, 3386, 3499].
GaP is the most studied phosphide, owing to its potential application
in electroluminescent diodes.
This material has been grown on sapphire, spinel and Si on sapphire
using the Ga(CH 3 ) 3 -PH 3 system [3496, 3497].
InP has been deposited on sapphire using the In(C2H5)3—PH3 process
[3498].
AlAs can be grown on sapphire or spinel by reacting A1(CH3)3 and
AsH3, but the films are unstable in the atmosphere [3499].
GaAs has received intensive study [3500—3512] because it can be
applied to the fabrication of transmission-mode photocathodes (GaAs/sap-
phire [3508, 3511], microwave integrated circuits (GaAs/sapphire) [3386],
microwave Gunn effect devices (GaAs/sapphire) [3386, 3509], microwave
Schottky barrier FETs (GaAs/BeO) [3507], transferred-electron oscillators
(GaAs/spinel) [3386], surface acoustic wave devices (GaAs/spinel) [3386],
and electroluminescent devices (GaAs/sapphire, GaAs/spinel) [3511].
High-quality GaAs films can be obtained using the organometallic pro-
cess [Ga(CH3)3 + AsH3] with the following film/substrate combinations:
(lll)GaAs/(0001) sapphire, (lll)GaA_s/(111)spinel; (lll)GaAs/(100) spinel;
(100)GaAs/(110)spinel; (100)GaAs/( 1122) beryllia; and (lll)GaAs/(10fl)
beryllia.
InAs films have been achieved on sapphire by using the reaction be-
tween In(C2H5)3 and AsH3 [3513].
C. The heteroepitaxial growth of the antimonides of group III elements
is more difficult than that of the phosphides and arsenides. For example,
the epitaxial growth of AlSb on α-Α1203 by using MOCVD has been unsuc-
cessful, the films obtained being poly crystalline. Thus far, only GaSb has
been grown epitaxially on sapphire using MOCVD [3514].
D. Among the ternary semiconductors, GaAs alloys have been the most
studied because of their potential applications to the realisation of negative
electron affinity photocathodes (in particular GaAssP^ and Ga1_a;Ina;As).

408
SEMICONDUCTING T H I N FILMS

The following compounds have been grown on either (0001) sapphire


or (111) and (110) spinel, using suitable organometallic reactions:
Al^Ga^As [Ga(CH3)3-Al(CH3)3-AsH3] [3499]; G a A s ^ P ^ G a i C H ^ - A s H g -
PH3] [3504]; Ga^In^As [Ga(CH3)3 — In(C2H5)2 - AsH3)] [3498]; and
GaAs^Sb, [Ga(CH3)3 - AsH3 - SbH3] [3504].
E. The successful growth of other ternary compounds is very limited.
Only Ali-sGa^P has been grown on α-Α1203 and MgAl204 using the organo-
metallic process.
Growth of II—VI compounds
More recently, heteroepitaxial growth on (0001) sapphire substrates
of II—VIcompounds[3515] such as cubic (lll)ZnSe, cubic (lll)ZnTe, hexa-
gonal (0001) CdS, hexagonal (0001) CdSe, and cubic (lll)CdTe has been repor-
ted. Also, cubic (11 l)ZnSe can be obtained on (lll)MgAl 2 0 4 and (0001)BeO.
In addition, hexagonal (1120) ZnO has been achieved on (0112) sapphire.
Films can be prepared by using the CVD transport process (ZnO, ZnS
[3516], CdS, CdSe), the OM process (ZnTe, CdTe) or both processes (ZnSe).
Among these compounds, ZnO is potentially useful in integrated optic
and surface acoustic wave devices.
Assessment of the structural, optical and electrical properties of hetero-
epitaxial III—V and II—VI compounds is usually performed by using conven-
tional methods (e.g. X-ray diffraction, X-ray diffraction topography, ellip-
sometry, transmission and reflection spectra, photoluminescence and electro-
luminescence measurements, and measurement of conductivity type, carrier
concentration and mobility), and is of practical importance in device fabrica-
tion.
These measurements allow a comparison between the film and bulk
properties and a suitable choice of the growth parameters affecting heteroe-
pitaxial film characteristics, such as substrate orientation, substrate crystal-
linity and surface preparation, growth temperature, reactor geometry, source
purity, and growth technique.
Heteroepitaxial semiconductor compounds have been successfully applied
to the fabrication of several microwave devices (Gunn diodes, transferred-
electron diodes, Schottky-barrier FETs and quasimonolithic integrated cir-
cuits), optoelectronic devices (negative electron-affinity transmission-mode
photocathodes, and electroluminescent diodes) and acoustic devices (surface
acoustic wave devices).

12.3.3 Heteroepitaxy on Semiconductor Substrates

A large number of heteroepitaxial films has so far been grown on semiconduc-


tor substrates by means of physical and chemical vapour growth proces-
ses [3517 — 3520]. These include group IV semiconductors (e.g. Ge/Si,
Si—Ge/Si, SiC/Si), group III—-V compound semiconductors (e.g. GaAs/Ge,
GaP/Ge, InAs/GaAs, AlP/Si, AlP/GaAs, AIN/SiC, GaP/Si, AlAs/GaAs),
group II—VI compound semiconductors (e.g. ZnS/GaAs, ZnS/GaP, ZnSe/'
GaAs, CdS/GaAs), and miscellaneous semiconductors (SnTe/ZnTe, (PbSn)Te/
PbTe).

409*
TECHNIQUES

In most cases, the semiconductor film-substrate combinations form a


true hetero junction, but there are also some situations when the substrate
(e.g. Si or Ge) plays no active role and merely functions as a cheap rea-
dily available support for a compound film or junction structure.
In general, heteroepitaxy on a semiconductor substrate can be achieved
by using the same chemical processes as those described previously for
homoepitaxial growth or heteroepitaxial growth on insulating substrates.
Elemental semiconductors have not so far proved to be very valuable for
use as hetero junctions. On the contrary, compound semiconductors, especi-
ally III—V compounds, can conveniently be grown in the form of good-
quality heterojunctions and these are of growing practical importance.

12.3.3.1 Group IV Semiconductors

Owing to the high temperature involved, the .growth of heteroepitaxial


silicon layers is only possible on a very limited number of semiconductor
substrates other than silicon itself, such as SiC [3521, 3522] (by means of
silane pyrolysis), and ZnGeP2 and ZnSiP2 [3523] (by means of hydrogen
reduction of SiHCl3). Growth on other substrates which are degraded at
high temperatures (e.g. Ge, III—V and II—VI compound semiconductors)
could be achieved by means of the iodide disproportionation process, as has
l>een investigated for obtaining n-p, n-n or p-pSi/GaP heterojunctions [3524],
Mixed silicon-germanium single crystals can be grown on both Si [3525—
3530] and Ge [3531] substrates by using either hydrogen reduction of
SiCl4 and GeCl4 or decomposition tof silane and germane. In epitaxially
grown Si—Ge alloy layers on an Si substrate, various kinds of crystal imper-
fections originating from atomic radii misfit and inherent to the material
or caused by the growth process and controllable by using proper work-
ing parameters have been found.
Closed-tube and open-tube iodide disproportionation systems as well
as GeH4—H2 and GeCl4—H2 systems have been successfully employed to
grow heteroepitaxial germanium layers or semiconductors such as Si [3532—
3539], III—V compound semiconductors (e.g. GaAs [3540—3549], GaP[3550],
GaAsP [3551], etc.), and II—IV—V compound semiconductors (e.g. ZnGeP2,
ZnSiP2, ZnSiAs2 [3552].
The growing of heteroepitaxial ß-SiC on silicon substrates was achieved
"by means of Si(CH3)2Cl2—H2, SiCl4—CC14—H2, SiCl4—C3H8—H2, and
Si(CH3)4—He systems (see Section 12.2.2.3).
Group IV semiconductors have so far not proved to be very valuable
in heterojunctions. A few applications of Ge/GaAs heterojunctions have
T)een reported in the fields of microwaves (IMPATT diodes) [3549] and opto-
electronics (photodiodes) [4860]. SiC heterojunctions are also potentially
useful for electronic and optoelectronic devices [2575].

12.3.3.2 Compound Semiconductors

Heterojunctions made of semiconductor compounds are of both scien-


tific interest in the development of hetero junction theory, and practical
importance, for device applications.

410
SEMICONDUCTING T H I N FILMS

He tero junctions can be abrupt, when the materials of the film-substrate


combination have different structural symmetry and are not miscible
(e.g. GaAs/Ge) and graded, when the semiconductors are isostructural and
mutually completely miscible (e.g. GaP/GaAs). Good quality he tero junctions
are obtained, in general, if the two materials involved have almost iden-
tical thermal expansion coefficients and lattice parameters. In some cases,
it is sufficient to match the thermal expansion even though there is
a larger difference in lattice constant (e.g. ZnS on GaP or GaAs forms
good quality heterojunctions, while ZnS on Si tends to crack). In other
cases, chemical compatibility and similar crystal structure are necessary.
In most heterojunction devices, the substrate plays an active role, but there
are situations when it acts merely as an economic support or only a means
to offer better characteristics of the device formed in the upper portion of
the epitaxial film. In the following, the main types of heterojunctions formed
by III—V, II—VI and other compound semiconductors will be presented.

A. Growth of binary III—V semiconductor heterojunctions


The boron—V family heterojunctions consists of the following film-sub-
strate combinations: BN/Si, BN/Ge, BP/Si ΒΡ/α-SiC, and BAs/Si. B P
and BAs p-n and n-p heterojunctions prepared by using the BgHe-PHg
and B 2 H 6 —AsH 3 systems are potentially useful for photovoltaic applications.
Heterojunctions described for the aluminium class of III—V compounds
are: A1N/Si, AIN/SiC; AlP/Si [3553, 3554]; AlP/GaAs [3553]; AlAs/GaAs
[3554] ;AlSb/GaAs [2852] and AlSb/GaSb [2851]. These are prepared
by using the hydride or organometallic process. n-AlAs/p-GaAs heterojunc-
tions grown from AI—HC1—AsH3 system are abrupt both metallurgically
and electrically and have been used to fabricate large area high efficiency
solar cells [2850].
Heterojunctions of the gallium class of III—V compounds encompass
a wide range of film-substrate material systems. GaN has been grown on
Si, α-SiC, GaAs and GaP substrates; GaP on Si [3556—3562], Ge [3563,
3564] and GaAs [3565-3576]; GaAs on Si [3577-3588], Ge [3589-3598], and
GaP [3599]; and GaSb on Ge [3600] and GaAs.
The heteroepitaxial growth of GaP on Si substrates is of great interest,
since it would permit GaP LEDs to be integrated with Si devices. The depo-
sition occurs by means of two processes: the halide transport process [Ga—
PC1 3 —N 2 —H 2 ] at 800—850° C and the organometallic process [Ga(CH 3 ) 2 —
P H 3 - H 2 ] at 700-1000° C.
Heterojunctions of In—V compounds have been achieved on the follo-
wing systems: InP/Ge [3601]; InP/GaAs [3602—3606]; InP/InAs [3607];
InP/CdS [3608, 3609], InP/(InGa)As/InP [3107], and InAs/GaAs [3610].
InP/(InGa)As/InP heterojunctions, obtained by means of hydride
processes, are applied to the fabrication of photodetectors having sensitivity
in the 1 — 1.6 μιη wavelength region such as avalanche photodiodes, switching
photodiodes, and photodiodes of small capacitance [3107].
InP/CdS heterojunctions can be used for the fabrication of efficient pho-
tovoltaic conversion devices. I n P is obtained at temperatures as low as 450° C
by using PH 3 , HC1, and In as reactants [3608].

411
TECHNIQUES

B. Growth of ternary III—V semiconductor heterojunctions


A wide variety of heterojunctions made of III—V alloys has been obtained„
such as (AlGa)As on Si [3611], GaP, and GaAs [3612]; Ga(AsP) on Ge [3613-
3616], GaP [3617], and GaAs [3618-3623]; (Galn)As on GaAs, InAs, and
In(AsP)/InP; Ga(AsSb) on GaAs and InP; (Galn)P on GaP, GaAs, InP,
and InAs; (InGa)P on (InGa)As/GaAs; (Alln)P on GaAs and (Galn)P/
GaAs; In(AsP) on GaP, GaAs, InP, and InAs; Ga(AsSb) on GaAs; (GaAl)Sb
on GaAs and InAs; In(AsSb) on GaAs; and (GaIn)(AsP) on GaAs and GaP.
These heterojunctions have numerous optoelectronic applications, i.e.
for the fabrication of lasers [(GaAl)As/GaAs, (InGa)As/GaAs, (GaIn)P/GaP,
(AlIn)P/(GaIn)P/GaAs; (Gain)(AsP)/GaAs or GaP], LEDs [(GaAs)P/GaAs
and GaP, (Gain)As/GaAs; (GaIn)P/GaP, (Gain)(AsP)/GaAs or GaP],
solar cells [Ga(AsSb)/InP, (Gain)P/GaAs]; photodiodes [(GaIn)As/InP„
(Gain)(AsP)/GaAs or GaP]; and photocathodes [(GaIn)As/GaAs, (InGa)
P/(GaIn)As/GaAs, (GaIn)As/In (AsP)/InP, (GaIn)(AsP)/GaAs].
C. Growth of II—VI semiconductor heterojunctions
In addition to III—V ccmpounds, II—VI compounds have been grown
heteroepitaxially on Si, Ge, III—V compounds, and II—VI compounds.
The CVD growth of II—VI compounds has not been as extensively studied
as that of III—V compounds, because evaporated polycrystalline layers are
sufficient for many applications.
ZnO heterojunctions (e.g. n-ZnO/p-Si [3254] and n-ZnO/p-CdTe [3254])
are applied to the fabrication of solar cells.
ZnS forms heterojunctions with Si, Ge [3624], GaAs, GaP [3625, 3626]
and CdS [3627, 3628] substrates.
ZnSe heterojunctions achieved are ZnSe/Ge [3629, 3630], ZnSe/GaAs
[3631, 3632], and ZnSe/GaP [3633].
ZnTe heterojunctions prepared by using CVD processes are obtained .
on Ge, InAs [3634], ZnSe [3635, 3636], and CdS [3637] substrates.
CdS forms two important heterojunctions: n-CdS—p-CdTe and n-CdS—
p-InP which are used in solar energy conversion.
It can also be deposited on Ge [3638—3640], GaAs [3641 — 3643], ZnS
[3644], and ZnTe [3645] substrates.
CdSe is usually deposited on CdS and ZnTe substrates. (OOOl)CdSe/
(111) ZnTe [3646] is potential useful for LEDs.
CdTe is prepared heteroepitaxially on Si [3647], CdS [3648], and GaAs
substrates.
HgTe grown on CdTe substrates is used for the fabrication of infrared
detectors.
Zn(SSe) forms heterojunctions of the type n-Zn(SSe)/p-GaAs which
are used in photovoltaic applications.
D. Growth of miscellaneous semiconductor heterojunctions
CVD growth of heterojunctions formed by some II—IV—V and II—V—VI
compounds (e.g. ZnSiAs2/Ge or GaAs [3649] and CuInS2/GaP [3650]) as
well as oxide semiconductors (e.g. Sn0 2 /Si [3651], Sn0 2 : Sb or YYOfepi-Si

412
SEMICONDUCTING T H I N FILMS

or poly-Si[5U9], Sn0 2 /Ge [5112], and Sn02/GaAs [5112])has beenreported


so far. These combinations have enabled efficient solar cells to be
fabricated.

12.4 Polycrystalline Semiconducting Thin Films

12.4.1 Iij^troduction
The deposition of semiconductor material as polycrystalline film is useful
in a number of device applications. CVD has also become the main techno-
logical method for preparing polycrystalline semiconductor films since it
shows many advantages in comparison with other modern methods of film
deposition such as evaporation, sputtering, etc. Indeed, such films of
both elemental and compound semiconductors are obtained at relatively
high deposition rates and either low or high temperatures in simple
and cheap equipment mainly by using NPCVD, LPCVD, or PECVD.
The CVD technique also provides the reproducibility and control
of the thickness, uniformity, purity, composition, doping level and crystal-
linity, which are essential for device applications. Polycrystalline semicon-
ductor films are generally obtained under the same conditions as those for
the deposition of epitaxial films, except that the substrate temperature is
lower. At the same time, a much wider range of both non-crystalline
and crystalline substrates and higher deposition rates can be used. For some
applications, the films are deposited without the addition of dopant impuri-
ties, but when necessary they can be intentionally doped with p-type or n-
type impurities by using in-situ co-deposition, or subsequent high temperature
diffusion, or ion implantation.
The properties of polycrystalline films are generally inferior to those of
single-crystal films and bulk monocrystalline materials. For example, the
lower electric conduction in these films is caused by structural imperfections
such as grain boundaries which reduce the carrier concentration mobility and
lifetime. Also, dopant impurities diffuse into polycrystalline films much more
rapidly than into single-crystal films. The major factor that determines
properties of polycrystalline films such as electrical conductivity, carrier mobi-
lity and lifetime, diffusion of impurities, stability, oxidation rate, etc. is the
crystal structure, namely the degree of ordering and crystallite size. On the
other hand, the crystal structure depends on many factors: the CVD techni-
que, nature of reactants, deposition rate, film thickness, concentration of
dopants, deposition temperature, surface condition of the substrate, and na-
ture of the substrate. Consequently, the properties of polycrystalline films
can be correlated with the deposition parameters. Thus, by controlling
these parameters, especially temperature and impurity doping levels, poly-
crystalline films having a wide range of properties can be prepared. Presently,
the most important applications of CVD polycrystalline semiconductor films
are in the field of microelectronics and optoelectronics.
The purpose of this section is to give a brief survey of the preparation,
properties and device applications of CVD polycrystalline films. These films

413
TECHNIQUES

are divided, according to their field of application, into two main classes:
films used in semiconductor silicon technology (mainly polycrystalline silicon)
and films used in the fabrication of devices suitable for photovoltaic energy
conversion (elemental and compound semiconductors such as Si, Ge, GaAs,
AlAs, I n P , CdS, etc.).

12.4.2 Polycrystalline Silicon

In the last fifteen years, polycrystalline silicon has' been widely used in semi-
conductor silicon technology [3672—3764]. Good surveys of the preparation,
properties, and applications of polycrystalline silicon have been given by
Kamins [3652] and Adams [3653]. Although some work on vacuum evaporated
silicon films has been presented in the past, most of the films used today
in industrial applications are prepared by means of CVD.
The growth of polycrystalline silicon is usually performed in a horizontal
system, at atmospheric pressure [3654—3658], on a substrate covered with
Si0 2 (or Si 3 N 4 [3654]), either at relatively low temperature (500—700° C) using
silane (SiH 4 ) in an inert gas He, Ar, or N 2 , or at higher temperature (of the
order of 900° C) using silane with a hydrogen carrier gas. Growth from dichlo-
rosilane (SiH2Cl2) in nitrogen or hydrogen requires temperatures in excess of
750° C. More recently, poly-Si has been deposited advantageously by means
of LPCVD in a hot-wall reactor from both 100% SiH 4 and SiH 4 diluted
with a carrier gas such as N 2 at deposition temperatures in the range 600
- 9 0 0 ° C and pressures of 0 . 1 - 1 torr [204-206, 303, 3659-3673].
PECVD can also be used to deposit poly-Si from both SiH 4 and SiH 2 Cl 2
at 450° C [3672, 3674-3676].
LCVD may become an interesting alternative to the above conventional
CVD techniques if the direct generation of material patterns is desirable.
Poly-Si can be obtained by using a C 0 2 (or Ar + ) laser for either pyrolytic
decomposition of SiH 4 and SiCl 4 or photolytic decomposition of SiH 4 [374—
378, 4 0 5 - 4 0 7 ] .
There is an increasing number of theoretical and experimental basic
studies referring to the nucleation as well as thermodynamics and kinetics
of the growth of this technologically important material.
The characterization of the poly-Si includes the main properties of
interest for semiconductor applications such as the crystal structure [592,
809, 3677 — 3685] and the electrical [3686—3702] and chemical [3703 — 3708]
properties.
The crystal structure of both atmospheric-pressure and low-pressure CVD
poly-Si films has been investigated by means of X-ray diffraction and TEM.
The texture (the relative amounts of {111}, {110}, and {100} orientations in the
films), the grain structure (equi-axed or elongated crystals) and the grain
size (0.02—0.3 μηι in submicron films and up to 1.5 μηι in micron films)
have been found to depend to a great extent on experimental parameters
such as deposition temperature, growth rate, film thickness, diluent gas,
system pressure, substrate type, and annealing temperature [809].

414
SEMICONDUCTING T H I N F I L M S

The electrical properties (resistivity or carrier concentration [3686,


3693-3702], mobility [3686, 3689, 3692] and lifetime [3652]) have been found
to be relatively independent of the deposition conditions.
Films deposited without intentional doping from silane are generally
p-type (unlike epi-Si films, which are n-type) and have resistivities in the
105 — 106Ω cm range. Poly-Si resistivity is determined by the presence of
grain boundaries in the film. It can be changed by intentional doping w i t h B , P,
or As atoms until approaching a value similar to that obtained in epitaxial
films.
Carrier mobility as obtained by means of Hall measurement [3689] dif-
fers greatly from the epitaxial values only at intermediate dopant concen-
trations.
Minority-carrier lifetime in poly-Si is only of the order of 20—300 ps
[3652], a value several orders of magnitude lower than those of homoepitaxial
silicon, because of the presence of structural defects.
The electrical properties of poly-Si can be explained by means of two
models, taking into account the presence of large defect densities associated
with grain boundaries: the dopant-segregation model [3687, 3688, 3695] and
the dopant-trapping model [3689—3692]. The first model assumes that the
dopant atoms tend to segregate at grain boundaries, while the second model
suggests that the dopant enters the lattice substitutionally but the carriers
become trapped at grain boundaries. Only after the compensation of defects
associated with grain boundaries will an appreciable number of mobile carriers
appear, contributing to the conductivity of the film.
Among the chemical properties studied, the most important are doping
during deposition (using B 2 H 6 , PH 3 , and AsH 3 ), diffusion (using BBr 3 , POCl 3 ,
and PBr 3 sources), ion implantation (with P, B, or As ions), and oxidation.
Diffusion of impurities in poly-Si [3703] occurs faster than in single-crystal
silicon, being a function of deposition parameters such as growth rate, film
thickness, substrate material and growth temperature. Diffusion of impuri-
ties from poly-Si in Si substrates has also been studied for its potential tech-
nological applications [2037—2041, 3704—3707].
Oxides grown on poly-Si have been found to have higher conductivity
and an increased number of premature dielectric breakdowns and initial
shorts as compared with the oxide grown on single-crystal silicon. In addi-
tion, poly-Si films becomes rough during oxidation; the oxide has thickness
undulations and there is appreciable intergranular oxidation [2168—2178,
3708].
Recrystallization of annealed or ion-implanted poly-Si [1096—1138]
has been used to increase the grain size of films in order to minimize the detri-
mental effects of grain boundaries on device operation. On cooling a fine-
grained polysilicon layer melted using an intense laser or electron beam, the
material recrystallizes into large-grained polysilicon. The recrystallized poly-
silicon has many improved properties, permitting the realisation of novel
vertical device structures such as MOS transistors and integrated circuits.
Also, poly-Si films amorphized by means of ion implantation can be recrys-
tallized into monocrystalline films using solid-phase epitaxy, a low tempera-
ture process.

415
TECHNIQUES

Various properties of poly-Si, such as electrical resistivity, thermal


conductivity, and piezorezistivity, have been used in the fabrication of a
large number of industrially important devices [3709—3743].
Poly-Si films have mainly been applied as gates in MOS field effect
transistors and integrated circuits (0.1—0.5 μπι film thickness), insulating
layers with high resistivity or low resistivity layers as diffusion sources
(film thicknesses up to 1 μπι), optical layers for solar cells and solar absor-
bers (10—100 μπι thick films), and dielectric insulation support for certain
integrated circuits (very thick layers of the order of 100—200 μπι). Other
applications include very high value resistors for memory circuit applications,
piezoresistive material on metal for strain gauge transducer, field plates in
CCDs, and resistive or interconnection material in ICs (Table 16.1).
Semi-insulating poly crystalline silicon (SIPOS) [3745—3757] is impor-
t a n t because of its use as a primary passivation layer of planar devices in-
stead of the conventional thermal Si0 2 . The SIPOS films are CVD poly-Si
doped with oxygen or nitrogen atoms. They can be prepared at atmospheric
(or low [3750]) pressure using the SiH 4 —N 2 0—N 2 or SiH 4 —NH 3 —N 2 systems
in the temperature range 500—650°C. Passivation with SIPOS has been applied
to high-volt age/high-power rectifier diodes and transistors.

12.4.3 Other Polycrystalline Semiconductors

The current interest in CVD films of polycrystalline semiconductors


derives primarily from their utilization in the field of photovoltaic energy
conversion.
As a result, in the last years a wide variety of polycrystalline semicon-
ducting films intended for solar cell applications (Si [3758—3764], Ge [3765,
3766], GaAs [3767-3779], AlAs [3780, 3781], I n P [3782-3785], CdS [ 3 7 8 6 -
3788], S n 0 2 [3789, 3790], ITO [3791], Zn 3 P 2 [3792-3796], ZnO [3797, 3798],
ZnS 1 ^Se a .[3799], CdCr 2 S 4 [3800], ZnSiAs 2 [3801], etc) has also been extensively
investigated.
Si layers useful in photovoltaic applications having controlled electrical
resistivity are deposited on metallurgical silicon substrates (or other low-
cost substrates), eventually in continuous deposition reactors [3764]), using
the thermal reduction of trichlorosilane (SiHCl 3 ) in the presence of appropriate
dopants (PH 3 or B 2 H 6 ) at 1100—1150°C [3762].
A large grain size of at least 100 μπι is usually obtained b y recrystallizing
the material after heating at 1350°—1450°C.
Ge films, deposited on graphite substrates from the GeCl 4 —H 2 system
at 700—900°C, are p-type (undoped) and n-type (when doped with PH 3 )
[3765].
These films serve as an ideal substrate for the deposition of ^oZy-GaAs
with a view to obtaining the solar cell structure.
GaAs in polycrystalline-film form is a potential candidate for low-cost
solar cells, having a Schottky diode structure. These films have been depo-
sited on Mo, W, Ta, Nb, and graphite substrates using the halogen (or water-

416
SEMICONDUCTING T H I N F I L M S

vapour) assisted transport/synthesis system (Ga—HC1—AsH3—H2) [3768,


3769] and the OMCVD process [3770—3779]. They usually require grain
boundary passivation. Large-grain ( > 5 μιη) structures can be obtained by
using thermal recrystallization or laser annealing at temperatures lower than
the melting point of GaAs.
AlAs is a promising material for low-cost solar cells formed from poly-
crystalline AlAs/GaAs heterojunctions. Grown and diffused junctions of n-p
and p-n type are realized on graphite substrates. Polycrystalline layers of
AlAs (and of GaAs) are deposited by means of the hydride process at 1000—
1100°C [3780, 3781].
InP polycrystalline films are deposited on various insulating and
conducting substrates (e.g. glass, carbon, Mo) with a view to fabricating solar
cells with hetero junctions (e.g. n-CdS/p-InP). Depositions take place usually
at 600°C in the In—PC13—H2 system [3782—3784] and at 410—620°C in the
I n - H C l - P H 3 - H 2 system [3785].
The undbped layers are n-type, but p-type conduction can be obtained by
using dopants such as CdCl2, Cd, Zn, or ZnCl2.
CdS polycrystalline films have been obtained on InP or ftoly-InP/Mo
substrates using the hydrogen transport of CdS at a substrate temperature
of about 500-600°C [3786-3788].
CdTe films have been obtained on ceramic or CdTe substrates by using
the Cd—Te—H2 system at 500—700°C [3321] and on glass substrates by spray-
ing a solution of CdCl 2 -Te0 2 at 425°C [197].
Sn0 2 films of polycrystalline structure have been prepared on Si, Ge,
and GaAs substrates using the spray pyrolysis of SnCl4 aqueous solution at
atmospheric pressure at 300°C [3789, 3790, 5112]. SP has also been used to
prepare ITO films on single-crystalline n-type Si substrates.
Zn3P2 in thin p-type polycrystalline films have been grown by using
close-spaced vapour transport on mica substrates coated with films of Fe and
Si [3793]. Schottky-barrier, he teroj unction, and homojunction solar cells can
be prepared (Mg—Zn3P2, ZnO—Zn3P2, and n-Zn3P2—p-Zn3P2. These films can
also be obtained using the Zn—P—H2 system at 400—600°C on W/steel sub-
strate [3792] and the MOCVD [3795]. Zn3P2 films are also useful in the fabrica-
tionofZn 3 P 2 -ITO solar cells [3796].
ZnO films of polycrystalline structure oriented along the c-axis have
been deposited on glass by using the oxidation of diethylzinc at 200—500°C
[3797, 3798]. They can be used in solar cells, varistors, surface acoustic wave
devices, and in the diffusion doping of GaAs.
ZnS^Se^ polycrystalline films useful for LEDs have been produced
by means of CVD from zinc vapour and appropriate mixtures of H 2 S and H2Se
gas at reduced pressure and at temperature of 600—1050°C [3799].
ZnSiP2 films have been obtained on GaP and Si substrate by using the
Zn-SiCl 4 —PH 2 -H 3 system at 850°C [3376].
ZnCr2S4 films can be prepared on sapphire and spinel substrates by
means of the Zn—CrCl3—S—He system at 740°C [3378].

417
TECHNIQUES

12.5 Amorphous Semiconducting Thin Films

12.5.1 Introduction

Amorphous semiconducting thin films are of increasing scientific and techno-


logical interest [3802—3817]. The study of amorphous structure, energy-
band structure, optical and electrical properties, and other phenomena in
amorphous semiconducting layers is important for clarifying numerous fun-
damental problems in the field of semiconductors. On the other hand, the uni-
que properties of amorphous semiconductors and the advantages of producing
them by means of thin-film processes, which are capable of integration with
other solid-state technologies, have enabled the application of these mate-
rials in various devices.
Presently, the CVD method, mainly PECVD, tends to be used instead
of conventional evaporation or sputtering in the preparation of various amor-
phous semiconducting films. This deposition method yields primarily a-Si
layers which have many useful structural and electro-optical properties.
The deposition of other amorphous semiconducting layers, such as a-C, a-Ge,
tf-SiC, <z-GaP, #-GaAs, α-CdTe, Si—As—Te chalcogenide glassses, and
others, has also been achieved by means of CVD.

12.5.2 Amorphous Silicon

Amorphous silicon films containing hydrogen have been the subject of exten-
sive research because of their excellent electrical and optical properties,
which are especially attractive for solar cell application.
Many excelent reviews on these topics have already been published
[3802-3807, 3818-3828].
Amorphous silicon has been deposited with the greatest success by means
of CVD methods [3829—3962]. Non-CVD techniques used, such as vacuum
evaporation in the presence of H 2 , hydrogenation of evaporated and sputter-
ed films, and argon-hydrogen reactive sputtering, have only very limited
applicability.
The most widely studied technique for preparing this material is the
decomposition of monosilane in a glow discharge plasma. By means of this
process, a material is obtained containing a uniform hydrogen concentration
of 10—20 at% across the thickness of the film and a low dangling bond or
spin density of around 1015 cm-3. Deposition usually takes place in either RF
electrodeless discharge systems or RF capacitive discharge systems (Fig. 12.7).
In addition, d.c. [3863], magnetic-field-enhanced [3844], d.c. magnetron
[3846], microwave [3847] electron-cyclotron-resonance (ECR) [3848], and
remote [3852 ]glow discharge systems can be used.
a -Si: H has been deposited by means of a glow discharge from a num-
ber of gases, including pure silane [3829 — 3836, 3845], silane-noble gas mix-
tures [3837], silane-hydrogen mixtures [3838, 3842, 3843], silane-nitrogen
mixtures [206], disilane [3839, 3845], and disilane-helium mixtures [3841]. Two

418
SEMICONDUCTING THIN FILMS

1 1 1 liläLi'

N2 S/W4 Bjftfe PH^2 (b

Fig. 12.7 Capacitively-coupled diode system (a), and inductively


coupled system (b) used for a-Si preparation from SiH 4 (or other
silicon-containing gases):
1 — R F generator; 2 — quartz reactor; 3 — substrate; 4 — resis-
tance heater of substrate; 5 — vacuum p u m p ; 6—vacuum gauge.

related alloys, such as hydrogenated fluorinated amorphous silicon [a-Si:


(H, F)] and hydrogenated chlorinated amorphous silicon [<z-Si:(H, Cl)]have
been deposited from SiF4—H2 [3853—3867] (or SiF 2 [3862], Si2F6 [3865],
SiH2F2 [3866], S i F 4 - S i H 4 [3866]) and SiCl 4 -H 2 [3868-3871] (or SiH 2 Cl 2 -H 2
[3872]) mixtures, respectively.
Amorphous silicon films have also been prepared using the following
CVD techniques: (a) conventional atmospheric pressure CVD from SiH4—H2
at deposition temperatures under 650°C [3873—3880] and Si2H6 at 450—
500°C [3881 — 3888]; (6) homogeneous CVD from pure SiH4 in a furnace
-heated reactor, with gas temperatures higher than 550°C and substrate
temperatures much lower, in the range 100—400°C [3893—3898]; (c) CVD
600°C
from SiF2 gas at 600°C using the transport reaction: 2SiF2 (g) « o»
Si (s) + SiF 4 (g) [3889-3892]; (d) d.c. glow discharge in a plasma of SiF2 +
SiF 4 on substrates held at 200-400°C [3863, 3864]; (e) LPCVD from SiH4
at about 550°C [3899, 3900]; (/) photo-CVD based on the mercury photo-
sensitized decomposition of monosilane and disilane at 200—400°C [344—348,
361 — 364]; and (g) LCVD at room temperature by irradiating silane with
a pulsed C0 2 laser [379-382, 408-415].
The technological importance of a-Si: H films has led to considerable
interest in the reaction mechanism [3901 — 3911]. Study of the gas phase during
glow-discharge deposition of such films has been performed using mass spec-
trometry [3901 — 3904], optical emission spectroscopy [3902], infrared vibra-
tional spectroscopy [3909], infrared emission/absorbtion spectroscopy [3908],
laser-induced fluorescence [3910], and coherent anti-Stokes Raman spectro-
scopy (CARS) [3905 — 3907], among other methods.

419
TECHNIQUES

The structural, compositional, physical, optical and electrical properties


of a-Si: H films have been extensively investigated [3912—3929]. Structural
investigations carried out by means of X-ray diffraction, electron microscopy,
etc. showed that a-Si: H has only a relatively low number of structural defects
such as voids. The amount of hydrogen has been determined by using various
technique including wet chemical and microprobe analysis, infrared and
Raman spectroscopy, nuclear reactions involving protons, mass spectrometry
of thermally evolved hydrogen, and secondary ion mass spectrometry [3820,
3919].
The density of a-Si: H films has been measured in order to appreciate
the porosity of the material [3917]. Optical investigations include IR spectra
(which exhibit two peaks at about 2100 and 2250 cm -1 atributable to Si—H
and SiH2 groupings); absorption spectra [from which the optical Eg= 1.6 —
1.8 eV can be determined by plotting (a^v) 1/2 as a function of the photon
energy Av], and photo- and electroluminescence [3826, 3918].
Electrical investigations consist principally of measurement of resisti-
vity (1011—105 Ω cm for undoped layers and a minimum of 102 Ω cm for p- and
n-type doped layers) [3830], Hall carrier mobilities [3914], and photoconduc-
tivity (reversible conductivity changes on exposure to light ) [3927].
The main applications of a-Si: H films [3930—3959] include the fabrica-
tion of the following devices: solar cells (with Schottky-barrier, MIS, and
p-i-n structures), diodes, thin-film transistors, light emitting diodes, photo-
conductivity cells, photodetectors and photometers. Films of a-Si: H have
also been used as passivants for p-n junctions in crystalline silicon, nonlinear
elements for liquid crystal display addressing, tunnelling barriers in Josephson
tunnel junctions, selective heat absorbers in photothermal solar collectors, etc.

12.5.3 Other Amorphous Semiconductors

Miscellaneous amorphous semiconductors [3963], such as a-B: H [3964—


3966], a-C: H [3967-3989], a-Ge: H [3990-3997], a-Si: B: H [3998, 3999],
a-Si: Ge: H [4000, 4001], α-Ge: C: H [4002], a-Si: Ge: B[4004, 4006],*-SiC: H
[4006-4022], a-As: H [4023, 4024], <*-GaP [315], a-GaAs [314], various dial-
cogenide glasses [4025 — 4029], etc., have been prepared by means of CVD
techniques. Useful heterojunctions containing various amorphous semicon-
ductors have also been obtained [4030].
a-B: H has been prepared by using the pyrolysis of B2H6 at 300—600°C
[3966], the glow discharge decomposition of B2H6 without or with addition of
dopants (e.g. SiH4 or C2H4) at 350°C [3964, 3965], and LCVD from TMB [392].
a-C: H films have been obtained by using RF plasma deposition from
benzene vapour (fluorinated benzene [3976], or CH4 [3977, 3980, 3983]) on
glass, quartz, Si, Ge, SiC, and GaAs at 40°—140°C [3967, 3968,3972, 3976].
Plasma-assisted CVT of solid C in H 2 [3984, 3985] and LCVD from C2H2—H2
system[374, 401, 402, 449] have also been used. a-C: Hfilms are highly electri-
cally insulating, harder than sapphire, and optically transparent in the infrared.
As a result, they have potential applications either as protective and antireflec-

420
SEMICONDUCTING T H I N F I L M S

tive coatings for I R optical elements and Si solar cells or as coatings for
improving the wear resistance of various metals [3968].
a-Ge: H has been obtained by using R F plasma deposition from GeH 4
[319, 3990-3997].
α-Si: B : H has been formed by decomposing a gas mixture containing
SiH 4 and B 2 H 6 in plasma in a capacitive system, at substrate temperatures
of 25-275°C [3998] and by LPCVD using the same system [3999].
α-Si: Ge: H films have been obtained by means of plasma decomposi-
tion of a S i H 4 - G e H 4 mixture [4000, 4001] and by UVCVD from S i F 4 - G e F 4 -
H 2 system [4001].
a-Ge: C: H have been produced with an R F glow discharge from GeH 4 —
C H 4 - H e mixtures [4002, 4003, 4006].
α-Si: Ge: B ternary films have been prepared by thermally decomposing
a SiH 4 —GeH 4 —B 2 H 6 mixture in a low pressure furnace at 450°C [4004,
4005].
α-SiC: H films can be fabricated by using the plasma decomposition of
a gas mixture SiH 4 and hydrocarbon (e.g., CH 4 , C 2 H 4 ) at 200°C [319, 3990—
3997, 4006—4022]. Such films can be doped with B 2 H 6 or P H 3 to produce
photoelectronic properties suitable for use in heterojunction solar cells
having an α-SiC: H /a-Si: H structure. UVCVD from Si 2 H 6 — C 2 H 2 [Si(CH 3 ) 2 H 2 ]
—B 2 H 6 systems has also been employed [347].
a-P has been prepared by plasma decomposition of P H 3 [214, 4023].
α-As: H can be formed by pyrolysis or plasma decomposition of AsH 3
[321, 3996, 4024].
α-GaP and α-GaAs have been prepared b y using R F glow discharge in a
diode system at 300—350°C from Ga(CH 3 ) 3 —PH 3 and Ga(CH 3 ) 3 — AsH 3
systems [315].
Amorphous chalcogenide glasses such as the Si—As—Te system have
been prepared by transporting sources of Si + Te, As and Te with hydrogen
Seated at 445, 455, and 560°C on sapphire substrates maintained at 300°C
in a furnace.
The grown films are optically and structurally equivalent to those obtain-
ed by means of vacuum methods [4025].
Chalcogenide glasses can also be prepared by using PECVD [4027].
Zn chalcogenides have been obtained by means of CVT starting from
elemental source materials [4028].
Binary chalcogenides such as Ge—Se or As—S/As — Se have been grown
by using PECVD in the GeCl 4 -Se 2 Cl 2 [4029] and A s H 3 - H 2 S (H 2 Se) systems
[317], respectively.
Hetero junctions containing amorphous semiconductor films can be divid-
ed into three categories: (a) heterojunctions between a-Si and a-Si-based
alloys (α-SiC: H/a-Si, a-SiC: B : H/a-Si, a-SiC: H/a-Si: H, a-SiC: B : H /
a-Si: H, a-Si 3 N 4 : H/a-Si: H, a-Si Ge: H/a-Si: H ; (b) heteroj unctions between
a-Si and α-Ge (a-Si: H/a-Ge, a-Si/a-Ge); and (c) pseudohetero junctions obtained
by growing an overlayer of a given amorphous material on a crystalline or
hydrogenated amorphous substrate of the same material (α-Si: H/a-Si, a-Si/
c-Si, a-Ge/c-Ge) [4030].

421
13
Preparation and Properties of Amorphous
Insulating Thin Films

13.1 Introduction

In processing semiconductor devices by means of planar technology, insulat-


ing thin films play numerous important roles. These are primarily used as
dielectrics, diffusion masks and passivation layers. Because of this importance,
the preparation and characterization of insulating thin films has been exten-
sively investigated.
There are essentially two different classes of insulating films: inorganic
amorphous materials, such as single oxides, silicate glasses and nitrides, and
organic polymers. Another classification of such films divides them into two
categories: temporary films, which are employed only in the processing of
the device, do not appear in the finished device, and whose electrical behaviour
is not important in the finished device; and permanent films, which are pre-
sent in the finished component and which play a key role in the electrical
operation of the component. Temporary dielectric films include diffusion
sources (e.g. PSG and BSG), doping diffusion masks (e.g. Si0 2 , Si3N4, A1203),
chemical etch masks (e.g. Si0 2 layers used to pattern Si3N4 films), etch stop
and control layers (e.g. Si3N4 and Si0 2 ), ionic contaminant getters (e.g.
PSG, Si3N4), and selective oxidation masks (e.g. Si3N4).
Permanent dielectric films serve several functions in semiconductor
device technology. They act as dielectric insulators, gate dielectrics in MOS
structures, capacitor elements, semiconductor surface passivators, encapsu-
lators, metal interconnection protectors, interlevel insulators for multilayer
metallization, radiation protectors, and antireflective coatings in solar cells.
Insulating films suitable for semiconductor microelectronics should meet
several functional requirements:
— good dielectric properties (i.e. high dielectric strength, high electrical
resistances, high dielectric constant and low dissipation factor), because these
films are usually employed as electrical insulating layers in many devices;

422
A M O R P H O U S I N S U L A T I N G T H I N FILMS

— high structural quality (i.e. the absence of localized defects) to assure


maximum utility of the layer;
— high purity (i.e. the absence of contaminants) to prevent the degrada-
tion of device performance;
— controlled chemical composition (i.e. stoichiometric composition)
to obtain optimum layer properties;
— appropriate mechanical properties, such as low intrinsic stress, maxi-
mum density, increased adherence to substrate or underlying layers, good
thickness uniformity and conformal coverage are important to obtain layers
which are uncrackable at high temperatures, non-porous, undetachable
from the substrate or other layers, uniform and precisely delineable by means
of chemical etching, and continuous;
— suitable optical properties (i.e. optimum refractive index) for films
employed as anti-reflective coatings in photovoltaic cells;
— adequate electrical properties (i.e. low mobile and trapped charged
densities maintaining or reducing semiconductor surface state density and
electrical isolation), because these films are frequently used as electrically
passivating layers in semiconductor devices;
— best possible chemical properties (i.e. diffusion masking, gettering
action for contaminants, chemical passsivation), since the dielectric coating
is often also used as a diffusion barrier, a gettering agent, or a chemical passi-
vant; in addition, good chemical etchability for nearly all layers which must
be formed into patterns by means of photolithography, and chemical inertness
to avoid the attack of metallization or other device component materials.
Chemical vapour deposition (NPCVD, LPCVD, PECVD) is the most
versatile and widely used technique for forming dielectric films in the fabri-
cation of semiconductor devices. By means of this technique a wide variety
of films can be formed on any substrate that can tolerate the deposition tem-
perature. CVD is more compatible with overall device processing procedure
and produces films having better characteristics for applications than any
other method. For example, reactive or RF sputtering, which can also be
used for depositing dielectric layers on semiconductor devices, are generally
limited to certain applications and to devices that are not degraded by plasma
treatment.
Several detailed reviews are available that describe the preparation,
properties, and application of dielectric films in microelectronics [12,14—16,
20, 21, 84-92, 3653, 4031-4033].
The purpose of this chapter is to discuss briefly the current status includ-
ing the recent progress in the field of insulator film deposition by means
of CVD. Insulating thin films are conveniently divided into inorganic (oxi-
des, binary and ternary silicates, nitrides and oxynitrides) and organic
(polymers) materials. Si0 2 and Si3N4 films will be covered more thoroughly
because of their importance; in general, many of the methods for their pre-
paration and characterization are similar to those of various insulating oxide
and nitride films.
The properties of dielectric films prepared by various techniques relevant
to device fabrication are summarized in Table 13.1.

423
ft TABLE 13.1
to
Properties of Several Typical CVD Dielectric Films [89, 90]

Solution etch rat e


Re- IR ab- Dielec- Dielec-
frac- sorp- tric tric

3
Deposition Type of
Preparation tive tion con- stren- Ρ etch B H F
No. Film type temperature stress on Reference
method index maxi- stant gth 25°C 25°C
Si wafers

(g/cm )
Density
155°C

(°C) (n a t ma (ε a t (10 6V/ (Ä/S) (Ä/S)


(A/min)

5461Ä) {μτη) 1 kHz) /cm)


85%H3P04

1 SiO a APCVD, 1000-1200 compressive 2.2 1.44- 9.2 3.5- 6-9 2-4 20-25 1 [86]
S i C l 4- C 0 2- H 2 -1.47 -3.9
2 SiO a APCVD, S i H 4 - 0 2 3 2 5 - 4 7 5 tensile 2.2 1.43- 9.3- 4.3- 2.5- 16-18 92 12 [86]
-1.46 -9.4 -5.7 -3.4
3 SiO a UVCVD, 50-200 tensile 2.1 1.45- 3.9 4-6 90 [2196]
S i H 4- N aO - N 2 -1.49
4 BSG APCVD, 400-450 tensile 2.25 1.43 7.4, 5.2 8 50-70 6-7 <10 [86]
(17 mole % S i H 4- B 2H 6- 9.3
B 20 3) - 0 2- N 2

5 PSG APCVD, 400-450 tensile 1.42- 7.6, 3.9 9 58 120 <10 [86]
(4 mole % S i H 4- P H 3- - 1 . 4 5 9.3
P 2O 5) - 0 2 - N 2

6 A 1 20 3 APCVD, 800 3.8 1.62 7.5 3 120 [4216]


A 1 C 1 3- C 0 2- H 2
7 S i 3N 4 APCVD, 700-1100 tensile 2.8- 1.9- 11.7 6-8.7 5-10 60 [4272, 4283,
S i H 4- N H 3- H 2 -3.1 -2.1 4286, 4289]
8 S i 3N 4 APCVD, 800-1000 tensile 2.8- 1.98- 11.5 6-9 10 0.2- 0.17- 15-60 [86]
S i C l 4- N H 3- H 2 -3.1 -2.05 -0.3 -0.25
9 S i 3N 4 APCVD, 700-1100 tensile 3.1 1.9- 11.5 5-9 10 75 [4305]
S i H 2C l 2- N H 3- H 2 -2.1
10 S i 3N 4 UVCVD, 100-200 tensile 1.8- 1.8- 5.5 4 [2196]
S i H 4- N H 3- N 2 -2.4 -2.4
11 S i i CN 2 /H z PECVD, 300-350 compressive 2 . 5 - 2.0- 12.5 6-9 6 3.3- 100- [86]
S i H 4- N H 3- H 2 -2.8 -2.1 -5.0 -200
12 Si^O^Ng APCVD, 700-900 no stress 2.1- 1.44- 9.2, 3.9- 10 >600 [4409-4412]
S i H 4- N 20 - -3.1 -2.03 11.7 -7.4
- N H 3- H 2
13 S i 8N 4 EBCVD 50-400 7.1 4-6 3-20 [215]
AMORPHOUS INSULATING THIN FILMS

13.2 Oxides
Oxides can be divided into single oxides, such as silicon dioxide, Si-rich Si0 2 ,
aluminium oxide, other oxides (Ti0 2 , Zr0 2 , Hf0 2 , Ta 2 0 5 , Nb 2 0 5 , and Th0 2 ),
and mixed oxides or silicate glasses (PSG, BSG, AsSG, A1SG, LSG, ZSG,
BPSG, GBSG, A1PSG, A1BSG, LBSG, ZBSG, Si0 2 : Sb, and Si0 2 : Sn).
In addition, luminescent, films of phosphors such as Zn 2 Si0 4 : Mn and rare-
earth doped YV0 4 ,Y 2 0 3 , and Y 2 0 2 S fall into the same category.

13.2.1 Silicon Dioxide


Silicon dioxide is at present the most widely employed dielectric material
due to a number of important advantages offered in semiconductor techno-
logy ; the best mechanical compatibility with silicon and other semiconductor
substrate; good dielectric, passivation, and diffusion blocking properties;
and ease of preparation and patterning.
Si0 2 films can be formed by a variety of physical and chemical methods,
such as electron-beam evaporation, reactive sputtering, RF sputtering, wet
anodization, plasma anodization, thermal oxidation, and CVD [4034, 4035].
Although thermally grown Si0 2 has been of the greatest use in silicon
semiconductor device and integrated circuit technology, there are numerous
situations (e.g. for avoiding the consumption of substrate material, thickness
and time limitations, for coating substrates other than silicon, for working
at reduced temperatures, or for obtaining doped or composite layers) in which
the use of a deposition method is needed.
Deposited Si0 2 films [4036—4113] are primarily obtained by means
of CVD techniques, for production purposes, namely NPCVD, LPCVD,
PECVD, UVCVD, LCVD, and EBCVD.
NPCVD includes both high-and low-temperature processes. High-tem-
perature reactions are many, and those of primary importance can be summa-
rized as follows: (1) the pyrolysis of silicon alkoxides [4036—4042] (e.g. tetra-
ethoxysilane, Si(OC2H5)4[4036, 4037], and ethyltriethoxysilane, C2H5Si(OC2H5)2
[4038—4041] in an inert carrier gas — Ar or N2 — at 700—765°C); (2) oxi-
dation of tripropylsilane (C3H7)3 SiH with 0 2 at 750°C [4043]; (3) oxidation
of silicon halides with 0 2 , CO, N 2 0, etc. as oxidants (e.g. oxidation of SiCl4
with N O - H 2 at 1150°C [4044]; of SiBr4 with N O - H 2 at 850°C [4044];or of
SiH2Cl2 with either 0 2 or N 2 0 at 900°C [4306] — all in the presence of H2) ;
(4) hydrolysis of silicon halides with water vapour [4045, 4050] or in-situ formed
water from H 2 —C0 2 or H 2 —0 2 mixtures (e.g. the hydrolysis of SiBr4 with
C 0 2 - H 2 a t 800°C [4046], and of SiCl4with C 0 2 - H 2 a t 1100°C or w i t h 0 2 - H 2
at 800°C [4047, 4048]); (5) oxidation or hydrolysis of silane (e.g. oxidation
with O2[4056], NO, N0 2 [4054 4055] or H 2 0 [4049] at 700-900°C, hydro-
lysis with C0 2 —H 2 at 800—1050°C [4050—4053], preferably in the presence
of HC1 vapours as an ionic impurity getter; (6) chemical transport of fused
silica with HF as transporting agent at 500°C [247, 4057]. By contrast, there
is only a single low-temperature process that is widely used at present for
depositing Si0 2 , namely the oxidation of diluted silane with 0 2 at 250—500°C
[4058-4072, 4663].

425
TECHNIQUES

LPCVD for Si0 2 film formation [4073—4079] is based on the pyrolysis


of Si(OC2H5)4 [4073] or Si(OC3H7)4 [4074] at 750°C and the oxidation of
SiH2Cl2 with N 2 0 [182, 204-206, 4076] or 0 2 [4079] at 900°C or SiH 4 with 0 2
at 450°C [182, 204-206, 4077, 4079] or SiH4 with N 2 0 at 850°C [4078].
PECVD of Si0 2 is typically achieved using the S i H 4 - N 2 0 [4080-4086],
S i H 4 - 0 2 [4087] or Si(OC 2 H 5 ) 4 -0 2 [323-325] systems at 250°C.
Novel processes for depositing Si0 2 films are the following: (1) UVCVD
(Hg-sensitized* photolysis and direct photolysis) using SiH 4 —N 2 0 [222, 349,
350, 2278] or Si2H6—02 [368] at substrate temperatures of or below 100—200°C ;
(2) LCVD which uses an ArF laser with a wavelength of 1930 A and SiH 4 —N 2 0
reactants [387, 425]; and (3) EBCVD based on the SiH 4 —H 2 0 system at
150-500°C [223, 225].
Many important Si0 2 forrning processes have been investigated from
both the thermodynamic and the kinetic point of view in numerous papers.
The characterization of Si0 2 and other dielectric films is based on both
standard methods established for thin-film examination and on methods
developed specifically for thin dielectric films.
The thickness of Si0 2 films can be measured by using mechanical,
optical and electrical methods, i.e. the sample weighing method, the profilo-
meter method, the colour chart method, the interferometric method, the
ellipsometric method, the method based on the use of UV-visible reflection
spectra, the method based on visible or IR radiation interference, and others.
Localized structural defects in Si0 2 films have been characterized by
using several methods. The composition of Si0 2 films has been determined
by means of such methods as X-ray fluorescence analysis, backscattering,
Auger election spectroscopy, infrared spectroscopy, and chemical etch
rate measurement.
The properties of silica films relevant to device fabrication are rather
well established (Table 13.2). Considerable information has been published
on the density, stress, refractive index, dielectric strength, dielectric con-
stant, interface and bulk charges, radiation resistance, dissolution rate, mois-
ture absorption and many other mechanical, thermal, optical, electrical,
and chemical properties.
In general, the properties of CVD Si0 2 films are not equivalent to those
prepared by thermal oxidation, for example, unless the films are annealed
at high temperature.
For this reason, pure CVD Si0 2 layers can be used in solid-state device
technology [4088 — 4113] only as: (1) secondary passivation layers applied
to completed devices (ICs and low-power transistors) after Al metallization
[4088—4092]; (2) insulating layers between two levels of Al metallization
[4093, 4094] or two levels of poly-Si in NMOS, CCDs, and VLSICs [4096-
4099]; (3) etching mask for Si3N4 in the LOCOS technology [4095]; (4) iso-
lation dielectrics in ICs fabricated using the etch-refill technique [3709,
4824, 4926]; (5) GaAs and InP encapsulant layer masking against doping by
means of ion implantation [4101, 4102]; (6) channel dielectrics in silicon [4103]
and InP [4104—4109] MOS devices; (7) spacer layers in bubble memories
[4110, 5157]; (8) passivants of silicon power mesa diodes [4041], Ge devices
[4111, 4830], and HgCdTe solar cells [4142]; (9) insulators in InSbCID ima-
ging arrays [4113]; and (10) spacer layers in MOS and bipolar ICs [4100],

426
A M O R P H O U S I N S U L A T I N G T H I N FILMS

13.2.2 Si-Rich Si02 Films

Films of Si0 2 containing excess Si [4114—4125] originally prepared by


means of sputtering and evaporation methods have also been obtained using
APCVD at ~ 700CC (or PECVD at 350°C [4117]), based on the reaction of
SiH4 and N 2 0 in N2. These films, containing two amorphous phases (namely
amorphous silicon and amorphous Si0 2 ), have recently found use as surface
passivating layer in bipolar transistors [3744] and as electrically active layer
in certain types of electrically alterable read-only memories [4118 — 4121],
MOS structures [4122], and LEDs [4123-4125].

13.2.3 Silicate Glasses

Silicate glasses are prepared almost exclusively by means of CVD and


have received a great deal of attention, particularly due to their use as
solid-to-solid diffusion sources, impurity getters, fusion tapering layers
and over-metal passivation coatings in integrated circuit technology.

13.2.3.1 Phosphosilicates

Films of phosphosilicate glasses (PSG) [4126—4159] have been deposited at


low temperatures and at atmospheric pressure [4126—4137] from the follow-
ing systems: SiCl 4 -POCl 3 (or PC13) _ 0 2 - H 2 0 - A r (or N2) at 900°C
[4130]; S i B r 4 - P H 3 - 0 2 - H 2 - N 2 at 600-1000°C [4131]; S i H 4 - P O C l 3 -
02—N2 at 500°C [4132]; tetraethoxysilane Si(OC2H5)4or tetrapropoxysilane
[Si(OC 3 H 7 ) 4 ]-trimethylphosphate [PO(OCH 3 ) 3 ]-0 2 -N 2 at 700-800°C
[2014, 4133]; and S i H 4 - P H 3 - 0 2 - N 2 at 325-450°C [2020, 4067, 4 1 2 6 -
4129, 4663]. LPCVD has been achieved using the S i H 4 - P H 3 — 0 2 [4127,
4128, 4138], S i H 4 - P H 3 - N 2 0 [205], S i H 2 C l 2 - P H 3 - 0 2 [205], T E O S -
PH 3 -0 2 -[4142, 4143], T E O S - P O ( O C H 3 ) 3 - 0 2 - N 2 [550], and Si(OC 3 H 7 ) 4 -
PO(OCH 3 ) 3 -0 2 -N 2 [4074, 4075] systems. PECVD usually uses the S i H 4 -
N 2 0 - P H 3 [4127, 4138, 4139] and S i H 4 - 0 2 - P H 3 [4140] systems. In
addition, PSG films can be formed on silicon either during its thermal oxida-
tion in the presence of P0C13 or by reacting preformed thermal Si0 2 with
P O C l 3 - 0 2 mixture at 800-1200X [4144, 4145].
High-temperature deposition of PSG films [4146] have been investigated
using three different reactions: the reaction of silane and phosphine (1)
with nitrous oxide at 700 —900°C, (2) with nitric oxide at 700—900°C,
and (3) with carbon dioxide and hydrogen at 900°C. PSG films are used as
passivants for MOS and bipolar circuits, impurity getters, gate dielectrics in
MOSFETs, dielectric in multilevel metallization, solid-state diffusion
sources, masks for Zn and Sn diffusion in GaAs, and for obtaining tapered
windows in OEICs.

427
TECHNIQUES

13.2.3.2 Borosilicates

Films of borosilicate glasses [4160—4167], frequently used as diffusion


source, have been prepared by using both organic and inorganic reactants.
Several organic reactant systems have been investigated: (1) Si(OC2H5)4
-B(OCH 3 ) 3 (trimethylborate) - N 2 at 700°C [4160]; (2) Si(OC 2 H 5 ) 4 -
B(OC3H3)3 (tri-n-propylborate)-N 2 at 700°C [4161]; (3) Si(OC 3 H 7 ) 4 -
B ( O C H 3 ) 3 - 0 2 - N 2 at 750°C (0.5 torr) [4137].
However, an inorganic deposition system from the hydrides, i.e.
S i H 4 - B 2 H 6 - 0 2 - N 2 (or Ar) at 325-450°C is widely used [2019, 2020, 4134,
4162—4167]. BSG glasses can also be formed similarly to PSG, by convert-
ing thermal Si0 2 in the presence of a BBr 3 —0 2 mixture at 800— 1200°C
[4144].
High temperature deposition of BSG can be achieved by using pro-
cesses analogous to those already mentioned in the case of PSG, i. e. the
oxidation of silane—diborane with N 2 0, NO, and C0 2 —H 2 [4146].
BSG films have also been prepared by LPCVD [206, 4074] and PECVD
[206].

13.2.3.3 Arsenosilicates

Films of arsenosilicate glasses (AsSG) [4168 — 4175], useful for As diffusion


in Si, can be generated by using several systems composed of inorganic or
organic silicon reactants as the source of Si and AsCl3 or AsH3 as the source
of As at 500°C: (1) S i H 4 - A s C l 3 - 0 2 - A r [4171, 4172]; (2) S i H 4 - A s H 3 - 0 2 -
Ar or N 2 [4173-4175]; (3) S i C l 4 - A s C l 3 - C 0 2 - H 2 [12]; (4) Si(0C 2 H 5 ) 4 -
A s C l 3 - 0 2 - N 2 or Ar [4168-4170]; (5) Si(OC 2 H 5 ) 4 -AsCl 3 -C0 2 -H 2 [12];
and (6) Si(OC 2 H 5 ) 4 -AsCl 3 -N 2 [12].

13.2.3.4 Aluminosilicates

Films of aluminosilicate glasses (A1SG) [4176—4180] have been deposited


from Si(OC2H5)4—tri-isobutylaluminium — 0 2 at 250-400°C [4176, 4177];
Si(OC2H2)4 — aluminium tri-isopropoxide — N 2 at 450°C [4178]; trimethylsi-
loxy aluminium - N 2 at 300-800°C [4178, 4179]; S i H 4 - A l ( C H 3 ) 3 - 0 2 - N 2
at 450°C [4134]; and S i C l 4 - A l C l 3 - C 0 2 - H 2 at 850-1100°C [4180].

13.2.3.5 Other Silicates

Miscellaneous binary and ternary silicate glasses containing in addition


to or instead of phosphorus or boron, aluminium (A1SG, A1PSG, A1BSG),
lead (LSG [4181], LBSG), zinc (ZSG, ZBSG),or germanium (GBSG)have
been prepared [12]. In general, deposition is achieved in hydrjde-based
systems with Al, Pb, or Zn organometallics such as Pb(C2H5)4, Al(OC3H7)3
or A1(CH3)3, Zn(C2H5)2, or with GeH4 at 450QC. BPSG [4182-4188], useful
in advanced electronic and optoelectronic applications as reflow material,

428
A M O R P H O U S I N S U L A T I N G T H I N FILMS

has been obtained by means of APCVD (the SiH 4 —PH 3 —B 2 H 6 —0 2


system) [4182-4184, 4188]), LPCVD (the S i H 4 - P H 3 - B C l 3 - 0 2 [ 4 1 8 5 ] , TEOS
- P H 3 - B 2 H 6 - 0 2 [4186], and S i H 4 - B 2 H 6 - P H 3 - 0 2 [4182, 4183] systems),
and PECVD (the S i H 4 - P H 3 - B 2 H 6 - 0 2 system [4187]).

13.2.3.6 Other Mixed Oxides

B 2 0 3 —P 2 0 5 (borophosphate) films have been prepared from B2H6—PH3 —


0 2 - N 2 o r Ar [12].
Ta205—A1203 films [4189, 4190] have been deposited using the pyrolysis
of Ta(OC2H5)2 and A1(C2H5)3 or A1C13 as well as hydrolysis at 800—950°C
of A1C13 and TaCl5 with C0 2 and H 2 .
A1203—P205 films [4191] are formed from vapours of aluminium isopro-
poxide and P0C13 at 500°C in N 2 - 0 2 .
A1203—Cr203 mixtures [4192] have been obtained from the pyrolysis
of Al and Cr acetylacetonates in 0 2 at 650—750°C.
Sb-doped Si0 2 [4193] used as a-diffusion source in Si has been made
from Sb(CH3)3 (trimethylstibine) or SbH3, SiH 4 and 0 2 in N 2 at 300°C.
Sn-doped Si0 2 [4194, 4195] used as a diffusion source for GaAs has been
prepared from Si(OC2H5)4—Sn(CH3)4 or Sn(C2H5)4—N2—H2 at 500°C.
Doped Si0 2 films useful as optical fibres, e.g. Si0 2 —Ge0 2 [4196], Si0 2 —P 2 0 5
[4196] and Si0 2 : Nd [4197 — 4199], have been prepared mainly by means of
PECVD using chloride or hydride react ants.
Mn-doped Zn 2 Si0 4 films used as phosphors have been produced by
means of halide hydrolysis at 1100—1200°C using SiCl4, ZnCl2, MnCl2, H 2 ,
and C0 2 [4200].
Rare earth-doped YV0 4 , Y 2 0 3 , and Y 2 0 2 S [4201] also used as phosphors
have been prepared by means of pyrohydrolysis of the chelates at 500°C,
in the presence of H 2 S in the case of Y 2 0 2 S.

13.2.4 Aluminium Oxide

Aluminium oxide is an oxide dielectric which, applied over thermally


grown Si0 2 , can be used as an alkali ion barrier and radiation resistant
material.
Alumina films, used in production applications, have been prepared
primarily by means of CVD [4202—4243]; non-CVD methods, such as RF
sputtering from an alumina target, reactive sputtering, electron-beam
evaporation, reactive evaporation of aluminium, plasma, and wet anodization
have also been used in some laboratory applications.
CVD of A1203 is commonly achieved at normal pressure by means
of: (1) hydrolysis of aluminium chloride with water formed in situ from C0 2
and H 2 at 850—1200°C [4202—4212]; (2) chemical transport of A1203
with HC1 [4213]; (3) oxidation of AlBr3 with NO at 900°C [4214]; (4) pyrolysis
of aluminium isopropoxide, Al(OC3H7)3, at 400°C in N 2 or 0 2 [4215—4218];

429
TECHNIQUES

(5) pyrolysis of aluminium triethoxide at 300—500°C and at 400—750°C


[4219]; (6) pyrolysis of aluminium acetylacetonate at about 480°C [4220];
(7) oxidation of tri-isobutyl aluminium with 0 2 at 250°C [4176], of trimethyl
aluminium with 0 2 at 275—475°C [4216], and of trimethyl aluminium with
nitrous oxide at 650°C [4221].
Low-pressure deposition is based on two systems: A1C13—NO—H2 at
900°C [4222] and Al(OC 3 H 7 ) 3 -N 2 at 700-800°C [4223], while R F glow
discharge stimulated deposition uses two systems: A1C13—02 [4224] and
T M A - N 2 0 [4225].
A1 2 0 3 films are obtained in amorphous, polycrystalline, and even in
epitaxial [4209] structure.
Amorphous films of A1 2 0 3 have suitable electrical properties [4225—4235]
to be used in silicon integrated circuits for passivation, dielectric insulation,
radiation hardening, gate insulation, diffusion masking and memory
storage. These films can also be used as dielectrics in the fabrication of
MISFETs based on compound semiconductors such as GaAs, I n P , GalnPAs
[4236—4242], and as passivants for Ge devices [4243].

13.2.5 Other Oxides

Considerable efforts have been made to investigate alternative oxides


(e.g. Ti0 2 , Zr0 2 , Hf0 2 , Nb 2 0 5 , Ta 2 0 5 , T h 0 2 , Ge0 2 , Α Ι Ρ ^ , and InP e O„) showing
promising dielectric and insulating properties. However, their application
in production has not yet been achieved.
Titanium dioxide (Ti0 2 ) is particularly useful as a capacitor dielectric
because of its unusually high dielectric constant. In addition, its excellent
optical transmittance, high refractive index and chemical stability are attrac-
tive features for optical coatings. Besides several physical metods (e.g. reac-
tive evaporation, reactive sputtering, R F sputtering), T i 0 2 films have been
deposited by using numerous CVD techniques [4244—4254]. These include:
oxidation of titanium tetrachloride [4244, 4245], tetraisopropyltitanate
[4246], or di-isopropoxytitanium-bis(acetylacetonate) [4246] at 100—1000°C
with 0 2 ; hydrolysis of titanium tetrachloride at 450—700°C [4247,
4248]; hydrolysis of titanium alcoholates [e.g. tetraisopropyltitanate, Ti
(OC 2 H 5 ) 4 ] at 350—1050°C (which leads to polycrystalline deposits) [4249 —
4252]; and spray pyrolysis [4253]. Deposition at low temperature (25—700°C)
by promoting the reaction between TiCl 4 and 0 2 with an R F glow discharge
enables the preparation of both amorphous and crystalline forms [4254].
Zirconium dioxide (Zr0 2 ) films [4255 — 4258] are characterized by low
electrical conductivity and extreme chemical inertness. These films have
been prepared by reacting ZrCl 4 with C0 2 —H 2 mixture at 800—1000°C
[4255], by hydrolyzing zirconyl chloride (ZrOCl2) at 350°C [4256], by
oxidizing Zr tetra-acetylacetonate, [Zr(acac) 4 ] at 450—700°C [4246], or
Zr-ß-diketonate at 400—550°C [4257], and by hydrolyzing Zr alkoxides
Zr(OR) 4 [4251]. Z r 0 2 films can be used to fabricate some MOS memories
[4258].

430
A M O R P H O U S INSULATING T H I N FILMS

Hafnium dioxide (Hf0 2 ) films [4259] can be deposited by using oxidation


of hafnium ß-diketonates at 450-550°C [4259] or of Hf (acac) 2 at 450-700°C
[4246], hydrolysis of halides at 750—800°C [4259], and pyrolysis of alcoholates
(e.g. Hf-iso-propoxide) at 400-750°C [4259].
Niobium pentoxide (Nb 2 0 5 ) thin films [4260, 4261] have been successfully
deposited on silicon by using the pyrolysis of niobium pentaethylate, N b
(OC 2 H 5 ) 5 at 450°C [4260], and the chlorine transport process of N b 2 0 5 at 700—
1100°C [4261].
Tantalum pentoxide (Ta 2 0 5 ) [4262, 4263] is desirable as a dielectric for
capacitor applications owing to its relatively large dielectric constant (ε = 30).
Films can easily be formed by employing the reaction of tantalum penta-
ethoxide, Ta(OC 2 H 5 ) 5 , and oxygen at350—500°C [4157], the oxidation and
pyrolysis reactions of tantalum dichlorodiethoxy acetylacetonate at 300—
500°C'[4 2 62] or of tantalum pentachloride at 900°C [4263].
Thorium dioxide (Th0 2 ) [183] and germanium dioxide (Ge0 2 ) [4264,
4265] films have been relatively little investigated thus far.
Aluminium oxyphosphide (ΑΙΡ^Ο^) and indium oxyphosphide (InP^Oj,)
films have been obtained recently on I n P by means of LP- and PE-CVD
using T M A ( T E I ) - P H 3 - 0 2 reactant systems [4266, 4267].

13.3 Nitrides and Oxynitrides

The nitrides and oxynitrides used for insulating and dielectric applications
are the following: Si 3 N 4 , Si-rich Si 3 N 4 , Si^N^H^ BN, A1N, GaN, Ge 3 N 4 , A1N —
Si 3 N 4 , Si^O^N,, AlsOyNs, and S i J ^ H A ·

13.3.1 Silicon Nitride

Because of the high technological importance of silicon nitride in electronics,


this film has been intensively studied both experimentally and theoretically
during the last twenty years. The results obtained thus far have been surveyed
in many comprehensive reviews [84, 89, 4268—4270]. This material shows
both a density and a dielectric constant higher than those of Si0 2 , thus provi-
ding an increased resistance to the migration of electrically charged species
or to impurity diffusion and better insulation properties. Consequently,
it is extremely useful in such important applications as surface passivation,
diffusion masking, and gate insulation in field effect transistors. Other favou-
rable properties, such as its ability to store electric charges and its increased
radiation resistsance, have also been employed in the fabrication of special
devices.
Unfortunately, Si 3 N 4 has a smaller band gap, a lower energy barrier
towards silicon or metals, and a much slower etch rate which cause unfavou-
rable dielectric properties, charge injection into the dielectric at higher
fields, and impossible pattern etching using conventional photolithography.

431
TECHNIQUES

For these reasons, a double Si02—Si3N4 layer is customarily employed in


most applications.
Si3N4 films can be obtained by using many methods: CVD [4291—4426],
direct nitridation of silicon substrates, RF sputtering, reactive sputtering,
vacuum evaporation, ion implantation and ion plating. CVD (including
APCVD, LPCVD, PECVD, UVCVD, LCVD, EBCVD), and to a much lesser
extent sputtering, are the only methods used in device applications.
Si3N4 films are commonly prepared using two systems, SiCl4—NH3 at
550-1250°C (typically 850°C) [4271-4282] and SiH 4 -NH 3 at 700-1150°C
(typically 700°C) [4272, 4283 — 4301] under normal pressure conditions in
the presence of H 2 or N2 as diluent gases (Fig. 13.1). The SiCl4 reaction is a
lower cost process, yielding films with lower electrical conductivity and
polarization and increased gettering capability (due to chloride ions) for
removing ionic contaminants, but it requires a higher optimum deposition
temperature than the SiH4 reaction. Both systems can be used on a large
scale in production applications, as well as two more recent systems: SiH2Cl2—
NH 3 at 750—900°C under low pressure and SiH 2 -NH 3 at 300°C under RF
glow discharge.
Other .processes investigated for forming Si3N4 films are the following:
(1) NPCVD from SiBr 4 -NH 3 at 550-800°C [4302], SiF 4 -NH 3 at 700-1100°C
[4317], SiCl 4 -N 2 H 4 at 700-1100°C [1240], SiH4—N2H4 at 550-1150°C
[4303], SiH 2 Cl 2 -NH 3 [4304-4308], Si(CH 3 ) 4 -NH 3 [4309], N(SiH)3 [4310],
and organosilazanes [4311] at 700-1100°C; (2) LPCVD from S i H 4 - N H 3 - N 2
[182, 204-206, 4075, 4313], SiH 2 Cl 2 -NH 3 -N 2 [182, 204-206, 303,4313-
4315], SiCl 4 -NH 3 [4316], SiF 4 -NH 3 [4316] at 700-850°C; (3) PECVD from
SiH 4 -NH 3 [206, 2277, 329-336, 4081,4318, 4322, 4323, 4326, 4334, 4343, 4346]
or S i H 4 - N 2 [4318-4320, 4329-4338] at 25-500°C, from SiCl 4 -N 2 [89] or
SiBr 4 -N 2 [210] at 300-800°C, from S i l 4 - N 2 [328] at 280-600°C, and from
SiF 4 -N 2 —H 2 at 250-500°C [4339, 4340]; (4) chemical transport of bulk
Si3N4 with HC1 or HBr at a substrate temperature of 500-800°C [4312]; (5)
catalysed CVD from SiH4—NH3 in the presence of Pt at 600°C [291]; (6)
UV-sensitized CVD from SiH 4 -N 2 H 4 at200°C [222, 353] or from SiH 4 -NH 3
at 50-200°C [2278]; (7) LCVD from the SiH4—NH3 system, at about 250°C
[233]; and (8) EBCVD from SiH 4 -NH 3 at 150-500°C [224, 225]. B-, P-,.
and Ge-doped films have been deposited by means of PECVD [4347].
A complete analysis of the thermodynamics of the three main chemical
processes for preparing Si3N4 films has been made. Also, the detailed kinetcs
of these processes are discussed in several papers. Film thickness measuring
methods have recently been reviewed. A very large body of literature exists
concerning structural and compositional investigations and on the mechano-
thermal, optical, electrical, and chemical properties.
Deposits of Si3N4 thin films are widely used in semiconductor technology
[4348—4404]. Applications in other fields include Si3N4 as ceramic material, as
target for low energy reactions [4405], as glass-to-metal seals [4406], etc.
Some of the many important functions of Si3N4 films are the following:
(1) as electrical insulators having comparatively high dielectric constants;
(2) as chemically and electrically stable passivation layers for semiconductor
surfaces (Si, Ge, GaAs, etc.) which withstand the corrosive action of most
reagents and which prevent ion migration; (3) as masks for semiconductors

432
N2 5%SiH4 NH3
inN
(H2,Ar) 2

Fig. 13.1 Equipment for depositing Si 3 N 4 by means of HT-APCVD using (A) inductiveiy-heated, (B) resistance-heated, and (C) IR-heated
reactors (after Morosanu [89]; reprinted with permission from T H I N SOLID F I L M S , © 1980 Elsevier Sequoia S.A.)
1 — gases; 2 — flowmeters; 3 — shut-off valves; 4 — mixing chamber; 5 — quartz reactor; 6 — R F coil; 7 — resistance h e a t e r ; 8 — I R lamps ;
9 — quartz cradle; 10 — graphite susceptor; 11 — silicon wafers.
TECHNIQUES

to protect them against all donor and acceptor impurity diffusion (AI, B, Ga,
In, As, P, Sb, Zn, etc.) — since they are impermeable to these impurities
under the normal diffusion condition of p-n junctions; (4) as masks for
semiconductors to protect against thermal oxidation, during various types of
isolation process using recessed oxide, during anodic oxidation in the fabri-
cation of homojunction solar cells, and for reverse selective oxidation of Si
substrate serving to improve the oxidation and diffusion processes; (5) as
masks for selective epitaxial deposition of silicon; (6) as electric charge storage
material (memory effect) in MNOS devices; (7) as gate dielectrics in insulated
gate field effect transistors; (8) as energetic radiation resistant layers; (9) as
passivation layers over metallized bipolar and MOS silicon integrated circuits
for protecting them from ambient impurities and scratches; (10) as anti-reflec-
tion film; (11) as capping layers for ion-implantation processes in GaAs and
other semiconductors; (12) as preoxidation getters for Si wafers and devices;
(13) as assisting layer in dielectric-assisted lift-off technique for fine line
metal patterning; and (14) as protective coating for metals and ceramics
[4407, 4408].
Si 3 N 4 layers are used to build and to improve the performances of many
important electronic components produced by means of planar, mesa, plesa,
LOCOS, MOS, beam-lead or other technologies.
The main semiconductor devices which take advantage of the benefits
off erred by Si 3 N 4 thin layers are the following: (1) n-p-p and p-n-p bipolar
planar transistors; (2) MOS transistors; (3) bipolar mesa-type transistors;
(4) power rectifier diodes and thyristors; (5) bipolar and MOS integrated
circuits; (6) semiconductor memory devices; (7) microwave p-i-n planar
a n d plesa diodes; (8) charge coupled devices; (9) L E D s ; (10) solar cells;
a n d (11) photodetectors.

13.3.2 Si-Rich Si3N4 Films

Films of Si 3 N 4 containing a desired excess of Si can be prepared by means


of CVD by reacting SiH 4 and NH 3 at 700°C. Similarly to Si-rich Si0 2 , these
films are composed of two amorphous phases.

13.3.3 Silicon Oxynitride

Films of silicon oxynitride [4409 — 4429] combine the main useful features
of Si0 2 films (i.e. good electrical characteristics, good etchability) and Si3N 4
films (i.e. good masking ability against ionic and diffusing impurities, good
radiation resistance). The main systems used for Si x O y N z preparation include
SiH 4 as the Si-containing compound, NH 3 as the nitriding agent and 0 2 ,
NO, N 2 0 or C 0 2 as the oxidizing agents. Deposition takes place in H 2 or N 2
using very high NH 3 /oxidant ratios at 850—1000°C. LPCVD and PECVD
can be obtained by using the D C S - N 2 0 - N H 3 [4416] or S i H 4 - N 2 0 - N H 3
[4417] and S i H 4 - N 2 0 - N H 3 [4418-4426] systems, respectively. UVCVD
[222, 353] and LCVD [233] from S i H 4 - N 2 0 - N H 3 system have also
yielded high-quality SiON films. The SiH 4 —C0 2 —NH 3 —H 2 reaction

434
A M O R P H O U S I N S U L A T I N G T H I N FILMS

system is the most advantageous offering the best control over the process
and film properties. Si^O^N^ films are generally used in applications similar
to those of Si 3 N 4 films, e.g. as diffusion barriers, memory elements, radiation
insensitive materials, and for capping GaAs before silicon ion implantation.

13.3.4 Other Insulating Nitrides

Other interesting nitrides that have potential as good dielectrics such as


boron nitride, aluminium nitride, aluminium oxynitride, gallium nitride and
germanium nitride have been evaluated for electronic applications.
Boron nitride (BN) is an excellent insulator material whose dielectric
losses at frequencies ranging from d.e. to the microwave region and whose
dielectric constant temperature coefficient are both extremely low. However, its
chemical inertia and Na + blocking ability are inferior to that of Si 3 N 4 film.
BN films have been obtained having either amorphous or microcrystalline
structure by reacting B 2 H 6 and NH 3 in H 2 at 600— 1000°C, or BC13 and NH 3
at 800—1600°C, according to the overall reactions
B 2 H 6 + 2NH 3 = 2BN + 6H 2 (13.1)
BC13 + NH 3 = BN + 3HC1 (13.2)

Another important use of BN is as a p-type diffusion source in silicon.


Aluminium nitride (A1N) is also a potentially useful dielectric owing to
its large forbidden band gap and thermal and chemical inertness. Amorphous
aluminium nitride films have been deposited mainly from the A1C13—NH3 and
A1(CH3)3—NH3 systems. The deposition of polycrystalline films relies on
the pyrolysis of the complex A1C1 · 3NH 3 at 800— 1200°C with H 2 and anhy-
drous NH 3 as the carrier gases.
Other important potential uses of A1N films include MNS charge storage
and surface acoustic wave devices.
Aluminium nitride — silicon nitride mixture films [4430] investigated
as candidate materials for MIOS charge storage devices have been prepared
from A1C13, SiH 4 , and NH 3 at 600-1100°C.
Aluminium oxynitride (Al^O^N^) dielectric films [4431, 4432] have been
deposited in amorphous or polycrystalline form by using the A1C13—C02—
N H 3 - N 2 system at 750-900°C.
Gallium nitride (GaN) films have been synthesized mainly by using the
ammonolysis of gallium monochloride, G a Q , or trimethyl gallium, Ga(CH 3 ) 3 ,
and pyrolysis of the GaBr 3 . NH 3 complex.
Amorphous germanium nitride (Ge 3 N 4 ) films [4433 — 4435] have been
obtained by means of ammonolysis of GeCl4 at 400 —600°C.
Phosphorus nitride P 3 N 5 [4436 — 4438] and phosphorus oxynitride
PafNyOg [4438] films useful as gate insulators for I n P MISFETs have been
prepared by means of PECVD based on the PH 3 —N 2 —H 2 and PH 3 —NH 3 — 0 2
systems.

435
TECHNIQUES

13.4 Polymeric Thin Films

Thin polymer films have received much attention from a practical point
of view in microelectronics, as well as being of theoretical interest as regards
their electrical properties and surface phenomena. Various methods have
been proposed for the preparation of thin polymer films, such as thermal
evaporation, RF sputtering, deposition from solution, and CVD. Among
them, CVD is widely applied since thin films prepared using this method
are free from contamination and pinholes and have excellent electrical, chemi-
cal, and mechanical properties [289, 4439—4445]. The main polymerization
processes, such as glow discharge [4446—4450], photolysis [4451, 4452] and
electron bombardment [4453] and respective set-ups, have been described
previously in Section 3. Pyrolytic [4454], catalytic, and laser-activated
processes can also be used in certain applications.
Polymer films can conveniently be divided into pure organic and orga-
nometallic films.
A. A great variety of conventional carbon polymer films have been deposited
by means of glow-discharge polymerization (e.g. polystyrene, polyvinylidene
fluoride, polydivinylbenzene, polyacrylonitrile, and many other polymers
obtained from more complicated organic molecules), electron bombardment
(e.g. polysilicone, polystyrene, polybutadiene, and polydivinylbenzene),
photopolymerization (polymethylmethacrylate, polymethylvinylketone, poly
butadiene, polydivinylbenzene, and polyacrolein), and pyrolysis (poly-^>-
xylylene).
Polystyrene films [4455, 4456] have been deposited preferably by means
of the a.c. glow discharge polymerization of styrene vapours. These films
are comparable with other plastic films with regard to a dielectric constant
(ε = 3) and dielectric strength (5 x 106 V/cm), but their high dielectric losses
(10~3) and high interface charge in metal-polymer-silicon structures (5 χ 1011
cm~2) precludes their extensive use for microcapacitors and for component
passivation.
PoZjz-paraxylylene coatings [4454] have been deposited by using pyro-
lytic vapour phase polymerization of the monomer or dimer of ^-xylene at
about 600°C. These films having ε = 2.65, tan 5(1 kHz) = 0.0002, p = 1.4X
1013 Ω cm, and V^ = 2.8 x 106/Vcm can be used as encapsulants for
various semiconducting devices.
Polyvinylidene fluoride films [4457] are typically obtained by using
glow-discharge polymerization of 1,1-difluoroethylene. These films can be
used in acoustic transducer devices owing to their marked piezoelectricity.
Other polymers with increased electrical conductivity (pmin = 10 u Ω cm)
have been prepared in an RF glow discharge by using monomer molecules
with semiconducting properties (e.g. »phthalocyanine and tetracyanoquino-
dimethane) [4458].
B. Organometallic polymer films are prepared almost exclusively by
means of RF plasma polymerization in inductively or capacitively coupled
plasma reactors.

436
AMORPHOUS INSULATING THIN FILMS

•foljmethylsiloxane [4459—4468], which has teen obtained ficm orga_


rcsiliccn mcncjners, exhibits excellent pioperties such as h'gh theimal sta
bility (up to 4C0CC), h'gh dielectric ccnstant (ε = 2.5), h:gh resistivity (7 χ
1014 λ cm), and h:'gh breakdown fields (3—7 χ IC6 V/cm). Such films
have found use as dielectrics and passi\ants cf Si and GaAs semiconductor
ccmpcnents.
Organotin poljmer films [4469—4472] are foimed by using tetramethyltin
with or without a non-poljmerizing reactive gas (0 2 ). These films adhere
strongly to metals, ceramics, and plastics, have a high conductivity in the
iange of 102— IG4 Ω""1 emr 1 and act as good water vapour barriers. Such
films can be used as insulating layers on microelectronic devices, as protective
coatings, and as inteimediate adheshe layers.
Metal-containing fluoropoljmer films have been obtained by using
simultaneous etching and poljmerization in the same system [4473, 4474].
In this method, the metal (e.g. Mo, W, or Cu) to be incorporated in the
poljmer film is used as a cathode in a capacitively coupled reactor, and a
fluorinated hydiocailon (e.g. a perfluoroalkane, CnF2w+2 where n =- 1, 2, 3, 4)
is used as a poljmeiizing/etching gas. By using this technique, various poly-
meric films containing molybdenum, copper, tungsten, germanium, tin, and
chrcmium have been obtained. The ccmposition and the structure of the films
have been determined by means of ESCA and mass spectrometry.
Transition-metal-containing poljmer films can lie obtained by introduc-
ing into the mcncmer pla<ma \olatile organcmetallic compounds such as
Fe(CO)5, Cr(CO)6, and cyclcpentadienyl-dicaitonyl cobalt [4475, 4476].
Miscellaneous organcmetallic poljmes ha\e been prepared starting
ficm oigarcxnetallic moncmeis, such as Ta(CC2H5)5, Pb(CH3)4, B(CH3)3,
and Hg(OH 5 ) 2 [4477] as well as copper phthalocyanine [4478].
Characterization of poljmer films assumes the deteimination of structu-
ral, ccmpositioral, mechanical, theimal, electrical, and chemical properties
which are usually modified and often degraded as compared to those of bulk
material. The following pioperties aie of great interest in micioelectronic
applications: content of defects and impurities, unifoimity, lesidual stress,
adhesion, resistivity, dielectiic constant, dissipation factor, dielectric strength,
poljmer-scmiecrductor inteiface picpeities, ladiaticn lesistance, theimal
and humidity stability, end pla<ma etch rate.
C \ D poljmer films obtained piefeiably l y means of plasma-induced
poljmerization of loth ccrAentional and unconventional monomers have
unique pioperties suitable to applications in micicelectionios and optoelec-
tionics as dielectric layeis, passnaticn coverings, adhesne bonding m ateiials,
anti-reflectne ocatirgs, and light-guidirg interconnection films.
14
Preparation and Properties of
Conductive Thin Films

14.1 Introduction

Electrically conducting thin films applied in solid-state technology comprise


elemental metals, metal alloys, resistors, optically transparent conductors,
and metal compunds (borides, carbides, nitrides, oxides and suicides).
These can be deposited mainly by means of three different methods:
sputtering, evaporation, and CVD. CVD method offers several advantages
over sputtering and vacuum evaporation providing good adherence to various
substrates, good step coverage, reduced system complexity, high throughput,
and higher purity deposits.
The electrically conductive materials deposited by means of CVD are
finding increasing applications, especially in the areas of microelectronics
and optoelectronics.
Several reviews on CVD conductors have already appeared in the
literature [12, 14, 15, 183, 4479-4487, 4965, 4967, 4968]. This chapter is
intended to survey more fully the preparation and characterization of these
technically important materials.

14.2 Metals and Metal Alloys


By using CVD methods, nearly all metals (with the exception of alkali and
alkaline earth metals) and many alloys can be deposited. The main reactions
used are hydrogen reduction, of metal halides and thermal decomposition
(pyrolysis) of organometallic compounds. Tungsten, molybdenum, and alu-
minium films have been completely investigated owing to their wide appli-
cations in electronics. The CVD of tantalum, platinum, nickel, gold, chromium,
copper, vanadium and silver thin films has been studied to a lesser extent.
Other high-purity metals (e.g. Be, Bi, Co, Fe, Hf, Ir, Nb, Os, Pb, Re, Rh,

438
CONDUCTIVE T H I N F I L M S

Ru, Sb, Sn, Th, Ti, U, Zr), as well as metal alloys (e.g. Ta—W, Mo—W,
W - R e , W - M o - R e , T a - N b , T i - T a , C r - A l , N i - F e , Al3Ta) that
have been deposited by means of CVD on a laboratory scale might be
useful for some specific applications in solid state technology.
Metals, in particular refractory metals, are required in present-day me-
tallization technology of high-density MOS integrated circuits. The main
uses of metals in VLSI circuits are as gate electrodes, interconnection material
and device contacts. Such advanced metallization should meet several strin-
gent requirements: high conductivity, high-temperature stability, ease of
high-resolution patterning, resistance to chemical attack, electrical and
chemical stability, low-resistance contacts with silicon and other levels of
metallization, and high-quality surface passivation. Further development
of VLSI circuits has generated interest in improving CVD process technology
of metallization layers.

14.2.1 Metal Films Used in Electronics

Aluminium is the most widely used material in the metallization of electronic


devices and integrated circuits. It has the advantages of low resistivity
(<·*■/ 3 μΩ cm), excellent adhesion to both silicon and silicon dioxide, low
resistivity contacts to p-type and heavily doped n-type silicon, ease of depo-
sition, ease of fine-line patterning, ease of etchability (in H 3 P0 4 solutions).
Al films are commonly prepared by evaporation or sputtering. However,
in recent years it has been proved that CVD is also able to form high-quality Al
films which better fulfil the requirements of silicon metallization [4488 — 4496].
CVD is a compatible deposition method which enables Al layers to have low
electrical resistivity, low contact resistance, contact stability, increased bon-
dability, selective etchability, multilevel capability, good adherence to
dielectrics, complete coverage of surface topography, high electromigration
resistance and resistance to oxidation and corrosion.
Al films have been prepared on steel [4488], quartz [4489, 4494, 4496],
Si [4489, 4491 — 4493, 4495] and GaAs [4494] substrates by means of several
techniques at atmospheric pressure [4486—4490], e.g the pyrolysis of triethyl
aluminium [4488, 4489] or trimethyl aluminium vapour [4496] at 250—450°C
and the thermal decomposition of tri-isobutyl aluminium A1(C4H9)3 above
260°C [4488]. LPCVD [4491—4495], based on decomposition of TIB A [4491 —
4493] or TMA [4494] and disproportionation of Al monochloride [4495], has
also been used successfully. UVCVD based on TMA [453] and LCVD based
on TMA, A1I8 or TIBA [388, 390-392, 429 —431] have also been studied.
However, aluminium has some disadvantages: low melting point of the alu-
minium-silicon eutectic (577°C), failure under electrical stress, increased
corrosivity when exposed to moisture and air, high resistance of aluminium
to aluminium contacts in multilevel metallization. Therefore, the use of
other metals for the metallization of silicon devices has been investigated.
Tungsten, one of the most commonly investigated materials, has some
important advantages in the metallization of silicon devices and integrated
circuits. Its high resistance to many chemicals including ambient compo-

439
TECHNIQUES

rents, increased hardness, good adherence to silicon, high melting point of


the silicon eutectic (1400T), ease of pattern definition, extremely low diffu-
sivity in silicon, relatively low electrical resistivity, ability to provide ther-
mally-stable ohmic contacts to heavily doped silicon, a thermal expansion
coefficient that closely matches that of silicon, etc. have been found to be
satisf actory features in microelectronics. Tungsten has been deposited by means
of evaporation, sputtering, and CVD. CVD offers several advantages over
evaporation and sputtering, such as reduced system complexity, good step
coverage, higher purity deposits, and high throughput [4497—4542].
Tungsten can be deposited easily by means of several chemical processes
at atmospheric pressure [4486, 4497—4513], namely silicon reduction of
WF 6 [4500, 4507], hydrogen reduction of WF 6 [4497-4499, 4501-4507]
at 300—700°C, hydrogen reduction of WC16 [4505, 4508, 4509], and pyrolytic
decomposition of organometallies such as W(PF3)6 [4507], W(CO)6 [4513] at
400°C, bis-aren W [4483], and cyclopentadienylcarbonil W [4483].
High-purity films of tungsten are also deposited from tungsten hexa-
fluoride and hydrogen using PECVD [206, 217, 339, 4515-4517, 4541, 4542]
and LPCVD [206, 4518-4540]. LCVD based on WF 6 or W(CO)6 has also
been demonstrated [393—397, 440, 446, 447]. Because of its wide industrial
use, a considerable amount of work has been reported on the preparation,
characterization and applications. Depositions can be achieved in either
hot-wall [4507] or cold-wall [4506] reactors, under diffusion-controlled or
surface-controlled conditions. Basic aspects of the deposition, such as the
kinetics [4498, 4499, 4507] and thermodynamics, have been intensively investi-
gated. Structural and compositional analysis have been performed by
means of X-ray diffractometry, TEM, SEM, electron microprobe analysis, and
AES. Physical properties (appearance, uniformity, adherence, microstress,
hardness, and density), optical properties (refractive index and IR reflection
and absorption), electrical properties (sheet resistivity and contact resistance)
and chemical properties (etching rate) have also been determined.
Patterns can be formed in the layers by using electrochemical etching
[4506] or chemical etching in buffered alkaline ferricyanide [4510]. Dry
etching techniques have also been particularly successful for VLSI applications.
Film resistivity (6—15 μΩ cm) measured with a four-point probe has
been found to be a function of reactor type, film thickness, CVD technique,
etc. It can be improved, when necessary, by means of post-deposition heat
treatment.
Tungsten films are applied in electronics in obtaining Schottky-barrier
diodes and in depositing refractory metallization for various bipolar and MOS
silicon devices and integrated circuits. Dendritic tungsten deposits can be
used as spectrally selective absorbers for solar thermal energy conversion.
Tungsten silicide films can be formed from CVD tungsten deposited on polysi-
licon by heating a layered structure of tungsten and silicon at 1000°C for an
hour [4517].
Molybdenum is another interesting refractory material which, similarly
to tungsten, shows adequate characteristics for use in electronics applica-
tions. In addition to serving as self-aligned metal gates, interconnect metalli-
zation, and electrical contacting, these films can also be used as diffusion
masks (e.g. for B diffusion from B-doped glass), as etching masks (e.g. for

440
CONDUCTIVE T H I N F I L M S

silicon oxide and silicon nitride), as well as in hot electron devices (e.g.
metal base transistors).
Molybdenum films [4486, 4541-7-4552] are usually deposited by means
of hydrogen reduction of MoCl5 at 800—1250°C [4544, 4546-4550], hydrogen
reduction of Mo02Cl2 at 600—1350°C [4544], hydrogen reduction of MoF6 at
1100°C [4617], or pyrolytic decomposition of Mo(CO)6 at 300-900°C [4543].
They have also been obtained by means of LPCVD [4552] and PECVD [217,
339, 4541, 4542] using the MoF6—H2 system. LCVD has been carried out
using Mo(CO)6 [393, 394, 440, 442], MoF6[233], andMo(C6H6)2[450] asreactants.
Mo films have been characterized for their structural, compositional,
physical, and electrical properties using the same techniques as for W films.
Furthermore, recent work concerning their optical properties has demonstra-
ted the possibility of preparing molybdenum films of high infrared reflectance
and solar absorptance suitable for use in photothermal solar converters.
Thin films of platinum with thicknesses ranging from 100 Ä to about
2000 Ä are used extensively in solid-state technology to form ohmic or
Schottky diode contacts to silicon and in the Ti—Pt—Au metallization of
beam leads. Pt is usually deposited by means of electron-beam evaporation
or sputtering. However, CVD may be the preferred technique, since it offers
the possibility of avoiding radiation damage to thin insulating films caused
by the above techniques with the help of a relatively simple non-vacuum
apparatus [4553, 4554].
CVD Pt can be obtained mainly by means of three processes [4553]:
the vacuum pyrolysis of Pt acetylacetonate, Pt(acac)2 at 500—600°C; the
reduction of Pt dicarbonyl dichloride, Pt(CO)2 Cl2, in H 2 in the presence of
CO at 250—500°C; and the pyrolysis of the trifluorophosphine complex of
platinum, Pt(PF 3 ) 4 , in H 2 at 200-300°C.
The latter process is more simple and reliable, and yields adherent
bright films of Pt crystals on a variety of substrates (Si, thermal and depo-
sited Si0 2 , A1203, Si3N4, fused silica, soft glass, sapphire, Ta2N, evaporated
Ti, CVD tungsten, GaP, and GaAs). The Pt film contains small amounts of
phosphorus, has a resistivity 1.8 times that of bulk Pt, and interdiffuses
readily with Si to form ohmic or Schottky diode Pt-Si contacts. CVD Pt can
also be used as field plates for MOS structures.
Laser-assisted deposition from Pt(PF 3 ) 4 has recently been achieved [233],
Tantalum films [4486, 4555, 4556] can readily be obtained by hydrogen
reduction of TaCl5 at substrate temperatures of 500—600°C. CVD Ta films
are smooth, adherent, and have a low resistivity (^20 μΩ cm) which make
them suitable as conductors in integrated circuits produced by using tanta-
lum thin film technology.
Nickel films [4486, 4557, 4558] of high quality used in microelectronics
having smoothness, adherence, and conductivity and oxidation resistance,
even better than films prepared by vacuum evaporation are deposited by means
of Ni(CO)4 pyrolysis at 250—300°C. Other techniques employed are the
decomposition of Ni(acac)2 vaporized at 160°C at reduced pressure on
substrates heated at 350-450°C, PECVD using Ni(CO)4 [339] or LCVD using
the same reactant[443—445]. Nickel dendrites formed on aluminium substrates
by means of the nickel carbonyl process may act as selective absorbers in solar

441
TECHNIQUES

thermal energy conversion. Ni films are also widely used in the metallization
of ferrites and as decorative and corrosion resistant coatings.
Chromium films [4486, 4559—4566] of high purity may be deposited
by means of the pyrolysis of Cr(CO)6 [4564] or the hydrogen reduction of
CrCl2 or Crl 2 [4561—4563]. Chromium films have also been prepared from
several OMs (dicumene chromium [4480], cycloheptatriene chromium tricar-
bonyl [4560], chromium, bis-benzol [4565], chromiumbis-aren [4565], chromium
bis-ethyl benzol [4483], and chromium-bis-cumol [4483]) either in vacuum
or in the flow of a carrier gas such as argon, helium, or hydrogen at 350°—
600°C. LCVD based on Cr(CO)6 [393, 394, 438, 440], Cr(C6H6)2 [450] or Cr02Cl2
has recently been introduced. Chromium films are widely used in electronics
for the fabrication of contacts and resistive elements, and as photomasks.
Vanadium films, used as contacts for Schottky-barrrier diodes, have
been synthesized by means of the hydrogen reduction of VC14 at 1300°C
[4567]. The decomposition of VI2 in vacuum at high temperatures also
gives V films [4480].
Copper deposition [4486, 4568 — 4572] can be achieved by means of either
the hydrogen reduction of cuprous chloride, Cu2Cl2, at 500—700°C in the
presence of HC1 in order to prevent premature decomposition, or the decom-
position of organometallic compounds [4568 — 4571] such as copper acetyla-
cetonate at 300-450°C [4569] and copper formate at 300°C [4480]. UVCVD and
LCVD based on copper hexafluoropentanedionate have also been successful
[356, 4572].
Gold films [4486, 4573] have been deposited either by means of the decom-
position reaction of AuCl3 (which is vaporized by heating at 150°C) at sub-
strate temperatures of 300—450°C or from several OMs such as auric phos-
phine complexes [4573], auric dimethyla^etylacetonate [183], and auric alkyl-
mercaptides [183]. LCVD is based on the Me2Au(acac) complex [432, 433].
Silver [4574, 4575] has been deposited selectively on silicon by means of
direct contact reduction of silver fluoride vapour at temperatures ranging
from 80°C to nearly 600°C:
Si + 4AgF > 4Ag + SiF 4 (14.1)
Silver has also been prepared from argentic carboxilates [4575].

14.2.2 Films of Other Metals

Antimony (Sb) can be deposited by means of the hydrogen reduction at


500 —600°C of SbCl3 vaporized at 80—110°C or the decomposition of stibine
(SbHg) in excess hydrogen at 150°C [4480).
Arsenic (As) can be obtained similarly by using either the hydrogen
reduction at 300—500°C of AsCl3 or the thermal decomposition of arsine
(AsH3) at 230-300°C [4480].
Beryllium (Be) layers have been prepared using the reduction of beryllium
iodide vapour in hydrogen at 800°C [4480], hydrogen reduction of beryllium
chloride (vaporized at 300°C) at 500—800°C [4480], the chemical vapour
transport of solid Be with NaCl vaporized at 800—900°C [4480], the reduc-

442
CONDUCTIVE T H I N F I L M S

tion of BeCl 2 with aluminium monochloride vapour generated by means of


the reaction between A1C13 vapour and Al metal at 800—1200°C [4480],and
thermal decomposition of organoberyllium compounds [4576, 4577].
Bismuth (Bi) films have been obtained by using the following systems:
BiCl 3 (250°C)-H 2 at 250°C; B i H 3 - H 2 at 250—300°C, and Bi(CH 3 ) 3 —toluene
vapour (350—600°C) [4480]. TMBi has also been used in LCVD of this ma-
terial [392].
Cadmium (Cd) films have been obtained by means of the decomposition
of its alkyl, aryl, or acetylacetonate compounds in the vapour phase [183,
4483]. UVCVD [341] and LCVD [392, 398, 4 3 4 - 4 3 7 ] using DMCd as reactant
have also been investigated.
Cerium (Ce) films have been prepared b y means of LPCVD using the
thermal decomposition of cerium triacetylacetonate at 550°C [4578].
Cobalt (Co) films [4579] are relatively easily vapour-deposited byusing^
several processes: the pyrolysis of cobaltous acetylacetonate or cobalt nitrosyl
tricarbonyl at 400 —450°C; the thermal decomposition or hydrogen reduc-
tion of CoI 2 vapour at temperature higher than 800 or 600°C; the pyrolysis of
cobalt dicyclopentadienyl at 400—450°C; or hydrogen reduction (at 400 —
450°C) of CoCl3 vaporized at 60-150°C [4480].
Hafnium (Hf) [4580] can be deposited by means of either the thermal
decomposition of its iodide at 1200°C or bromide at 1300°C, or the hydrogen
reduction of hafnium bromide or chloride at 800—1000°C [4480].
Indium (In) films have been prepared from the decomposition of vapours
of indium cyclopentadienyl [4581] or alkyl compounds [4582] of indium.
Laser-assisted deposition has been investigated using TMIn and In monoio-
date as reactants [391].
Iridium (Ir) films can be obtained by means of either pyrolysis or reduc-
tion of its metal halides, or organometallic reactions using its carbonylchloride
or acetylacetonate [4583].
Iron (Fe) films [4584—4586] have commonly been obtained from decom-
position of iron pentacarbonyl at 140°C [4585, 4586]. Other methods employed
are based on the hydrogen reduction of ferric chloride (FeCl3) at 650°C [4584]
and the thermal decomposition at low pressure of F e l 2 at 1100°C [4480].
Lead (Pb) films [4587] have been prepared by means of the thermal or
electron-beam decomposition of tetraethyl lead at 200—300°C.
Magnesium (Mg) can be prepared by means of the pyrolysis of its
dicyclopentadienyl compound [4588].
Films of manganese (Mn) have been prepared from its carbonyl, car-
bonyl-halogenide, bis-aren, bis-benzol, and cyclopentadienyl compounds
[4483, 4589, 4590].
Niobium (Nb) [459 l·—4593] can be obtained by means of the thermal
decomposition or hydrogen reduction of its pentachloride or pentabromide
at temperatures higher than 1800°C [4480, 4591]. Much lower deposition
temperatures are used in the thermal decomposition of organometallics
such as alkyl Nb [4483], hydrocarbonyldien complexes of Nb [4592], bis-aren
compounds of Nb [4590] and bis-benzol Nb [4590].
Osmium (Os) can be prepared by using the hydrogen reduction of O s 0 4
[4594], volatilization followed b y the thermal decomposition of OsCl4 at

443
TECHNIQUES

~ 600CC, the pyrolysis at 600°C of Os(CO)3Cl2 and at 300°C of complexes of


Os0 4 with therpen, oc-pinen and a-limonen [183].
Palladium (Pd) can be obtained by means of the pyrolysis of Pd(acac)2
vaporized in vacuum at 150°C [4483] or π-allyl palladium compounds at
about 350-450°C [128], as well as from SP of Pd (acac)3 [185].
Plutonium (Pu) [4595] can be prepared from its cyclopentadienyl com-
pound.
Rhenium (Re) [4596—4598] can be obtained by means of the thermal
decomposition of a halide such as ReCl3, ReCl5, ReCl6, ReCl7, or ReBr 3 in an
inert gas at 1000-2000°C, of a carbonyl halide, Re(CO)5X, where X = Cl,
Br, or I at 400°C, or of rhenium oxychloride ReOCl4 at 1250—1500°C [4480].
Hydrogen reduction of the above compounds as well as SP from Ru(acac)3
[185] allows lhenitm deposition at much lower temperatures.
Rhoditm (Eh) can be obtained by means of the thermal decomposition
of its carbonyl chloride at 600°C [4480] or the hydrogen reduction of its
tri- [4569] and hexafluoroacetylacetonates at 250°C [4483].
Ruthenium (Ru) [4599—4602] can be obtained by decomposing Ru(CO)5
at about 200°C, Ru3(CO)12 at 300°C in vacuo, or Ru(CO)2Cl2 at 600°C in
vacuo [4480], or cartonylchlorides of Ru [4483]. Pure ruthenium has also been
deposited frcm ruthenocene (the ruthenium complex with cyclopentadiene)
[4601].
Thorium (Th) can be deposited by using thermal decomposition at
1700°C of Thl 4 vaporized at 400°C [4480, 4603].
Films of tin (Sn) have been prepared by using the pyrolysis of alkyl com-
pounds of tin in the presence of hydrogen at a substrate temperature of
r^ 300°C [4604, 4605], the hydrogen reduction of stannous or stannic
chloride (Sn0 2 or SfiCl4) at 550°C [4616], and UV-assisted decomposition of
TMT [373].
Titanium (Ti) [4606—4609] may be deposited by means of the thermal
deccmposition of its iodide at 1200— 1500°C [4606] or hydrogen reduction
of its brcmideat 1100-1400°C [4480]. Alkyl-[4608], aryl-[4609] and cyclo-
pentadienyl- [4607] ccmpounds may also be used for preparing titanium films
in a flow of inert gas at substrate temperatures of 550—700°C at low pressure
(0.01-10 torr). LCVD of this material is based on TiCl4 [399].
Uranium (U) can be prepared by using pyrolysis of UI 4 (at temperatures
below 1050°C) [4610] and of its cyclopentadienyl compound [4595]
Zinc (Zn) layers have been prepared from the decomposition of zinc me-
thylacetylacetonate [4611] or alkyl and aryl compounds of zinc [183] in the
vapour phase. PECVD [46121 and LCVD of Zn have been carried out from
DMZn [392, 400].
Zirconium (Zr) can be deposited by means of techniques similar to those
used in the case of titanium.
Thus, Zr may be obtained by thermally decomposing Zrl 4 or ZrBr4
(vaporized at 250°C) in an argon, helium or hydrogen flow, at atmospheric
or reduced pressure at 1200— 1300°C, or by reducing with hydrogen the same
Zr compounds at lower temperatures (800—1000°C) [4480, 4613].

444
CONDUCTIVE THIN FILMS

14.2.3. Films of Alloys

Very little information has been reported on the CVD of alloy films [4480].
Films of some alloys are already used in electronics and other industrial fields.
For example, nickel-iron alloys (Permalloy) are suitable for use in computer
elements; Al 3 Ta and Cr—Ni alloys as resistors; Nb 3 Sn alloy as superconduc-
tors ; chromium or nickel alloys for obtaining wear-, corrosion-, and oxida-
tion-resistant coatings; etc.
Alloys can be prepared by means of the following processes: simul-
taneous hydrogen reduction of the corresponding halides; simultaneous pyro-
lysis of carbonyls for other suitable compounds; displacement reactions
between a metal halide and a metal substrate and diffusion of a metal (depo-
sited by means of halide pyrolysis) into the substrate metal.
Aluminium alloy coatings can be obtained by means of displacement
reactions on molybdenum, tantalum, tungsten, iron etc., by using treatment
in an A1C13—H2 or AlBr 3 —H 2 atmosphere at 1000°C. Diffusion of Al, obtained
from aluminium monofluoride vapour, into iron, nickel, chromium, copper,
molybdenum, tungsten, vanadium, or tantalum at ^ 950°C have also been
used. Al—Si alloys which are commonly used as interconnect lines for VLSI
circuits can be deposited, in addition to vacuum evaporation or sputtering,
b y means of LPCVD [4492].
Chromium alloy coatings can be obtained by using: concomitant hydrogen
reduction of halides (e.g. Cr—Al alloy from CrCl3—A1C13—H2 system at
1200— 1500°C), and displacement reactions (e.g. Cr—Fe alloy from CrCl2 or
CrCl 3 —H 2 passed over iron heated at 1000—1200°C).
Nickel alloys with iron of the Permalloy type [4614, 4615] can be depo-
sited as films having thicknesses of about 2500 A on glass substrates by
means of the pyrolysis of iron and nickel carbonyls mixtures. Nickel-iron
alloys show magnetic properties suitable for use in computer elements.
Tin alloys with copper, or iron [4616] can be obtained by means of the
diffusion of tin formed on the substrate surface from a mixture of stannous
chloride vapour and hydrogen.
Titanium alloy coatings may be prepared by the simultaneous deposi-
tion of metals (e.g. Ti—Ta from a TiCl 4 —TaCl 5 —H 2 mixture at 1300— 1400°C)
or, in some cases, a displacement reaction between a titanium halide and
the base metal (e.g. Ti—Fe alloy from TiCl 4 —H 2 passed over iron heated
a t 900°C).
Vanadium and zirconium alloy coatings can be prepared by means of
displacement or diffusion processes similar to the preparation of Ti and Cr
alloys.
Ta—Nb alloys can be prepared by means of hydrogen reduction at
1300—1700°C of a TaCl 5 — NbCl 5 mixture vaporized at 250°C.
W—Mo alloys [4617] are prepared by using the MoCl5—WC16—H2 or
M o F 6 — W F 6 - H 2 systems at 1100-1500°C.
Other W alloys, such as W—Ta [4618, 4619], W—Re [4620—4622],
W—Mo—Re [4623], have been prepared by using metal halide reduction.

445
TECHNIQUES

14.3 Resistor Materials


The deposition of resistors is of great importance in the fabrication of hybrid
integrated circuits by means of thin film technology. This application
requires materials having a high film resistance and good stability in the
face of temperature variations and aging. Up to now, the resistive properties
of N i - C r [4624], Cr [4625], Ta 2 N, TaN and Ta 3 N 5 [4545], TaAIN [4626],
TaAl 3 [4627], S n 0 2 [4628], l n 2 0 3 [4629, 4630], V 0 2 [4631-4634] and TiC
films prepared by CVD have been reported. Their characteristics are usually
comparable to those of materials prepared by other methods (vacuum evapo-
ration and sputtering).
Nickel-chromium alloy has been prepared by means of OMCVD from
Cr bis-benzol and nickel dicyclo-pentadienyl. From this alloy resistors with
nominal values from 50 to 200 k ü can be fabricated [4624].
Chromium films with sheet resistances varying between 0.5 and 5500 Ω / Π
(ohms per square) and temperature resistance coefficients from 0 to 25 x 10~6
ppm/K are prepared from chromium bis-benzol and its halogen derivatives
[4483].
Tantalum nitrides have been prepared using CVD on fused silica sub-
strates according to the following reactions:
800-1000°C
2TaCl 5 + 5H 2 + 1/2N2 > Ta 2 N + 10HC1 (14.2)
1000 — 1500°C
2TaCl 5 + 5H 2 + N 2 > 2TaN + 10HC1 (14.3)
900° —1300°C
3TaCl 5 + 5NH 3 > Ta 3 N 5 + 15HC1 (14.4)
The electrical properties of films are similar to those reported for the
bulk and are dependent on their microstructure. For Ta 2 N and TaN the tem-
perature coefficient of resistivity (TCR) is positive (in contrast to that of
sputtered films) varying between 30 and 3000 ppm/K and the resistivity has
a value of 180—350 μΩ cm. In the case of Ta 3 N 5 , the TCR is negative (α ^
— 40,(tOO ppm/K) and the resistivity has a value of about 6 Q c m [4555].
Tantalum - aluminium nitride, commonly prepared by reactive sputte-
ring, has a resistivity higher than that of TaN films, namely 2500 μΩ cm
and a negative TCR of about -200 ppm/°C.
It is possible to prepare this resistive material by using concomitant
nitridation with N 2 or NH 3 of tantalum and aluminium chlorides.
Tantalum-aluminium alloy, which is of interest in the fabrication of
resistors used in thermal printing heads, has also been prepared by means of
CVD using organometallic reactions.
Tin oxide (Sn0 2 ) resistor films have usually been prepared on amorphous
glass substrates by using three main processes: the hydrolysis of a spray of
tin chloride dissolved in an organic compound such as ethanol on substrates
heated to 500—800°C; the reaction of vaporized tetraethyl tin with oxygen
at 450 —600°C; and the reaction of a mixture of oxygen, hydrogen, and tin
chloride. Doped films can be obtained by adding antimony chloride or tributyl
antimony to . the reaction.

446
CONDUCTIVE TJETIN F I L M S

Typically, undoped Sn0 2 films exhibit a resistivity of ^ 10~2 Ω cm and


a TCR of ^ —10-3 ppm/°C, while Sb-doped Sn0 2 films have a lowered resisti-
vity of ^ 10"3 Ω cm and a TCR of « 0 [4486].
The conductivity of Sn0 2 films depends strongly on several factors,
namely crystalline structure film thickness and dopant concentration.
Indium oxide (ln 2 0 3 ) resistor films have been prepared by reacting either
indium acetyl acetonate with oxygen at substrate temperatures greater than
300—350°C [4486, 4629, 4630] or triethyl indium and oxygen at 300°C. Un-
doped films exhibit a resistivity of about 10"2 Ω cm, which can be decreased
to rw 10"3 —10"4 Ω cm by doping with Sn.
Vanadium dioxide (V02) films have been prepared on substrates such
as glazed ceramics, sapphire, rutile, or mica by means of two techniques,
namely the oxidation of VC13 with C0 2 at about 125°C followed by the reduction
of the V 2 0 5 formed to V0 2 by heating to 500°C in an oxygen-containing
atmosphere or the decomposition of vanadyl acetylacetonate [(C5H702)2VO]in
a N 2 —0 2 gas mixture at 400°C. The resistance of V0 2 films decreases by a
factor of about 103 at the transition temperature of 68°C [183].
Titanium carbide (TiC) films have been obtained from the TiCl4 —C3H8—
H 2 system over the substrate held at 850—1000°C [44] or by means
of the pyrolysis of titanium cyclopentadienyls [183].
Typical resistivities of TiC films are about 2 x 10~4 Ω cm.

14.4 Transparent Conducting Films


Thin films of optically (semi) transparent and electrically conducting mate-
rials [4635—4639, 5217] are required in many modern optoelectronic devices.
Transparent conducting films prepared by means of CVD are the semi-
conducting oxides such as Sn0 2 [4640-4670], ln 2 0 3 [4671, 4672], CdO [4673],
Cd 2 Sn0 4 [187], and indium-tin oxide (ITO) [4674—4676]. These films are also
prepared successfully by means of physical deposition techniques such as
reactive evaporation, reactive sputtering, ion plating, etc. In general, these
techniques produce high quality films at low temperature, but their commer-
cial applicability is limited by complex processing, high equipment cost and
relatively low throughput. CVD is therefore one of the most commonly employ-
ed techniques, since it allows economical production of films satisfactory
in almost all applications.
The deposition of transparent conducting films is usually achieved by
means of APCVD (hydrolysis of the metal chlorides or pyrolysis of metallo-
organic compounds). PECVD allows the deposition of these materials at
very low temperature.
Superior properties of these films, namely high conductivity and optical
transmission, lack of contamination, non-stoichiometry, smooth and flawless,
surface morphology, fine-grained polycrystalline structure, increased environ-
metal stability, and easy etchability are required in sophisticated applications.
Transparent conducting films, particularly tin oxide doped with antimony
and indium oxide doped with tin, have increasing applications as electrodes
in liquid crystal displays, gates in charge-coupled device imagers, electrical

447
TECHNIQUES

heaters for windshield de-icers, windows in heterojunction solar cells, and


heat mirror coatings in energy-conserving windows.
The preparation, properties, and applications of transparent conducting
films were completely surveyed in 1977 by Vossen [4638]. A considerable
amount of new work has, however, appeared since then.
Undoped (or doped) S n 0 2 films have been deposited using SnCl 2 [4641]
or SnCl 4 [4650, 4659] oxidation with 0 2 , SnCl 4 hydrolysis at 500-800°C
[4640, 4642, 4644, 4647, 4650, 4651, 4655, 4660-4663], the pyrolysis of me-
tallo-organic compounds such as tetramethyl tin, Sn(CH 3 ) 4 , [4646, 4648—4650],
dimethyl tin dichloride, (CH 3 ) 2 SnCl 2 [4663], or dibutyltindiacetate, Sn(C 4 H 9 ) 2
(CH 3 COO) 2 [4643, 4658] in the presence of oxygen at 400—550°C, and
plasma enhanced CVD [pyrolysis of Sn(CH 3 ) 4 or SnCl 4 [4644, 4653] in an
RF-[338] or d.c.-[4644] activated oxygen plasma] at 30—550°C.
Hydrolysis can be performed in two main ways: by reacting the SnCl 4
and H 2 0 vapours transported with a carrier gas into a furnace tube (vapour
hydrolysis) or by spraying an alcoholic solution of SnCl 4 over a heated sub-
strate (spray hydrolysis).
The latter technique is extensively used on an industrial scale, including
b y means of a CVD conveyor furnace. I t is suited principally to glass substrates
since relatively high temperatures are required. The major disadvantages
associated with this technique are the following: substrate warping caused
by thermal gradients; p-type doping resulting from the diffusion of alkaline
substrate impurities; high energy requirement for heating; undesirable
etching effects caused by the HC1 by-product; chlorine contamination; and
poor optical quality.
Optimum electrical film properties are only obtained by removing alkali
ions from the surface of the glass substrate. This can be accomplished by
means of surface ion depletion, selectively etching alkali ions with acids,
or precoating the glass with Si0 2 .
Pyrolysis mainly consists of the vapour-phase oxidation of volatile
organometallic tin compounds.
The vapour-phase oxidation of SnCl 4 with 0 2 in an Ar carrier gas a
substrate temperature of 600—800°C has also been used.
This process, which is performed at relatively low temperatures in relati-
vely inexpensive equipment, yields pure films having reproducible superior
optical and electrical properties. The major disadvantages of the pyrolytic
technique are small areas of uniform deposition and the high cost of organo-
metallic reagents.
PECVD can be used to deposit S n 0 2 films at very low substrate tempe-
ratures and relatively high deposition rates.
Undoped S n 0 2 films have a relatively high n-type resistivity, caused by
oxygen deficiency in the order of lO"1 Ω cm and high light transmission (90—
95%). These films can be used for some applications not requiring very low
sheet resistance.
Doped S n 0 2 is widely used in practice. S n 0 2 can be doped at cation
sites with Sb, In, Cd, Bi, Mo, B, P, Te, or W and/or at anion sites with F
or Cl. Cationic dopants of the group V elements such as Sb, P, As are pre-
ferably used to enhance the conductivity. These are introduced in the reac-
tant mixture b y using either transport in a stream of inert gas of volatile

448
CONDUCTIVE T H I N F I L M S

liquid, dopant chlorides or fluorides (e.g. SbCl5 [4643, 4658], PC15, HF [4659],
etc.) or the direct addition of gaseous dopant hydrides (PH3 [4649], AsH3
[4648], etc.).
Doped Sn0 2 films are usually obtained by using both the hydrolysis
and pyrolysis techniques. These films have properties superior to undoped
films, namely higher conductivity and thermal stability, that make them more
satisfactory in a variety of electro-optic applications.
Typical films (Sn0 2 : 0.6—2.7 at % Sb) have n-type resistivity in the
order of 10-3 Ω cm and light transmission of 85 — 90%.
Undoped ln 2 0 3 can be prepared by using the hydrolysis of indium chlo-
ride (InCl3) or the pyrolysis in oxygen of indium acetylacetonate (at 320—
400°C) [4629, 4630] and chelates of ß-diketonates of indium [4671, 4672]. Its
optimum resistivity and optical transmission are in the order of 10~2 Ω cm
and 90%, respectively.
Doped ln 2 0 3 can be obtained by using different donor (Sn) and acceptor
(F, Ti, and Sb) dopants. Acceptor dopants, except for F, are of no interest
in transparent conductors.
Indium oxide doped with tin (ln 2 0 3 : Sn, ITO) is at present the best
available material for the fabrication of transparent conducting films for
device applications [4674—4676]. Indium tin oxide is mainly obtained using
the pyrolitic oxidation at 550°C in an oxygen-nitrogen mixture or nitrogen
saturated with water vapour of the indium chelate derived from dipivaloyl
methane in combination with dibutyl tin diacetate [4671, 4672]. It is also
possible to use the same process starting from the acetylacetonates of indium
and tin mixed in one evaporator at a substrate temperature of 350—450°C.
Typical films (ln 2 0 3 : 8 at% Sn) have n-type resistivity in the order of 10"4
Ω cm and optical transmission in excess of 80% throughout the visible spec-
trum.
Cadmium stannate (Cd2Sn04) is another transparent conductor having
desirable properties, namely good durability and chemical resistance. It
also shows the unexpected effect of improving transparency with increasing
film conductivity.
The only CVD method used for its preparation is spray pyrolysis at tem-
peratures lower than 300°C or higher than 800°C starting from organic solu-
tions of CdCl2 and SnCl? [187, 202].
Cadmium stannate films have been used as electrodes in photogalvanic
cells.
Cadmium oxide (CdO) was the first material reported to be at the same
time semi transparent to visible light and electrically conducting. It can be
prepared by means of oxidative pyrolysis of various Cd-alkyls [4673].

14.5 Miscellaneous Materials (Boron and Borides, Carbon and


Carbides, Nitrides, Oxides, and Silicides)

In this section, miscellaneous nonmetallic materials will be examined. The


nonmetals can be prepared in thin film form by means of CVD processes
similar to those which have been developed previously for their deposition

449
TECHNIQUES

as thick films or bulk materials. A comprehensive review of nonmetals and


processes used for their preparation was published in 1966 by Powell [4677].
New materials and processes that have appeared since then were briefly
summarized in a more recent survey [10].

14.5.1 Boron and Borides

CVD films of boron [4678—4683] and the borides of aluminium (A1B), silicon
(SiB), titanium (TiB2) [4684-4689], zirconium (ZrB2) [4690, 4691], hafnium
(HfB2), vanadium (VB2), niobium (NbB2) [4692, 4693], tantalum (TaB2)
[4693, 4694], chromium (CrB), molybdenum (MoB), tungsten (WB), iron
aFeB, cobalt (CoB), nickel (NiB)) and several alloys [e.g. Ti—Zr, (Ti, Zr)B2„
(nd Ta—Ti, (Ta, Ti)B2] [4695] have been investigated in some detail to date.
CVD preparation of other borides such as thorium, uranium, copper,,
manganese, and the rare-earth borides has also been reported.
The borides are, in general, hard refractory materials with high thermal
and electrical conductivity and increased resistance to oxidation and chemical
attack.
They are, therefore, of both scientific and technological interest.
Borides are usually deposited by two processes: (a) concomitant hydrogen
reduction of the metal and boron halide; and (b) boriding, i.e. the diffusion
of chemical-vapour-deposited elemental B into the base metal.
Boron can be prepared by means of two chemical processes (a) hydrogen
reduction of a boron halide (e.g. BC13 [4679, 4682] or BBr3 [4678]); and (b)
thermal decomposition of a boron halide, a boron hydride (e.g. B2H6 [4677]
or decaborane [4683]), and alkyl boron compounds [e.g. trimethyl boron,.
B(CH3)3 [4677].
CVD of boron on silicon, graphite, refractory metals, and other sub-
strates has been most frequently accomplished using the reduction of boron
trichloride by hydrogen at temperatures ranging from 950 to 1200°C.
Among the borides, diborides of the group IV and V metals have been
studied most completely. Coatings of TiB2 and ZrB2 are applied to photother-
mal solar absorbers. These coatings possess adequate optical properties, i.e.
high solar absorptance to maximize the fraction of solar energy transformed
into heat and low thermal emittance to suppress IR reradiation losses. TiB 2
has been prepared by means of the systems TiCl4—BBr3—H2 (1000—1500°C)^
TiCl 4 -BCl 3 -H 2 (900-1600°C) [4684-4686], and T i C l 4 - B 2 H 6 - H 2 (600-
900°C) [4687]. PECVD of TiB2 from T i C l 4 - B 2 H 6 - H 2 system has also been
investigated [4689]. ZrB2 can be achieved by means of similar processes,
(e.g. from Z r C l 4 - B 2 H 6 - H 2 [4690, 4691]).
TaB 2 and NbB 2 are known to be hard refractory coatings with excellent
chemical and oxidation stability. Coatings of TaB 2 can be prepared from
T a C l 5 - B B r 3 - H 2 (1000-1500°C) [4693], TaCl 5 -B 2 H 6 -H 2 (500-900°C)
[4694], TaCl 5 -BCl 3 -H 2 (850-1200°C), or T a B r 5 - B B r 3 - H 2 (1000-1600T),
and those of NbB 2 from the corresponding systems (e.g. NbCl5 — BC13—H^
[4692], N b C l 5 - B B r 3 - H 2 [4693].

450
CONDUCTIVE THIN F I L M S

H.5.2 Carbon and Carbides


Carbon [4696, 4697] and carbides [4698 — 4703] have numerous practical appli-
cations, including in the field of semiconductors. CVD is currently the most
widely used method for their preparation. Other possible methods are reactive
evaporation, (reactive) sputtering, and ion plating. Carbon has been the first
chemical-vapour deposited material. It is obtained in many structural modi-
fications (e.g. pyrolitic carbon, etc.) by means of the pyrolysis of hydrocarbon
compounds such as gaseous aliphatics and aromatics (CH4, C2H6, C2H4,
C2H2, C6H6). The deposition processes are very complex owing to many con-
secutive reactions with radicals as intermediates. Pyrolytic carbon layers
are used in many non-electronic fields as well as in semiconductor electronics for
coating fused silica boats in Ge and InSb zone refining and crystal? growing.
Metal carbides are generally prepared by using the pyrolysis of either a
gaseous mixture of a volatile metal halide and a carbon compound (i.e. hydro-
carbons, CO, CC14) or an organometallic compound containing carbon-to-
metal bonding.
Important carbides are the simple carbides such as thoseof some intersti-
tial transition metals (i.e. TiC [4697-4701] , ZrC, HfC, VC [4702, 4703],
NbC, TaC, CrC, MoC, and WC), silicon carbide (SiC), and boron carbide
(B4C) [505, 4697]; mixed carbides (i.e. Ti—Si—C, Ti—Ge—C); carbonitrides
(i.e. Ti(CN), Zr(CN), Hf(CN); and oxycarbides (i.e. Ti—C— O).
Titanium carbide (TiC) is one of the technically most important wear-
resistant coating materials. It can be obtained from either the TiCl4—C6H6—H2
[4698, 4699], T i C l 4 - C H 4 - H 2 [4696] or TiC^-CgHg-H,, [4701] systems,
or titanium cyclopentadienyl [4607]. The other transition metal carbides can
be prepared by using methods similar to the ones* used for TiC. VC can also
be prepared from vanadium oxalate—CH4—H2 (650—1100°C) [4702] or
vanadium acetylacetonate—CH4—H2 [4703].
Silicon carbide (SiC) layers are formed mainly by using the pyrolysis
of methylchlorosilanes; mixtures of silanes or chlorosilanes and hydrocarbons
can also be used [4677]. Boron carbide (B4C) is usually prepared from BC13—
CH4—H2 mixtures [505, 4697]. The carbide layers show many useful properties,
such as great hardness, high melting point, good corrosion resistance, and
attractive colours. Carbide coatings are mainly used as wear-resistant mate-
rials, as coatings protective against chemical attack, as decorative coatings,
and as diffusion barriers. SiC provides wear-resistant surfaces in CVD techno-
logy (coating of graphite susceptors) and in some electronic devices, such
as silicon solid-state printing heads; X-ray lithography masks can be fabri-
cated by using an SiC mask-support film on a silicon slice. Some transition
metal carbides and carbonitrides such as VC, NbC, TaC, TiC, ZrC, HfC,
Zr(CN), Hf(CN), Ti(CN) [4710, 4711] and B(CN) [4712] are interesting as
spectrally selective materials.

14.5.3 Nitrides
An important group of nitrides (boron, aluminium, silicon, germanium and
gallium nitride) which have relatively high melting points but decompose at
temperatures below their melting point, having various applications in elec-

451
TECHNIQUES

tronics, as insulators and as dielectrics, have been discussed previously in


Section 13. In the present section, another group of metal nitrides having
high melting points and high stability — the refractory nitrides (titanium
[4698, 4699, 4704-4709], zirconium [4704, 4707], hafnium [4707], vana-
dium, niobium [4704], and tantalum [4704] nitrides i.e. TiN, ZrN, HfN,
VN, NbN, and TaN — will be considered. These metal nitrides are usually
prepared using the reactions of metal halides with nitrogen plus hydrogen or
ammonia, or of metals with nitrogen at temperatures higher than 1000°C.
For example, TiN is obtained from TiCl 4 —N—H 2 system [4709] or accor-
ding to the following reaction [4705]:

TiCl 4 + N 2 + H 2 Ü22JL* TiN + 4HC1 (14.5)

Their preparation at lower temperature (300—500°C) can be accomplished


b y means of the thermal decomposition reaction of organometallic compounds
such as dialkylamides of titanium, zirconium, niobium and tantalum [i.e.
Ti(NMe 2 ) 4 , Zr(NMe 2 ) 4 , Nb(NEt 2 ) 5 and Ta(NEt 2 ) 5 )] [4704]. Titanium nitride,
typical of the compounds, has a melting point of 2950°C, a Vicker's microhard-
ness of 1400—2000 Kg/mm 2 , and a high chemical stability in acid or alkali
solution. Films of titanium nitride can be used as protective and decorative
coatings on metals (i.e. iron, etc.). These films, as well as those of ZrN, are
also of interest as spectrally selective materials in solar absorbers. Films of
tantalum nitrides (Ta 2 N, TaN, and Ta 3 N 5 ) are used as high stability resistors.
Other metal nitrides of minor interest (i.e. Be 3 N 2 , Th 3 N 4 , LaN, etc.) can be also
prepared by means of CVD.

14.5.4 Oxides

Except for the oxides used as dielectrics (i.e. Si0 2 , A1 2 0 3 , Ti0 2 , Zr0 2 , Hf0 2 ,
Ta 2 0 5 , Nb 2 0 5 , and T h 0 2 ) , as transparent conductors (i.e. l n 2 0 3 , Sn0 2 , CdO),
as resistors (i.e. V0 2 ), and as semiconductors (i.e. ZnO), which were examined
in previous sections, miscellaneous metal and nonmetal oxides [4713—4725],
some of which are of particular interest in specific applications (i.e. the simple
oxides CuO, BeO, MgO [4721], B 2 0 3 , Ge0 2 , PbO, P 2 0 5 , Sb 2 0 5 , V 2 0 3 , Cr 2 0 3 ,
W 0 3 [4718-4728], Mo0 3 [4719], Mn0 2 , FeO, a-Fe 2 0 3 and ß-Fe 2 0 3 [ 4 7 1 3 -
4717], NiO [4716], CoO, and U 0 2 [4723] as well as complex oxides^MgO ·
A1 2 0 3 (spinel) [4722], P b T i 0 3 [4724], and LiNb0 3 [4725]) are examined here.
These oxides are usually prepared in the amorphous or polycrystalline
states by means of one of several chemical processes, which can be divided
into three groups: (1) hydrolysis (or oxidation) of the metal halides; (2) oxi-
dation of the nonmetal hydrides; and (3) pyrolysis, oxidation, or hydrolysis
of the appropriate organometallic compounds.
Hydrolysis of metal halides such as chromyl chloride (Cr0 2 Cl 2 ), FeBr2>
CoBr 2 , NiCl 2 (NiBr 2 ), and MnCl 4 in a temperature range of about 400— 1000°C,
has been used to prepare the corresponding oxides, i.e. Cr 2 0 3 , FeO, CoO, NiO,
and M n 0 2 [4677]. M n 0 2 has also been deposited by the pyrolysis of Mn(N0 3 ) 2
vapour [14].

452
CONDUCTIVE T H I N FILMS

Using the oxidation of hydrides such as GeH 4 , B 2 H 6 , and P H 3 in the


presence of an inert gas, films of Ge0 2 , B 2 0 3 , and P 2 0 5 have been deposited
in a relatively low temperature range (300—450°C). Reactions of various
organometallies typically at 450—700°C are the most widespread in the prepa-
ration of nearly all metal oxide films.
Metal alkyls have served to prepare PbO and Ge0 2 films with the help
of the oxidation reaction [183]. Ruthenocene Ru(C 5 H 5 ) 2 pyrolysed in 0 2 at
575°C has produced R u 0 2 films having a resistivity of 90 μΩ cm and
good adhesion to Si and Si0 2 substrates [4601].
Metal acetylacetonates have yielded CuO, BeO, Cr 2 0 3 , V 2 0 3 , a-Fe 2 0 3 ,
ß-Fe 2 0 3 [4715, 4716] and NiO [4716] films based on their pyrolytic decom-
position [183]. Metal alkoxy compounds have been hydrolysed to give PbO,
P b 0 2 , Ge0 2 , Sb 2 0 3 , VO* and BO^ films [183]. Polyvinylferrocene pyrolysis
has yielded a-Fe 2 0 3 films [4714].
Appropriate carbonyl compunds, pyrolysed in an oxidizing atmosphere
of 0 2 , CO, or H 2 0 , have been used to deposit amorphous Fe 2 0 3 , WO, and
Mo0 3 [183]. Metal diketonates, e.g. Mg ß-diketonate, can yield by means of
the oxidation process, films of MgO [183].
Among the metal oxides mentioned above only a few, such as Fe 2 0 3 ,
Ge0 2 , B 2 0 3 , P 2 0 5 , R u 0 2 , W 0 3 , Mo0 3 , PbTi0 3 , and LiNb0 3 , have found appli-
cations in electronics and optoelectronics. R u 0 2 is of interest for the metalli-
zation of integrated circuits. W 0 3 and Mo0 3 are used in electrochromic dis-
plays, and P b T i 0 3 and LiNb0 3 are potentially applicable in ultrasonics.
Amorphous F e 2 0 3 resulting from the Fe(CO) 5 — N 2 — C 0 2 system at
temperatures of 90—160°C is used for hard semitransparent coatings, on
photolithographic glass masks. Ge0 2 , B 2 0 3 , and P 2 0 5 are included in the struc-
ture of optical fibres.
Ruthenium oxide (Ru0 2 ) films have been deposited on Si substrates from
ruthenocene [Ru(C 5 H 5 ) 2 ] and 0 2 at 575°C [4601].
Tungsten oxide (W0 3 ), the most widely studied electrochromic material,
has been deposited on glass substrates heated to 400°C by means of SP in
air using a solution of WC1 4 in ethanol [4718], PECVD using the W ( C O \ > - 0 2
system [4719], and APMOCVD [4720].
Lead titanate (PbTi0 3 ) ferroelectric thin films have been prepared by
means of the T i C l 4 - P b C l 2 (heated at 700°C) - H 2 0 — 0 2 system at 500°C
[4724].
Lithium niobate (LiNb0 3 ) films have been obtained starting from orga-
nometallic complexes of Li and Nb [4725].
Spinel (MgO · A1203) layers have been obtained epitaxially on Si sub-
strates by using the A l - H C l - M g C l 2 - C 0 2 - H 2 system at 900°C [4722].

14.5.5 Silicides

Metal suicide layers [4726 — 4732] have aroused much interest, primarily
because of their applications in integrated circuit technology as gate electro-
des, low resistivity (ohmic) or rectifying (Schottky) contacts, and also as con-
ducting layers. Some of them, particularly refractory metal silicides such

453
TECHNIQUES

as MoSi2, can also be used as high-temperature oxidation-resistant coating


materials for refractory metals and alloys, or as spectrally selective materials
in solar thermal energy conversion.
CVD, sputtering and e-beam evaporation are the main methods employ-
ed for thin-film deposition.
D.C. magnetron sputtering and e-beam evaporation are achieved by
means of either co-deposition from alloy targets, or separate sources, or the
direct reaction of deposited metal with silicon. Silicide preparation using the
CVD method relies mainly on co-deposition processes. Deposition of free
silicon from SiH4 or SiCl4—H2 systems followed by diffusion into the base
material to form suicides, as well as the deposition of metal followed by its
silicidation with silane or reaction with silicon, have also been used. Despite
the fact that CVD offers the most potential advantages, at present physical
methods (sputtering and to a lesser degree evaporation) have been more tho-
roughly investigated. CVD is not usually employed because of the undesirable
formation of intermediate silicide phases. However, these phases can some-
times be converted to the phase desired by means of additional annealing steps.
For example, in the LPCVD of tantalum silicide undesired Ta5Si3 is trans-
formed into desired TaSi2 in the presence of excess silicon.
Refractory metal silicides [4541, 4542, 4733-4750, 4965, 4967], namely
the silicides of group IVB-elements (Ti, Zr, Hf), group VB elements (V, Nb,
Ta) and group VIB elements (Cr, Mo, W), have been intensively studied in
order to replace the doped poly-Si and refractory metals in MOS integrated
circuits. Reported work on other silicides, such as those of group VIIB ele-
ments (Mn, Re) and group VIII elements (Fe, Co, Ni, Rh, Pd, Pt), is thus
far'very limited.
Refractory metal silicides have all the characteristics (i.e. high conducti-
vity, high temperature stability, self-passivation by thermal oxidation, com-
patibility with most processing chemicals, reliable ohmic contacts, high-
resolution patterning) desirable for use as gates, interconnects, and contacts
in integrated circuits.
Moreover, their conductivity is higher than that of doped poly-Si
and they can be processed more easily than the refractory metals.
Application of silicides as gates has therefore been implemented in VLSI
technology. The silicide can be used singly (by replacing the poly-Si) or in
a composite structure, i.e. silicide/^>oZy-Si gate (polycide, obtained by depo-
siting the silicide on poly-Si), silicide/metal gate (obtained by means of
silicidation of metal) and silicide/^o/v-Si gate (salicide, obtained by means
of silicidation of poly-Si).
Characterization of silicides first included structural and compositional
investigations by means of advanced methods such as electron diffraction,
X-ray diffraction, electron-probe microanalysis (EPMA), RBS, AES, SIMS,
etc. The study of electrical properties shows that thin film resistivities are
highly dependent on the deposition technique and are usually two to four
times higher than the bulk resistivities which are equal to 15—50 μΩ cm
(e.g. film resistivities of WSi 2 =65 μΩ cm and of TaSi 2 =48 μΩ cm). Chemical
properties such as oxidation, etching, and dopant diffusion by means of ion
implantation, are of importance in processing technology. It was found that
oxidation in oxygen or steam ambients is similar to that of silicon leading

454
CONDUCTIVE T H I N FILMS

to a Si0 2 layer with good breakdown and dielectric characteristics. Dry et-
ching (plasma and reactive ion etching) can be performed in various gas mix-
tures such as CF 4 /0 2 , NF 3 , CC12F2, CCl4/02, CF4/C12, SF 6 /0 2 , and SF6/Cl2.
Dopant implantation does not influence the electrical properties of the sui-
cides and thus these can be used as ion implantation masks.
The most important suicides are the following:
(1) titanium suicide (TiSi2), which can be prepared by using APCVD
from the TiCl 4 —SiCl 4 -H 2 system at 800-1200°C; LPCVD [4736], or
PECVD [4542, 4734, 4737] are usually based on the T i C l 4 - S i H 4 - H 2
system;
(2) niobium suicide (NbSi2), which can be formed by reacting niobium
metal deposited on poly-Si and by using APCVD from the NbCl5—SiH4—H2
system [4737];
(3) tantalum suicide (TaSi2), which has been obtained by means of APCVD
[4737, 4738], LPCVD [4542] or PECVD [4739] using the T a C l - S i H 4 - H 2
system, or by thermally reacting Ta metal deposited on poly-Si ;
(4) molybdenum suicide (MoSi2), which has been synthesized by using
APCVD from the MoCl 5 -SiCl 4 -H 2 system at 1000-1800°C [4737],
PECVD [217, 4541, 4542, 4740], and LPCVD from the MoCl 5 —SiH 4 -H 2
system at 520—800°C, silane silicidation of Mo thin films at 600—850°C,
and reaction of Mo metal deposited on poly-Si] and
(5) tungsten suicide (WSi2), which has been formed by thermally
reacting (at 600—900°C) chemical-vapour-deposited tungsten on polycry-
stalline silicon layers [4517], as well as by means of APCVD [4752, 4753],
PECVD [217, 4541, 4542, 4750], and LPCVD [4753-4749] using WF 6 and
SiH4 at 800—900°C, 250-500°C, and 350—450°C, respectively.
Other refractory metal silicides such as ZrSi2, HfSi2, VSi2, and CrSi2
can be obtained by using co-reduction with hydrogen of the respective metal
chlorides and silicon tetrachloride at temperatures higher than 800°C. PtSi,
which can be used as ohmic or Schottky contacts to silicon, is formed by
sintering CVD Pt deposited on Si at 450—700°C.
15
Preparation and Properties of
Superconducting and Magnetic Thin Films

15.1 Introduction
Two important groups of materials that can be prepared by CVD are super-
conducting and magnetic compounds.
Chemical vapour deposition has been used successfully to synthesize
many stable and metastable high-critical-temperature superconductors
that crystallize in A15 or B l cubic structures. At present, CVD is the most
useful method for fabricating superconductors of metastable compounds
such as Nb 3 Ge that cannot be produced by using conventional metallurgical
processes. The superconductors are potentially useful for a variety of large
scale applications, for example in power transmission lines or large high-field
magnets.
Magnetic oxide films such as ferrites and garnets have also been prepa-
red by means of CVD. These materials in single-crystal form are used mainly
for obtaining magnetic bubble memory or information storage devices in
computers. However, CVD has been largely replaced by L P E for bubble
devices, since the latter method provides higher quality magnetic films.
Several reviews of CVD growth of both superconductors and magnetic
materials are available [12, 14, 15].
The purpose of this chapter is to carry out a brief review of CVD
processes for forming superconducting [4751 — 4783] and magnetic [4784 —
4820] films and to present some of the properties of these materials and
their device applications.

15.2 Superconducting Materials


Chemical vapour deposition has been used to synthesize a number of materials
which are able to conduct supercurrents in very high magnetic fields , namely
type II high-critical-temperature (Tc ^ 15 K) superconductors [4751]. Such

456
SUPERCONDUCTING A N D MAGNETIC THIN FILMS

materials grown to date can be divided into two major groups: intelrmetallic
compounds of the type A 3 (BNb 3 Sn [4752-4755], Nb 3 Ge [4756-4776],
(NbGe)Si [4777,4778], Nb 3 Ga, [4779, 4780], Nb 3 Si [4781], V3Si [4751], V 3 Ga
[4751], crystallizing in the A15 cubic structure and nitrides and the carbo-
nitride of niobium [NbN [4782], Nb(C, N) [4783]] crystallizing in the B l
rocksalt (NaCl) structure.
CVD is a low-cost process amenable to mass production of practical
superconductors in the form of long tapes or wires. However, by using this
relatively complicated and unclean process the control of the layer microstruc-
ture and composition is relatively difficult. Moreover, it is often necessary
to use impurity doping of the layers in order to obtain high critical-current
densities.
These materials (Nb 3 Ge, etc.) have also been prepared in thin film form
by using some physical vapour deposition processes such as sputtering and
electron-beam evaporation.
Sputtering offers the advantage of producing layers with excellent super-
conducting properties without any doping to control microstructure, but it
is a low-rate process.
Evaporation is a clean high-rate process, but its cost is high and, simi-
larly to CVD, microstructure and composition control is relatively difficult.
It may be concluded that, at present, CVD is the preferred process for the
fabrication of practical superconductors, particularly metastable ones, such
as Nb 3 Ge that cannot be obtained by conventional metallurgical processes.
Superconducting compounds are typically obtained by the hydrogen
reduction of mixed chloride vapours at temperatures of 700— 1100°C. Chloride
vapours are obtained by means of in-situ chlorination of metals, the evapora-
tion of solid chlorides, or the bubbling of liquid chlorides.
Superconducting properties, such as the critical temperature T c , above
which superconductivity disappears, the upper critical field Hc2 (4.2 K)
below which a material is superconducting at the temperature of liquid helium
and the critical current density Jc (H, T) above which the superconductivity
is lost, are determined mainly by the crystal structure and chemical composi-
tion of the material. Useful CVD deposits should be polycrystalline with
either a fine-grained microstructure or a coarse-grained ministructure con-
taining defects such as second-phase impurities capable of flux pinning.
Superconducting materials deposited on a metallic substrate ribbon are
used in the form of long tapes for producing power transmission cable.
For this application, with no field applied, J'Q s between 106 and 107, A/cm 2
are required at the operating temperature.
Another important use of superconducting films (typically 1 to 10 μΐη
thick) deposited preferably on filaments is in high field magnets. In this
case, the material must carry a Jc ^ 105 A/cm 2 at the field and temperature
of utilization.
Table 15.1. summarizes the preparation processes and superconducting
properties of CVD superconducting films.
Nb 3 Sn, niobium stannide, is the most widely used superconducting com-
pound [4752 — 4755]. It can be prepared on ceramic materials and various
metal substrates such as the nickel-based alloy Hastelloy by using several
techniques [4754]:
(1) HC1 transport of a sintered Nb 3 Sn source;

457
TABLE 15.1
Summary of Preparation and Properties of Superconducting Compounds [47^ 1 j

■i^max (kG)
Binary Substrate H C 2 (kG) a t which
No. Structure R e a c t a n t system Tc(K) /c=105A/cm2 Reference
compound temperature (°C) a t 4.2K
was attained
a t 4.2K

1 Nb 3 Sn A15 NbCl5-SnCl2-H2 1000 18.2 200 120 [4752-4755]

2 Nb 3 Ga » Nb(920°C) -Ga(850°C) - 720 20.3 300 - [4779, 4780]


-HCl-Ar-H2

3 Nb 3 Ge Nb3Ge-Ar-Cl2-H2 900 [4761]


NbCl 5 (165°C)-GeCl 4 ( 0 ° C ) - 900 24 310 200 [4756, 4760]
-H2
N b ( > 700°C)-Ge(300°C)- 700-900 [4762, 4765,
-Cl2-He-H2 4776, 4771,
4757]

4 NbgSi n NbCl5-SiCl4-He-H2 800-900 9 — — [4781]

5 V 3 Ga -, — 150 [4751]

6 VgSi tf 16.7 220 — [4751]


7 NbN Bl NbCl5-NH3-H2 950-1000 14.4 — — [4782]'
8 NbC 0 . 3 N 0 . 7 1 » NbCl 5 ( 110°C) - H 2 - N 2 - N H 3 - 600-1000 18.5 210 40 [4783]
-CH4
SUPERCONDUCTING ANT) MAGNETIC T H I N F I L M S

(2) the reaction of chlorine with an Nb3Sn source followed by H 2 reduc-


tion of the resulting chlorides;
(3) reaction of Cl2 with separate Nb and Sn sources and the subsequent H 2
reduction of SnCl2 and NbCl5 vapours obtained by vaporizing the respective
solid sources in a flowing inert gas. During deposition, the substrate is resis-
tance-heated to about 1000°C, while the reactor temperature was maintained
at about 700°C to prevent deposition on the walls of the apparatus;
(4) hydrogen reduction of SnCl2 and NbCl4 vapours.
Depositions can be carried out by using both the static process on flat
and cylindrical ceramic substrates (technique (4) and the continuous process
on metallic ribbon or wire (technique (3)).
Nb3Sn is formed typically according to the following reaction:
. 3NbCl5 + SnCl2 + 17/2H2 = Nb3Sn + 17HC1 (15.1)
The stoichiometric material has the highest Tc (18.2K) and upper critical
field at 4.2 K (200 kG).
For achieving a high Jc it was necessary to dope Nb3Sn with various
gases such as C0 2 , CO, and N2.
CVD Nb3Sn deposited on long metallic ribbons and subsequently plated
with Cu has been used in the development of superconducting magnets ope-
rating at fields in excess of 100 kG. However, at present this nonductile
and stable material can also be produced more economically on a large scale
by using advanced metallurgical methods.
Nb3Ge, niobium germanide, is a particularly important superconducting
material owing to its high transition temperature and high upper critical
field [4756 — 4776]. This material is also a brittle compound, but consisting
of a metastable phase, which cannot be obtained by means of conventional
metallurgical processes. High critical-current densities, Jc, of the order of
106 A/cm2 at low fields and temperatures between 4.2 and 14 K have been
observed in chemical-vapour-deposited Nb3Ge. These values can be attributed
to flux pinning either on a dispersed Nb3Ge3 tetragonal phase in the pure
material or on impurities incorporated in layers doped with N2, C2H6, and
C0 2 . Stoichiometric polycrystalline Nb3Ge layers are usually prepared on
metallic substrates at 900°C by using hydrogen reduction of the chlorides- of
niobium and germanium. In other procedures, the chloride vapours are
produced in situ by passing chlorine either through separate metal particle
powders or above an Nb3Ge ingot.
The overall chemical reaction for the production of Nb3Ge deposits
when the starting materials are NbCl5 and GeCl4 tis given by [4765]:
3NbCl5 + GeCl4 + 19/2H2 = Nb3Ge + 19HC1 (15.2)
However, when chloride vapours are produced^ situ [4757, 4761, 4762,
4765, 4766, 4771, 4776] the Nb3 Ge film is formed via the reactions
3Nb + Ge + 7C12 = 3NbCl4 + GeCl2 (15.3)
3NbCl4 + GeCl2 + 7H2 = Nb3Ge + 14HC1 (15.4)
Although Nb3Ge is a superconductor more expensive than Nb3Sn, it has
been used to obtain tapes for fabrication of high-field magnets (i2*max ==
200 kG at 4.2 K).

459
TECHNIQUES

NbgGa, niobium gallide, another high Tc superconductor (Tc = 20.3 K),


has also been synthesized by means of CVD. It has been deposited on metallic
and insulating substrates at about 700°C using the co-reduction of in-situ
prepared mixed halides by H 2 . Chemical equilibrium calculations leading
to CVD phase diagrams were carried out for Nb3Ga deposition. The reaction
occurring in the deposition zone has been shown to be [4779, 4780]:
3NbCl5 + GaCl + 8H2 > Nb3Ga + 16HC1 (15.5)
Nb3Si, niobium suicide, superconductors have a superconducting onset
temperature of 9K maximum. The stoichiometric A15-phase has been
prepared in the reaction temperature range between 800° and 900°C using the
co-reduction of the niobium and silicon chlorides through the reaction [4781]:
3NbCl5 + SiCl4 + 19/2H2 > Nb3Si + 19HC1 (15.6)
Silane has also been used in Nb3Si deposition.
NbN, niobium nitride, has been deposited by reacting NbCl5 with NH3
in an H 2 ambient at 950-1000°C [4782]:
NbCl5 + NH 3 + H 2 - NbN + 5HC1 (15.7)
Nb(C, N), niobium carbonitride, films have been deposited on graphite
fibres by using a mixture of NbCl5, NH3, H 2 , and CH4 in the presence of an
excess of H 2 . The process usually takes place in two stages-. In a first step, Nb
deposits are produced by means of the reduction of NbCl5 with H 2 in a N 2
atmosphere at 400—700°C. In a second step, the Bl cubic structure develops
by annealing in an ammonia/methane atmosphere at 1000—1200°C [4783].

15.3 Magnetic Materials

Magnetic materials can be divided into two major classes: garnets [4786—4805]
and ferrites [4806—4815]. A few transition metal oxides [4816—4820] fall into
the category of magnetic materials as well. Single-crystal epitaxial films of
magnetic materials have been grown, in addition to LPE and sputtering, by
using CVD processes [4784, 4785, 5158].

15.3.1 Garnets

Garnets [4784—4805], having the general formula R 3 Fe 5 0 12 , where R


represents one or more rare earth ions (Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er,
Tm, Yb, or Lu) or yttrium are usually deposited on a single crystal non-mag-
netic garnet substrate (i.e. gadolinium gallium garnet, Gd 3 Ga 5 0 ]2 (GGG) and
yttrium aluminium garnet, Y3A15012 (YAG)) by means of the oxidation or
hydrolysis of appropriate gaseous metal halides at temperature above 1100°C
Examples of garnets grown by means of these techniques are gadolinium

460
SUPERCONDUCTING A N D MAGNETIC THIN FILMS

iron garnet, gallium-substituted yttrium iron garnet and terbium-erbium


iron garnet. The growth reactions are the following [4787, 4802, 4796]:
YC13 + 5FeCl 2 + 19/2H 2 0 + 5/40 2 = Y 3 Fe 5 0 1 2 + 19HC1 (15.8)
3YCl 8 + ( 5 - ^ ) F e C l a + «GaCl + 6 0 2 = Y 8 Fe 5 H B Ga s r O i a + l/2(19-A?)Cl a (15.9)
(3 - #)TbCl 3 + #ErCl 3 + 5FeCl 2 + 6 0 2 - Tb i _ i r Er i i Fe 5 O l a + 19/2C12 (15.10)
Chemical transport (e.g. preparation of GdIG, DylG, YIG from the
F e 2 0 3 - H C l - M C l 3 - 0 2 - H e system [4797]) and OMCVD [4803] can also
be used to prepare epitaxial garnet films.
CVD is able to prepare device quality garnet films, i.e. films having a
smooth surface, uniform thickness and composition, suitable magnetic ani-
sotropy, and a structure without defects such as cracke inclusions, disloca-
tions, other phases, etc. which act as pinning sites for magnetic bubble domains.
The layers possess adequate magnetic properties — high stability and
mobility of magnetic bubbles in a wide range of bias and drive fields. These
properties can be improved by selecting the optimum growth parameters.
However, CVD has several disadvantages, namely the complexity of growth
reactions, which may lead to the formation of undesired phases (i.e. R 2 0 3 ,
R F e 0 3 , and F e 2 0 3 in the case of YIG growth); the high substrate temperatures
required (approximately 1200°C) can degrade the film-substrate interface
and limit the choice of substrates; and the fact that the garnet material accom-
modates only stress-induced anisotropy, the growth-induced anisotropy
being annealed out of the crystal at high growth temperatures.
Epitaxial garnets can be used for magnetic bubble memories, microwave
filters, magnetostatic surface wave devices, etc.

15.3.2 Ferrites

Single-crystal epitaxial films of nickel [4784, 4806, 4811 — 4813], cobalt


[4784, 4813, 4815, 4816], lithium [4784, 4815], manganese [4784, 4812],
nickel-manganese [4784, 4813], iron [4784]. nickel-zinc [4812], and magnesium
[4784] ferrites have been grown on magnesium oxide single-crystal substrates.
The most widely used methods for producing epitaxial films of ferrites
are the concomitant hydrolysis and oxidation of suitable volatile metal halides
and chemical transport in open tubes by means of ferrite or oxide mixtures
as starting material and of HC1 or Cl2 as transport agent. Chemical transport
in the open-tube [4812] and in close-spaced [4811] arrangements has been used
only on a limited scale.
Typical deposition reactions are the following:
MgCl2 + 2FeCl 2 + 3 H 2 0 + l / 2 0 2 = MgFe 2 0 4 + 6HC1 (15.11)
F e 2 0 3 + HCl < = > 2FeCl 3 + 3 H 2 0 (15.12)
NiO + 2HC1 <=> NiCl 2 + H 2 0 (15.13)
F e 2 0 3 + NiO ?=± N i F e 2 0 4 (15.14)
N i F e 2 0 4 + 3C12 <=± NiCl 2 + 2FeCl 2 + 2 0 2 (15.15)

461
TECHNIQUES

Sti uctural and compositional investigations usually performed by means of


X-ray diffractometry, electron diffraction, TEM and electron microprobe
analysis, respectively, have shown the high quality of the films obtained.
Also, the magnetic properties are close to those of the bulk materials.
Spinel ferrites show potential applications in microwave and optical
memory devices.

15.3.3 Simple Magnetic Oxides

Simple magnetic oxides [4816—4820] include transition metal oxides such as


NiO, CoO, FeO, Co304, and Fe 3 0 4 which have been epitaxially deposited
on MgO substrates [4816, 4817]. Another important material is chromium
dioxide (Cr02) which is usually grown as epitaxial film on rutile [4818—4820].
Higher-valent, iron and cobalt oxides are usually synthesized by using conco-
mitant hydrolysis and oxidation of the respective sublimated bromides:

3MBr2 + 3 H 2 0 + l/20 2 - ^ * Μ 3 0 4 + 6HBr (M=Fe, Co) (15.16)


Nickel, cobalt and iron oxides (NiO, CoO, FeO) are obtained by means
of hydrolysis of their respective chlorides or bromides [4817]:
MX2 + H 2 0 = MO + 2HX (M = Fe, Co, Ni, X=C1, Br) (15.17)
Cr0 2 , which is known to be useful as a magnetic recording medium, has
been prepared in thin film form by using the thermal decomposition of Cr0 3
in the presence of oxygen [4819], the decomposition of Cr02Cl2 under high
pressure gas [4818], as well as by using chemical transport of Cr0 3 by means of
0 2 as the transport agent under atmospheric pressure [4820]:
400°C
Cr0 3 > Cr0 2 + 1/2 0 2 (15.18)
Cr02Cl2 ^ * Cr0 2 + Cl2(g) (15.19)
16
Uses of CVD Thin Films

16.1 Introduction

In the last twenty-five years major advances have been made in the technology
and science of thin films prepared using chemical vapour deposition. The
purpose of this chapter is to carry out a review of the applications of chemical
vapour deposition processes which are widely used today in solid-state device
technology. A detailed description is given of the applications, including the
most recent, of a very wide number of thin film materials, ranging from semi-
conductors, dielectrics, and metals to superconductors and ferrites.
Deposited films having semiconducting, insulating, conducting, magnetic,
or superconducting properties can be used in various fields, especially in elec-
tronics, microelectronics, microwave electronics, and optoelectronics [4821 —
4825].
In manufacturing process flow charts used in the technology [4826—
4859] of various types of device, there are many CVD processing steps.
Thus, planar technology — the main processing technology of semiconductor
devices and integrated circuits — uses CVD processes such as oxidation,
epitaxy, diffusion, passivation, and metallization. CVD processes are also
included to a very great extent in the following improved technologies, which
have resulted from the evolution of standard planar technology: LOCOS,
PLESA, SIPOS, beam-lead, bipolar, and MOS (or SOS/MOS) technologies.
CVD thin films are applied as temporary or permanent components in
the fabrication of various structures in semiconductor devices (diodes, bipolar
or field effect transistors, thyristors) [4860—4996] and integrated circuits
(bipolar, MOS and SOS/MOS structures) [4997-5017].
The development of CVD techniques also enables various microwave
device structures to be fabricated [5018—5041].
These devices are based mainly on silicon and III—V compound semi-
conductors, obtained in very high purity by means of epitaxy. In the area of
microwave applications, the most important devices which utilize CVD layers
are the following: transferred electron devices (Gunn diodes), varactor diodes,
pin diodes, IMPATT diodes, Schottky-barrier field effect transistors, and

465
APPLICATIONS

Schottky-barrier diodes. CVD techniques, by means of which epitaxial depo-


sition of both elemental and compound semiconductors having a variety of
electrical and optical properties can be realized, has also become the main
preparative method for producing optoelectronic devices having superior
performance [5042—5154]. Such devices are light emitting diodes, laser diodes,
optical waveguides, solar cells, photodetectors, and negative electron
affinity devices.
Thin films prepared by means of CVD are applied in the fabrication
of miscellaneous devices and materials [5155—5223], such as: surface acoustic
wave devices, bubble memory devices ,chemical sensors, liquid crystal cells,
photothermal solar energy converters, transducers, solid-state imagers, li-
thography masks, thermal printers, etc. Novel microelectronic and opto-
electronic devices based on synthetic structures have also been developed
[5224-5251].

16.2 Applications in Electronics and Microelectronics

16.2.1 CVD of Electronic Materials

Chemical vapour deposition has proved to have an appreciable influence on


the growth of the electronics industry, its applications extending to the manu-
facturing of all semiconductor devices and integrated circuits. Indeed, b y
means of the CVD method the whole range of amorphous, polycrystalline
and high-perfection monocrystalline layers are prepared. The deposited films,
namely elements, compounds, mixtures, binary, ternary, and quaternary
alloys cover a wide range of electronic behaviour, having conducting, semi-
conducting, insulating, magnetic, or superconducting properties.
The CVD method has become a highly important method for the synthe-
sis of materials, presently being applied to a wide range of compounds con-
sisting both of amorphous and'polycrystalline depositions which are rather
easily prepared, as well as monocrystalline epitaxial depositions which are
rather complicated to obtain at the required high quality. The rising impor-
tance of CVD derives from the inherent versatility of this method which
allows virtually the preparation of any material, in any configuration. More-
over, the CVD method presents a number of unique advantages compared
with most other synthesis techniques, such as the ability to prepare materials
at relatively low tempeatures; the possibility of direct synthesis of various
compounds, solid solutions, or alloys with the desired composition; the poten-
tial to achieve homo- and heteroepitaxial depositions of exceptional cyrstallo-
graphic quality; and the capacity to obtain industrial depositions with uni-
formity of thickness and composition, having adequate electrical and optical
properties for the desired applications owing to the controlled addition of
dopant impurities during the actual process of synthesis.
The main applications of CVD thin layers are brought together
in Table 16.1.

466
USES OF CVD T H I N FILMS

T A B L E 16.1
Uses of CVD Thin Film Materials

No. Films Uses

1 2 3

A. S E M I C O N D U C T O R S
Homoepitaxial films

1 Si high power mesa-type rectifiers [48631, power diodes and thy-


ristors [4865, 4866, 4895], bipolar diodes and transistors [2512-
2514, 4878], unijunction transistors [2515], Darlington transis-
tors [4828]", V-MOS transistors [4946-4948], field-effect tran*
sistors [2519], high voltage and high power transistors [4880 —
4883], static-induction transistors, thyristors and integrated
logic circuits [736, 4871], thyristors [4895], bipolar [2390, 2517,
2518] and MOS [2519] integrated circuits, complementary MOS-
bipolar transistor structures [2520], microwave diodes (IjMPATT
[52, 2521, 5035], varactor [52, 2522, 2523, 5029], p-i-n [4866]
and Schottky [4868]), microwave transistors [2359], photodiodes
(p-i-n, Schottky, and avalanche-type) [4860, 5135], phototran-
sistors [5144], solar cells [5077 — 5081], camera tube structure
[2524], in advanced isolation techniques for bipolar (epi-Si-
b a s e d [4925], etch-refill [3709, 4824, 49261, polyplanar [4927,
4928], polysilicon-based [3711], and isoplanar [4929] techniques)
and MOS (SEG [4998-50Ö4], and ELO [5005]" techniques)
YLSICs
2 Ge high-speed tiansistors [2534], photodiodes [5131], free-standing
films as substrate for AlGaAs-GaAs solar cells [3473, 3474]
3 SiC L E D s [ 2 5 4 0 - 2 5 4 2 , 2560]
4 GaP L E D s [1148, 2877, 2894, 5045], electro-optic modulators [2874]
5 GaAs high temperature rectifiers [2710], Shockley diodes [4867]
SCKs [4867], tunnel junctions [260, 2763], bipolar transistors
[2712], SLs [5296 — 5298], microwave devices (Gunn oscillators
[54, 2 7 0 2 - 2 7 0 4 , 2711, "4823, 5027]; TEAs [2711]; IMPATT
[54, 4823], S c h o t t k y [2765], and varactor [54, 2705] diodes;
field-effect transistors (MESFETs) [54, 2716; 2721, 2729, 2730,
2743, 2774, 4823, 5 0 3 6 - 5 0 3 9 ) , integrated circuits [54, 4823,
4897—4905], optoelectronic devices (infrared L E D s [54, 2706,
2715], laser'diodes [4823], solar cells [2756, 5091], photocathodes
[ 5 4 / 2 7 0 7 - 2 7 0 9 , 2728, 4823], photoconductors [4823], wave-
guides [5064], photodiodes [2757, 4823])
6 InP Gunn oscillators [2946, 4823], microwave M E S F E T s [5040]
and integrated circuits [54, 4907], laser diodes, photodetectors
7 InAs photodiodes [4823]
8 InSb photovoltaic devices, photoconductors and photodiodes [4823]
9 CdTe solar cells [5095]
Heteroepitaxial films (on oxide substrates)
10 Si bipolar transitors [3463, 3464], SOS/MOS transistors and
integrated circuits [3465-3470, 4 8 5 6 - 4 8 5 9 , 4983, 4984]
11 A1N surface acoustic wave devices [2836, 3398, 5165], acousto-optic
devices [5165]
12 GaN surface acoustic wave devices [3398, 5165], LEDs [2854, 2869 —
2873, 3483-3487]

467
APPUiCATIONS

T A B L E 16.1 (continued)

1 2 3

13 GaP LEDs [3508, 3511]


14 GaAs Gunn effect devices [3386, 3509], microwave Schottky barrier
F E T s [3507/5041], microwave ICs [3386], L E D s [3508, 3511],
transmission-mode NEA photocathodes [3386, 3510], SAW
devices [3386, 3398, 5165], permeable-base transistors [4879]
15 ZnO SAW devices [3253], acousto-optic and electro-optic devices
[ 3 2 5 9 - 3 2 6 1 , 5165], optical waveguides [3231, 3236, 3243,
3253], LEDs [3257], ultrasonic transducers [3244]

Heterojunctions
16 Ge/GaAs ! IMPATT diodes [3549], photodiodes [4860]
"Ϊ7~ SiC/Si LEDs [2575], Schottky-barrier F E T s [2571]
~Ϊ8~ AlP/GaAs solar cells [2845]
19 AlAs/GaAs solar cells [2850]
20 AlSb/GaAs solar cells [2851]
~ΪΓ GaP/Si L E D s [3559]
22 GaAs/Si F E T s [3579, 3580, 3587]
"13" GaAs/Ge solar cells [5093]
"24" GaAs/GaP photocathodes [5149-5152]
~Β~ InP/CdS solar cells [3608]
26 AlGaAs/GaAs Gunn devices [3038, 3039], F E T s [3040], LEDs [302η, laser
diodes [2997-3026], photodiodes [3045, 5139], HBTs (photo-
transistors) [3040-3043, 5145, 5146], waveguides [5067], photo-
cathodes [3044], OEICs [30^6, 3037]
27 GaAsP/GaAs high-power rectifiers [2701], high-temperature transistors [2701],
Gunn devices [2701], LEDs [1148, 2706, 3 0 5 8 - 3 0 6 3 , 5045],
injection lasers [3064 — 3068], photocathodes [3069], isotype
heterojunction electrodes for PECs [5123, 5124]
2^ GaAsP/GaP L E D s [1148, 5045]
29 GalnAs/GaAs or F E T s [3085, 3086, 3 0 8 8 - 3 0 9 0 , 3132], L E D s [3116], photo-
InP diodes [3086, 3097, 3 1 0 0 - 3 1 0 6 , 3111, 3128, 5132-5134, 5 1 4 0 -
- 5 1 4 2 ] , photocathodes [2701, 5153, 5154], solar cells [2756,
3119, 3121], waveguides [5065]
30 GaAsSb/GaAs or solar cells, photodetectors, photocathodes [2701]
InP
31 G a l n P / G a P or LEDs [3146, 3147], laser diodes [3152, 3155, 3156], solar cells
GaAs [3151], photocathodes [5153]
32 InAsP/InP microwave devices, infrared LEDs, NEA photocathodes [2701,
5154]
33 AlGaSb/GaAs potential optoelectronic devices
"34" AlGaP/GaP potential optoelectronic applications
35 GalnPAs/GaAs LEDs [2595], laser diodes [2910, 3183, 3184, 3 1 9 1 - 3 2 0 3 , 5312],
or GaP photodiodes [5143], photocathodes
36_ AlGalnP/GaAs laser diodes [3216-3218, 3209, 3210]
_37_ AlAs — GaAs/GaAs MQWs [5277], SLs ]5297-5302]
38 AlGaAs-GaAs/Si LEDs [3582, 3583, 3587], laser diodes [3585, 3586]
39 AlGaAs-GaAs/ ' solar cells [3028-3035, 5094, 5101-5103]
GaAs

468
USES OF CVD THIN F I L M S

1 2 3

40 AlGaAs —GaAs ohmic contact material on GaAs substrate [2737], SLs [5303 —
5307], SQW and MQW lasers [5227-5269, 5275, 5276], QW
LEDs [5270, 5271], HEMTs [5272, 5273, 5303]
41 GaAsP-GaP SLs [5314-5230]
42 GaAsP —GaAs SLs [5321, 5322]
43 GaAsP — Gain As SLs [5324, 5325]
44 GalnAs — GaAs SLs [5308-5313]
45 GalnAs-InP MQW lasers [5280], MQW waveguides [5293], SLs [5280],' 2DEGs
[5348, 5349], 2DHGs [5350]
46 GalnAs—In AsP/ transierred-electron photocathodes [5153, 5154]
InP
47 GalnP-GaAs 2DEGs [5344-5347]
48 G a l n P - GalnAs/ transferred-electron photocathodes [5153]
GaAs
49 AlAsP-GaAs SLs [5323]
50 I n P —InGaAs/InP photodetectors [3107]
51 GalnPAs-InP MQWs [5295]
52 GalnPAs-GalnP/ laser diodes [3155]
GaAs
53 AlGaPAs-GaAsP/ SLs [3220]
GaAs
54 InPAsSb-InAs/ SLs [5327]
GaAs
55 ZnO/Si or GaAs solar cells [3254], p-type diffusion in GaAs [2043]
56 ZnO/CdTe solar cells [3254, 5104]
51 ZnSe/CdTe or L E D s [3301], solar cells [5108, 5109]
GaAs
58 ZnTe/InAs, LEDs, solar cells [5108]
CdTe or ZnSe
59 CdS/InP solar cells [5105, 5106]
60 CdS/CdTe solar cells [3313, 5107]
61 CdSe/ZnTe or solar cells [273, 5108]
CdTe
62 CdTe/CdS, GaAs solar cells [5108, 5110]
or ZnTe
63 ZnSSe/GaAs or solar cells, LEDs, photodetectors [3367]
Ge
64 ZnSSe-ZnS/GaAs SLs [5329]
65 CdHgTe/CdTe infrared photodetectors [3357, 3362]
66 PbSnSe photoconductors and photodiodes [4823]
67 PbSnTe photoconductors and photodiodes [4823]
68 ZnSiAs 2 /Ge solar cells [3649]
69 CuInS 2 /GaP solar cells [5650]

469
APPLICATIONS

TABLE 16.1 (continued)

1 2 3

Polycrystalline films

70 Si p-n junction diodes [3728, 3729], high voltage devices [3714,


3715], lateral p-n diodes for MOS ICs [4870], TFTs [3732,
4888-4890], MOSFETs [3724-3727, 3735, 4884-4887],
p-i-n varistors [3734], Schottky diodes [3730], contact material
to GaAs substrate [3736], gates in MOS ICs [3712, 3713, 3726,
3731, 4 8 5 1 - 4 8 5 4 , 4958-4960], resistive or interconnection
material in ICs [3717 — 3723], high-resistivity layers as insula-
tors in bipolar device and circuit technology [3733, 3743], low
resistivity layers as diffusion sources [2037—2041], dielectric
insulation support for some ICs [3709, 3710, 4934], field plates
in CCDs [3741, 4969-4978], ion-beam lithography mask [5182],
optical layer for solar cells [3737-3739, 3758-3764, 5 0 8 2 -
5085] and photothermal solar energy converters [3740], piezo-
resistive material for strain gauge transducers [3742, 5188 —
5192], temporary support in the fabrication process of silicon
thermal printheads [4824], field-effect controlled storage
display devices [3716], in advanced isolation techniques for
bipolar (etch-refill [2402, 3709, 4824, 4926], polyplanar [4927,
4928], and polysilicon-based [3711] techniques) and MOS
(trench isolation [4992-4997], S E P O X [4985], and recrystal-
lized polysilicon-based [4886, 4887] techniques) YLSICs
71 SIPOS passivation of high-voltage and high-power rectifier diodes
[3748] and transistors [3744]
72 Ge heterojunction solar cells [3765], Josephson tunnel junctions
[3766]
73 AlAs heterojunction solar cells [3780]
74 GaP NEA photocathodes [2701]
15 GaAs heterojunction solar cells [3770, 3773, 3780]
16 InP heterojunction solar cells [3782, 5105]
11 ZnO diffusion doping of GaAs [2043], varistors [3797], solar cells
[3258], optical waveguides [3253], SAW devices [3253, 3256]
18 ZnSSe LEDs [3799]
79 CdS heterojunction solar cells [3786 — 3788]
80 Zn 3 P 2 Schottky-barrier, heterojunction and homojunction solar cells
! [3793, 3796]

Amorphous films
81 Si:H p-n junction passivant [3950], bipolar heterojunction transis-
tors [3942], thin-film metal base transistors [3943], T F T s
[3944-3949, 4385, 4891-4894], Schottky diodes [3931], CCDs
[3956, 4980, 4981], LEDs [3818, 3932, 5088], Schottky-barrier,
MIS and p-i-n solar cells [3818, 3822, 3838, 3850, 3 9 3 3 - 3 9 3 9 ,
5086-5090], photoconductivity cells (photocells) [3818, 3930,
5129], photodetectors [3818, 3958], phototransistors [3959],
photoreceptors [3960 — 3962], optical storage devices [3818,
3940, 3941], photometers [3818], photothermal solar collectors
[3939, 5214, 5215], UV lithography mask [3957], LCDs [3951,
3952], Josephson tunnel junctions [3953, 3954], SLs [ 5 3 3 0 -
5336], photoelectrochromic devices [3955]
82 Si:F:H solar cells [3858, 3859, 5089]

470
USES OF CVD T H I N FILMS

1 1 3

83 Si:Ge:B electrode contact material for Si power rectifying diodes


[4004] and GaAs M E S F E T s [4005]
84 C:H wear-resistant coating for metals ] 5218], protective and anti-
reflecting coating for Si solar cells [3968], insulator in MIM
structures [3893], dielectric and passivant for III—V materials
[3983]
85 SiC:H heterojunction solar cells [4017 — 4019], passivating dielectric
[4020]

B. INSULATORS AND DIELECTRICS


86 SiO a dielectric film for Ge planar devices [4111, 4830], junction
coating for high power mesa-type diodes [4041], secondary
passivation of metallized transistors and ICs [4088 — 4092],
sidewall spacer a t polysilicon edges in bipolar and MOS techno-
logy [4100], insulator between two levels of polysilicon in
NMOS, CCDs and VLSICs [4096-4099], channel dielectric
in Si [4103] a n d I n P [4104-4109] MOS devices, insulator
between multimetal layer structures [4093, 4094], masking a-
gainst dopant diffusion in silicon [1998, 1999], masking against
etching of Si 3 N 4 in LOCOS technology [4095, 4832-4840],
ion implantation mask for GaAs and I n P [4101,4102], capping
layer for GaAs digital ICs [4904], passivation of HgCdTe
photovoltaic devices [4112], insulator in InSb IR imagers [4113],
dielectric in chemical sensors [5197], spacer layer in magnetic
bubble memories [4110, 5157], diffusion mask in the realiza-
tion of AlGaAs — GaAs QW lasers [5267], isolaton dielectric in
advanced isolation techniques for bipolar (etchi-refill [2402,
3709, 4824, 4926] technique) and MOS (trench isolation [ 4 9 9 2 -
4997], SILO [5016], and direct moat isolation [5017] tech-
niques) VLSICs
87 SiO a :Si passivation layer of bipolar transistors [3744], electrically
active layer in some electrically alterable read-only memories
(EAROMs) [4118-4121], yield improvement in MOS devices
with very thin oxide [4122], electron injector layer in DCTFELDs
[4123-4125]
88 BSG dopant diffusion source [2019, 2020], optical fibres [5054, 5055]
89 PSG dielectric film for Ge planar devices [4830], corrosion protection
layer [4150], dopant diffusion source [2019—2023], impurity
getter [4060], secondary passivation layer over Al of MOS
[4147] and bipolar [4148, 4149] devices and ICs, mask against
dopants [2003], mask against impurities [4822], mechanical
protection layer [4822], flowed layer for smoothing topography
in the fabrication of bipolar and MOS devices [4155 — 4158]
and integrated optical circuits [4159], gate dielectric in MOS-
F E T s [4152], dielectric between polysilicon and overlying
metallization [4153]
90 AsSG As diffusion source [2019]
91 BPSG flowed insulating layer between conducting polysilicon and
metal layer in MOS fabrication [4182, 4183], flowed material
for solid-state imagers [4188], low-stress dielectric or passivant
[4182], low-etch-rate film [4182], low-temperature P diffusion
source [418?]

471
APPLICATIONS

TABLE 16.1 (continued)

1 2 | 3

92 Al2Og dielectric film for Ge planar devices [4243, 4830], passivation


layer [4206, 4216], layer for increasing breakdown voltage
[4822], metal layer insulator [4822], mask against impurities
[4822], mask against oxidation [4822], mechanical protection
layer [4822], radiation hardening layer [1289, 1290], memory
storage layer [4206, 4214, 4955], gate insulator in the fabrication
of several types of Si [4211, 4234], GaAs [4236, 4237], I n P
[4238-4240] and GalnPAs [4241, 4242] MOS structures, cap-
ping layer for GaAs ICs [4904], dielectric in chemical sensors
[5195, 519η

93 Si 3 N 4 passivation layer for various Si [4352-4354, 4872, 4878],


Ge [4355], and GaAs [4356—4361] devices, electrical isolation
layer [4349—4351], Na+ impurity getter layer [4362—4363],
layer for increasing breakdown voltage [4822], insulator for
metal layers [4822], mask against Ga, In and Sb dopants in
diffusion [2000—2002, 2004], mask against ion implantation
in GaAs [4395 — 4399], assisting layer in dielectric assisted
lift-off technique for fine metal patterning [4400], preoxidation
getter for Si wafers and devices [4401 — 4404], mask against
impurities [4822], mask against oxidation [4364 — 4369], mask
for selective epitaxial deposition [4370], mechanical protection
layer [4822], electric charge storage material [4371—4381],
energetic radiation resistant layer [1282—1288], anti-reflection
film [4392-4394], planar bipolar [4872-4878] and MOS
[4851, 4852] transistors, mesa-type bipolar transistors [4364,
4874], power rectifier diodes and thyristors [4863, 4865], bipolar
[4349] and MOS [4854] ICs, memory semiconductor devices
[4949-4954], microwave p-i-n diodes [5030, 5031], CCDs [4976,
4978], Si [4393] and I n P [4394] solar cells, photodetectors
[4360], optical waveguides [4389], mesa [4364], plesa [4841,
4842], .LOCOS [4832-4840], MOS [4854, 4855] and beam-
lead [4843—4846] technologies, dielectric film for Ge planar
devices [4830], passivation over metal for bipolar and MOS
ICs [4390, 4391, 4506], gate dielectric in F E T s [4382-4384]
and T F T s [4385-4388], capping layer for GaAs ICs [4904],
passivation of hybrid ICs [5184], insulator in chemical sensors
[5193, 5194, 5196], diffusion mask in the fabrication of some
AI GaAs —GaAs QW lasers [5267], ceramic material [4316,
4317], nuclear-reaction target [4405], glass-to-metal seal [4406],
high-temperature protective coating for metals and ceramics
[4407, 4408], X-ray lithography mask [5177-5179], in advanced
isolation techniques for bipolar (isoplanar [4929], IPOS [4930 —
4935], and recessed oxide [1364] techniques) and MOS (SWAMI
[4986-4991], SEG [4998-5004], direct moat isolation [5017],
SILO [5016], and S E P O X [4985] techniques) VLSICs

94 SiaO^Ns passivation layer [4390, 4391], charge storage material for


memory devices [4427], diffusion mask [4419], radiation harde-
ning layer in some bipolar and MOS devices [4428], capping of
Si-implanted GaAs [4429], capping layer for GaAs ICs [4904]

95 BN boron diffusion source in silicon [2810], gate insulator material


[2813], masking and passivation material [2802], X-ray litho-
graphy mask [5180], heat dissipation coating [2812], high-
temperature dielectric [2811]

472
USES OF CVD T H I N F I L M S

1 2 | 3
96 A1N dielectric [2837], charge storage material [4430], capping layer
for GaAs ICs [2844, 4904], mask for B and P diffusion from
doped oxides [2835]
97 AlN-Si3N4 charge storage material [4430]
98 Polystyrene component passivation and dielectric for microcapacitors
[4455, 4460]
99 Polyparaxylylene encapsulant of various semiconducting devices [4451, 4454}
100 Polyviniliden piezoelectric film in acoustic transducer devices [4457]
fluoride
101 Polymethyl- dielectric and passivant for Si and GaAs devices [4460, 4462J
siloxane
102 Organtin polymers insulating and protective coatings in semiconductor devices-
[4471], intermediate adhesive layers [4469]
103 Organosilicon multilayer resists [4468]
polymers
C. CONDUCTORS
104 Al metallization of all silicon devices and integrated circuits
[4967, 4968]
105 Cr fabrication of contacts, resistors and photomasks [183], pro-
tective coating of metallic surfaces [183, 5218].
106 Mo metal gate, interconnect metallization and electrical contacting
i n M O S ICs [4541, 4552, 4732], etch and diffusion mask [ 4 5 4 7 -
4549, 4951], hot electron devices (metal-base transistors),
photothermal solar converters [5202, 5206, [5208-5213]
107 Ni selective absorbers in photothermal converters [5202], deco-
rative and corrosion-resistant coating [183], metallization of
ferrites [183], magnetic medium and conducting layer in tape-
recording systems [183]
108 Pt ohmic or Schottky contacts to silicon [4553], field plates in
MOS structure [4553]
109 Ta conductors in hybrid ICs [5024], refractory metal for VLSI
applications [4528, 4542]
110 Ti refractory metal for VLSI applications [4528, 4542], contacts
for Schottky-barrier diodes [4567]
111 W Schottky-barrier diodes [4505], contact layer, diffusion barrier,
interconnect line, and refractory gate for MOS SDs a n d ICs
[4517, 4 5 2 8 - 4 5 3 0 , 4541, 4542, 4732, 4965, 4967] in L S I a n d
VLSI technologies, Ge and III—V semiconductor metallization,
metallization of bipolar silicon transistors and ICs [4503],
spectrally selective absorber for photothermal solar converters
[5202, 5206], gratings embedded in epi-G&As layer for P B T s
[4879]
112 Ni—Cr resistors for hybrid ICs [4624, 5024]
113 Ni—Fe (Permalloy) magnetic fihns [4614]
114 TaAl resistors for thermal printheads [5185 — 5187]
115 TaN resistors for hybrid ICs [5024]
116 TaAIN resistors for hybrid ICs [4624]
117 CdO transparent conducting film in some applications [5217]
118 Cd 2 Sn0 4 electrodes in photogalvanic cells [202], glazed building windows
[5217]

473
APPLICATIONS

TABLE 16.1 (continued)

119 ln203 resistors [14], transparent conducting film for various device
applications, such as liquid crystal displays [4638], solar cells
[4638], heat mirrors [5217]
12Ö ITO transparent conducting film for LCDs, SIS heterojunction
solar cells [3791, 4664, 5113], glazed building windows [5217]
121 SnO a resistor for hybrid ICs, electrode in LCDs [4667], gate in CCDs,
electrode or antireflection layer in heterojunction, MIS and
Schottky-barrier solar cells [3789, 3790, 4664, 5111, 5112],
heat mirror coating for energy conserving windows [5217]
Ϊ22 TiB 2 photo thermal solar absorbers [5202]
123 ZrB 2 photothermal solar absorbers [4690, 4691, 5202]
124 C coating of fused silica boats in Ge and InSb zone refining and
crystal growing [11], coating of graphite susceptors in CVD
[5220]
Ϊ25 SiC wear-resistant material [2549, 4824, 5218], protective or deco-
rative coating, corrosion protection, diffusion barrier, coating
of graphite susceptors, coating of thermally heated parts in
silicon solid-state printheads, support film for X-ray litho-
graphy masks [4824], fabrication of micromechanical devices,
etch-resistant mask for etching silicon devices, interface mate-
rial for bonding
Ϊ26 TiC wear-resistant coating material [5218]
127 TiN protective and decorative coating on metal [5218], spectrally
selective material [5202]
128 ZrN spectrally selective material for solar absorbers [5202], hard
coatings [5218]
Ϊ29 B203 optical fibres [5057]
Ί30 Cr 2 0 3 photothermal solar energy conversion [5207]
131 Fe203 semitransparent coating on photolithographic masks [5173 —
5176], inorganic resist in laser and electron-beam lithography
[4717, 5174]
132 GeO a optical fibres [5054, 5055, 5057, 5058]
133 P2O5 optical fibres [5057, 5058]
134 Ti02 insulator [4247], antireflection layer [203, 3934, 5117], dielectric
for microminiature capacitors [4249]
135 ZrO a antireflection layer [3818]
136 vo2 resistors [14], optical information recording [183], devices for
viewing radiation [183], radiation attenuators [183]
137 wo3 electrochromic displays [5223]
138 MoSi 2 gate electrode, ohmic or Schottky contact and conducting
layer in VLSI technology [4541, 4542, 4 7 2 6 - 4 7 3 4 , 4962-4967]
139 NbSi 2 refractory gate electrode in VLSI MOS devices [4541, 4542,
4 7 2 6 - 4 7 3 4 , 4962-4967]
140 TaSi 2 refractory gate electrode in VLSI MOS devices [4541, 4542,
| 4726 — 4734, 4962 — 4967]
ΊΤΪ TiSi 2 refractory gate electrode in VLSI MOS devices [4541, 4542,
1 4 7 2 6 - 4 7 3 4 , 4962-4967]
142 PtSi 1 ohmic or Schottky contact to silicon [4553]

474
USES OF CVD THIN FILMS

143 WSi 2 gate electrode, ohmic or - Schottky contact and conducting


layer in VLSI technology [4541, 4542, 4529, 4530, 4 7 2 6 - 4 7 3 4 ,
4962-4967]
144 Nb 3 Ga superconducting applications [4779, 4780]
145 NbgGe superconducting material for high field magnets [4756, 4766]
146 Nb 3 Si superconducting applications [4781]
147 NbgSn superconducting material for fabrication of power transmission
tapes [4754] and high field magnets [4754]
148 NbN superconducting applications [4782]
149 NbCN superconducting applications [4783]
150 R 8 Fe 6 O i a magnetic bubble memories [4784, 4785], tunable microwave
(garnets) filters [5158], magnetostatic SAW devices [5158]
151 MFe 2 0 4 microwave devices [4813], optical memory devices [4813],
(ferrites) magnetic bubble memories [4784, 4785]
152 CrO a magnetic recording material [4818, 4820]

16.2.2 Applications in the Technologies Used in Electronics and


Microelectronics

Planar technology. The technology of fabrication of semiconductor devices


and integrated circuits in the semiconductor industry is dominated by the
exceptional element silicon, which is easily obtainable in high purity and
crystalline perfection and at low cost. Practically, the silicon material now
being used in microelectronics is obtained by means of CVD starting from
compounds such as trichlorosilane (from which bulk Si is obtained) or silicon
tetrachloride (from which epitaxial Si is obtained). Secondly, the integrated
circuits most widespread in microelectronics are achieved almost exclusively
from silicon. The basic processing technology of SDs and ICs (planar tech-
nology [4829]) uses such processes as epitaxy, diffusion, device surface passi-
vation, and metallic interconnection, where, except for the last, chemical
vapour deposition is involved to a large extent. Other technologies used to a
lesser extent in electronics, such as those based on Ge [4830] and semicon-
ducting compounds (e.g. GaAs [2031, 4831]), also benefit from CVD tech-
niques.
Initially, the technology of semiconductor devices was based on the
formation of junctions by using melt growth (alloying) or double diffusion
on the two opposite faces of a Si wafer. Nevertheless, the devices thus achieved
(transistors) involve high internal resistances which limits their performance.
At present, SDs and ICs are mostly obtained by means of planar technology.
This is the technology of obtaining semiconductor devices and integrated
circuits based on a series of operations occurring at the outer surface of the
monocrystalline Si wafer during which its surface remains approximately
flat. Unlike the technology of obtaining junctions by alloying or double
diffusing mesa-type structures, the two junctions (of a transistor) are brought

475
APPLICATIONS

within a common plane on the surface of the wafer and the regions where the
junctions reach the surface are covered by a protecting oxide layer. The planar
epitaxial technology which begins by using 3/4"—8" diameter silicon wafers
on which a 2—15 μπι silicon epitaxial layer is deposited has lead to obtaining
devices with good electronic characteristics, owing to simultaneously ensuring
a low internal resistance and a high breakdown voltage at reverse bias.
The technology (Fig. 16.1) consists of various successive processes based
on thin layers (in which a central place is held by chemical-vapour-deposited
layers) by means of which the active zones or the insulating regions on the
wafer are defined (photolithographic processes, diffusion processes, processes

Ca) ept Si

Si Op . S/0>
V///////////////M ψ/////Μ//Μ///Λ
(bl
n*

V7777\ JZZEA WZZL W7A


(c)
n+

(d)
Υ/////Λ
Ψ* V7m t XTTM

p—le^czq f77m\j_,mm
(*)
* T

(f)

A B
Fig. 16.1 Stages of manufacturing b y using planar technology:
A — epitaxial diodes: a — epitaxial Si substrate; b —- thermal SiO s
masking a n d passivation; c — opening of t h e diffusion windows;
d — ρ-type diffusion;e — ohmic contact deposition;
B — epitaxial transistors: a-d — as above; e—formation of oxide
mask a n d n-type diffusion; / — formation of thermally grown
S i 0 2 layer, its selective etching a n d metallic contact deposition.

476
USES OF CVD T H I N F I L M S

of dielectric layer deposition, processes of metallic layer deposition, etc.).


The Si wafer is, at first, covered by an insulating layer, generally Si0 2 , obtain-
ed by using thermal oxidation. In this layer windows are cut by using a
photolithographic process, the diffusion of impurities then being achieved.
The insulating Si0 2 layer serves as a mask against diffusion, as surface pro-
tection of the p-n junction and as support for the metallization configuration.
A few types of SDs and ICs can be produced by means ot the planar techno-
logy of silicon without using epitaxial deposition.
Planar technology, the present technology for achieving semiconductor
devices and integrated circuits is undergoing a steady growth, leading to the
application of improved technologies such as the local oxidation of silicon
(LOCOS), PLESA (a hybrid between the planar and mesa processes), beam
lead, passivation with semi-insulating polycrystalline silicon (SIPOS), etc.
(Fig. 16.2).
In the field of integrated circuits there are two main planar-type tech-
nologies: buried collector technology (bipolar technology) used for obtaining
bipolar ICs and MOS technology used for obtaining MOS ICs.
The planar technology has also been extended to the GaAs semiconducting
compound which, due to a larger band gap and a higher electronic mobility,
should lead theoretically to devices superior to those based on Ge or Si.
Since GaAs technology is more difficult and less advanced compared with
that of the elemental semiconductors, the achievement of bipolar transistors
or insulated-gate field effect transistors (MIS transistors) as well as integrated
circuits is still in an early stage.
LOCOS technology [4832—4840] removes some disadvantages of the
conventional planar technology, for instance the necessity for a relatively
thick thermal Si0 2 ( ~ 1 μιη) layer which renders more difficult the process of
photoetching and metallization, the curving of the p-n junction by the window
edges which, in some cases, causes the excessive capacity and lowering of the
breakdown voltage of devices.
LOCOS technology, by means of which both bipolar and MOS transistors
can be achieved, is based on local Si oxidation when applying an Si3N4 mask.
If in conventional planar structures the oxide layer is completely above the
level of the silicon surface in the window, in any of the three LOCOS structures
{structures with the oxide sunk partially in Si, flat structures, or structures
with the local oxide level nearly totally beneath the level of the Si wafer
surface) at least a part of the oxide is found at a level below the level of the
silicon surface (Fig. 16.2^4).
PLESA technology [4841, 4842] is a hybrid of the classical planar and
mesa processes, maintaining the advantages of both. Mesa technology aims
to achieve semiconductor devices in which the Si wafers have a concave
etched edge. In PLESA technology, prior to any diffusion, high plateau struc-
tures are formed by etching the wafer masked with a double layer of Si3N4—
Si0 2 (Fig. 16.2B) which is subsequently passivated by using thermal oxida-
tion at high temperatures. Conventional diffusion through the windows is
then performed, thus producing p-n junctions as in planar technology.
PLESA technology allows the production of devices with junctions having
mesa geometry and as a result excellent electric characteristics such as low

477
APPLICATIONS
\to2
< S/0;

r-Si
Pf Ti

Oxidation Etchingt\£tching

OxAtotö Oxidation

UttVäA (C)
(α) (b) <c)
(A)

(α) n, -epJ-Si P*
n^ S/02
p^^^^zs^^"
(a)
Z=Z
P+
n p
(b)
(b) Y^=^/
S/O,

(c) „N-dopedSTPOS
ψΟ-dopedSIPOS

meiat
Pt P M P+

id)
(d)

(D)
(B)
Fig. 16.2 Other technologies employed for manufacturing semiconductor device structures:
A — local oxidation of silicon (LOCOS) technology (after Appels et. at. [4832]; reproduced
with permission from P H I L I P S R E S E A R C H R E P O R T S , © 1970, Philips International
B.V., Eindhoven, The Netherlands):
a — structure having partially recessed SiO a in Si wafer; b — flat structure; c — mesa-
type structure;
B — P L E S A (planar + mesa) technology (after [4841, 4842]):
a — Si 3 N 4 and SiO a layer deposition; b — selective removal of SiO a and Si 3 N 4 with H F and
H3PO4 solutions, respectively; c — thermal oxidation of Si for obtaining a passivation layer;
d — diffusion and metallization;
C — bsam-lead metallization and Si0 2 —Si 3 N 4 passivation in the beam-lead technology (after
Peck and Zierdt, Jr. [4845], reproduced with permission from P R O C E E D I N G S OF I E E E ,
© 1974 I E E E ) ;
JD — transistor manufactured by employing semi-insulating polycrystalline silicon (SIPOS)
passivation (after Matsushita et cd. [3744]; reproduced with permission from I E E E TRANSAC-
T I O N S ON ELECTRON DEVICES, © 1976 I E E E ) :
a — structure obtained after the emitter and base diffusion; b — removal of thermal S i 0 2 ; c —
deposition of triple passivation layer (SIPOS doped with O, SIPOS doped with N, and S i 0 2 ) ;
d — window opening and metal contact deposition.

478
USES OF CVD T H I N FILMS

leakage current at reverse bias and excellent stability of leakage currents


under conditions of temperature-time stress.
Beam-lead technology [4843—4846] facilitates the production of devices
in which instead of vacuum-tight encapsulation, sealing of the junctions is
obtained with an insulating layer consisting of an Si 3 N 4 layer deposited on
the existent Si0 2 . Metallization of platinum silicide-titanium-platinum-gold
(Pt 5 Si 2 —Ti—Pt—Au) layers is then applied. By using this technology (Fig.
16.2C) high reliability bipolar transistors and MOS devices have been produced.
SIPOS technology [3745] relies on passivation by means of semi-insu-
lating polycrystalline silicon (SIPOS). It removes a number of shortcomings
of the planar technology based on the insulation of junctions with a Si0 2
dielectric layer, such as (1) the occurrence of a fixed positive charge in the
Si0 2 layer close to its interface with the Si substrate, which tends to produce
an accumulation of electrons in n-type Si or an inversion layer in p-type Si,
thus rendering it difficult to obtain high-voltage planar transistors; (2) the
induction of charges by the accumulated electric charge or ionic contamination
in the passivating layer of opposite polarity in the region of the Si substrate
surface, thus modulating the surface conductivity of the devices; and (3) degra-
dation of the planar device characteristics by avalanche breakdown of p-n
junctions. Indeed, by using a polycrystalline Si layer doped with oyxgen for
passivating the surface of silicon devices, these problems are solved because
this layer is semi-insulating and almost electrically neutral, not including any
ionic charges. On the poli-Si layer doped with oxygen, a poli-Si layer doped
with nitrogen (Si 3 N 4 with Si in excess), which prevents water or Na + ions from
reaching the Si surface, and an Si0 2 layer, which prevents the dielectric break-
down of SIPOS at high voltage, are deposited. Thus, the triple passivated device
works steadily even if it is exposed to ionic contamination or to exter-
nal electric fields. SIPOS layers doped with oxygen (20% oxygen) or with
nitrogen (50% nitrogen) are usually obtained from the SiH 4 —N 2 0—N 2
(650°C) and SiH 4 —NH 3 —N 2 (650°C) systems, respectively, and can be etched
by using a 6H 2 0 2 —1HF—10NH 4 F mixture which does not attack the Si sub-
strate. SIPOS technology (Fig. 16.2D) enables high voltage transistors with
improved stable characteristics to be fabricated.
Buried collector technology [4847 — 4849] is the basic technology for bipo-
lar integrated circuits. It uses structures having epitaxially grown silicon with
a buried collector (layer), namely an n + -type region, necessary for providing
higher conductivity between the emitter-base-collector regions. The diffusion
of n + impurities usually occurs from an Sb 2 0 5 source in a closed tube [p =
20 Ω/Π (ohms per square) and x. = 4 μηι] through a masking Si0 2 layer.
After the removal of oxide, an epitaxial Si layer is grown, then the passive and
active elements of the circuit are produced by using diffusion processes, accord-
ing to the design of integrated circuits (Fig. 16.3).
MOS (metal-oxide-semiconductor) technology [4849 — 4855] is applied
to produce MOS field effect transistors (MOSFET) which can be used both as
discrete devices and in MOS integrated circuits. The field effect transistor is
formed similarlyto bipolar devices on a p-or n-type Si substrate in which, in the
zones uncovered by Si0 2 , the source and drain regions are diffused and finally
electrical connections are obtained by metallization. The field effect transistor
works by means of the field effect produced by the gate voltage in the channel

479
APPLICATIONS

Clean and polished p-type silicon substrate

Source deposition and n+ diffusion in certain areas


(diffusion from Sb 2Os in two stages)

Silicon epitaxial layer growth


(CVD of Si from SiCl 4 + H a)
+
p insulation b y using source deposition and p+^ diffusion
(diffusion from BBr 3)

n+ type deposition-diffusion
(diffusion from POCl 3)
+
Deposition-diffusion of p base
(diffusion from BBr 3)

Gold diffusion

Deposition-diffusion of resistors
(diffusion from BN)

Emitter diffusion
(diffusion from POCl 3)

Deposition of a secondary passivation layer


(CVD of SiO a from S i H 4+ 0 2)

Contact opening

Formation of metallic contacts


Fig. 16.3 Stages of manufacture of bipolar ICs (bipolar technology).

between the source and the drain. In addition to standard metal-gate MOS
technology (Fig. 16.44), several technological variants have been developed,
e.g. Si-gate MOS technology, which allows one to obtain MOSFETs with low
threshold voltages (Fig. 16.AB), and complementary-MOS-structure technology
(C-MOS technology), which uses a combination of MOSFETs of opposite pola-
rity (one MOSFET with an η-type channel and one MOSFET with a p-type
channel) in order to ensure certain special types of performance, such as low
power consumption and high speed (Fig. 16.AC). Other improvements, such as
the use of new gate insulators (Si 3N 4 or A1 20 3) or conductors (suicides like WSi 2,
MoSi 2, TaSi 2, TiSi 2, NbSi 2 or metals like W, Mo), provide the means of
obtaining MOS transistors with stable characteristics by removing the effects
caused by oxide charge and low threshold voltage. The use of sapphire or spinel
substrates also allows the production of transistors with high frequency
performance (SOS technology).
SOS technology [4856—4859] is based on the deposition of silicon epitaxial
layers on an insulating substrate, usually sapphire. The main advantages of
SOS technology are the following: the reduction of electrode and inter electrode
parasitic capacitance (due to the substrate), thus permitting one to achieve
high speed and high frequency performance; and considerable reduction of the
area of the circuit elements due to simple dielectric insulation ensured by the
substrate. The shortcomings of SOS technology arise mostly from the proper-

480
USES OF CVD T H I N F I L M S

n-S/ n-Si
n-S/
(a) C X / c if
S/Oo
•S/O2
{b) [773 fv£j [773 F77^ g V//////////J\

(d) p i rrn g fi^^ prrr/mf^^^


p p JWy-S/ T n"~

(f) j fcTtaj Y^\iFvW\ pti-i L-rffei E S F ^

(g)

(h)

B
Fig. 16.4 Stages of manufacture of MOS ICs
[MOS technologies for obtaining single (metal or polysilicon gate) and
complementary structures:
A —metal-gate MOS technology:
a — n-type silicon wafer; b — SiO a growth and S i 0 2 selective etching by
using a photolithographic m a s k ; c — growth of gate insulator(SiO a );
d — source and drain window opening; e — boron diffusion; / — for-
mation of metallic contacts.
B — polycrystalline-silicon-gate MOS technology:
a — Si wafer; b — thermal oxidation; c — growth of gate insulator;
d—CVD of poly-Si) e — source and drain window o p e n i n g ; / — b o r o n
diffusion; g —formation of metallic contacts.
C — complementary-MOS (C-MOS) technology:
a — Si wafer; b — thermal oxidation and etching by using a mask; c —
first boron diffusion; d — second boron diffusion in the areas marked in
the previously thermally grown SiO a layer; e — phosphorus diffusion i n
the areas delineated in thermally grown SiO a layer; / — SiO a etching
by using a photoresist mask in order to p a t t e r n the gate zones; g— gate
oxidation and delineation by using a photoresist mask of the contact
areas; h — obtaining of metallic contacts (by metallizing the structure
and then etching by using a suitable photoresist mask).

ties of the material, the Si heteroepitaxial layers presenting a number of physi-


cal and electronic characteristics inferior to those of bulk monocrystalline Si.
While the development of bipolar technology in SOS is hampered by the high
density of defects and low lifetime of charge carriers, MOS technology has
made remarkable progress because the carrier mobilities are comparable with
those of MOSFETs produced on bulk silicon. SOS processes are essentially
MOS processes having the same stages as those used in the case of bulk silicon,
i.e. the production of gate oxide, doping of the channel, gate delineation, me-

481
APPLICATIONS

tallization, and passivation. The essential difference lies in the fact that silicon,
which does not play an active part, is removed by etching or converted into
a non-conducting oxide, thus delimiting separate silicon zones. By using
SOS-MOS technology metal-, polysilicon-, refractory metal-, and suicide-
gate single or complementary structures are usually obtained.

16.2.3. Applications in the Fabrication of Semiconductor-Device


and Integrated-Circuit Structures

Semiconductor devices (diodes, transistors, thyristors) [4860—4862]. Of che-


mical-vapour-deposited thin layers, the most numerous applications in SD
technology have epitaxial silicon layers and insulating layers (Si0 2 , Si 3 N 4 ).
Thus, epitaxial silicon layers are used for improving the structural and electri-
cal properties of silicon wafers or for achieving semiconductor junctions of
various types of diodes and transistors. The insulating layers are applied
as either permanent components of the device for dielectric isolation and passi-
vation of semiconducting surfaces or as temporary components of a process^
serving as diffusion sources, diffusion masks, masks for chemical etching,
getters of ionic contaminants, masks for selective oxidation, etc. Semiconductor
devices are mainly produced by using conventional planar technology. Howe-
ver, a number of devices are successfully obtained by using improved variants,
i.e. epitaxial-planar, mesa-epitaxial, MOS, LOCOS, SIPOS, and beam-lead
technologies.
Diodes [4863 — 4870], the simplest active semiconductor devices (Fig.
16.5^4), consist of a single p-n junction which is directly biased. Diodes can
perform various functions depending on their bias conditions, doping profile,
and geometry, and consequently can be divided into several types, rectifier
diodes, voltage controlling diodes, etc. Other classes of device with a junction
such as microwave diodes, photodiodes, electroluminescent diodes, and laser
diodes, have various applications in the fields of microwaves and optoelectro-
nics. Of the methods used to fabricate diode junctions — the alloy method,
the mesa-diffusion method, and the planar diffusion method — the last has
gained the widest use. Indeed, the planar process essentially entails leaving a
thermal oxide layer on the structure of the device after having finished the
diffusion process. This allows a permanent passivation of junctions at the
silicon surface. On the contrary, with mesa-type structures, the junction is
exposed to the ambient in further stages of processing, thus leading to the dete-
rioration of the functional parameters of the device. In most cases, the produc-
tion of planar diodes by using diffusion on an epitaxial substrate or the epita-
xial deposition of a layer with conductivity opposite to that of the substrate
has proved to be advantageous. Secondary passivation with Si 3 N 4 layers is
applied to power rectifier diodes. Power diodes can also be passivated with
pyrolitic Si0 2 or with polymers obtained by means of CVD. These polymers
are good substituents of siliconic layers, which limit both the output and working
temperature. Another class of diodes includes the interface devices like the
Schottky diode, or the MIS diode (Fig. 16.5^4). The Schottky diode essentially
consisting of a metal-semiconductor contact with rectifying properties, can

482
USES OF CVD T H I N FILMS

Fig. 16.5
A diode structures:
a — mesa-type diode achieved by using diffu-
sion in an epitaxial substrate ; b — planar diode;
c — epitaxial planar diode; d — power rectifier
diode passivated with Si0 2 —Si 3 N 4 ; e — metal-
semiconductor diode (Schottky-barrier diode);
/ - MIS diode;
B — thyristor structure, consisting of an n-type
substrate having two p and p + layers epita-
xially deposited on both sides, and an n + zone
formed by diffusion.

be obtained by CVD of a metallic layer on an epitaxial or non-epitaxial Si sub-


strate. The MIS diode, a useful device for the study of semiconducting surfaces
(Si, GaAs, etc.) contains as insulator one of the following CVD layers: SiO,2>
Si0 9 —SUSL, SiO«, -A1A-
Transistor [4871 — 4894] is the most important semiconductor device.
The structure of a typical transistor— the junction transistor—consists of junc-
tions (p-n-p or n-p-n) in which the emitter junction is direct-biased,
while the collector junction is reverse biased. Tiansistors produced mostly
by using planar technology have a'wide operating range of frequencies and
powers. The junction transistor, achieved by means of a series of successive
operation at the outer surface of the Si wafer, is more easily obtainable than
the alloyed or mesa-type transistor. Transistors can be classified according
to their structure (npn and pnp), woikirg principle (bipolar or monopolar
transistors, the latter woiking only on the basis of a single type of carrier —
electrons or holes), various functions performed in the electronic circuits
(amplifying, switching and memory transistors, etc.), working frequency
(low, medium and high frequency), working power and \oltage (low, medium
and high power; low, medium and high \ oltage; high Λ oltage and power, etc.),
manufacturing technology (alloyed, mesa, and planar transistors), nature of
the semiconducting substrate (Si, Ge, or GaAs transistors), and junction
type (with homojunctions or heterojunctions). The planar transistors in their
turn can be divided into several types, depending on the particular production

483
APPLICATIONS

technology: planar-epitaxial transistors, planar-diffused transistors, beam-


lead transistors, SIPOS transistors, LOCOS transistors, MOS transistors,
SOS-MOS transistors, etc.). The technological steps of the achievement of
a planar transistor are presented in Fig. 16.Iß, while themain types of transis-
tor structure are presented in Fig. 16.6.
CVD processes are applied to the production of all types of transistors.
Thus, epitaxial technology leads to the improvement of both mesa and simple
planar technology. Mesa- and planar-epitaxial transistors exhibit special swit-
ching properties, being able to change from one state into another within a
very short time interval. Microwave transistors (working in the high frequency
range up to a few GHz) are obtained by means of planar-epitaxial technology,
the use of an epitaxial substrate being essential for reducing collector series
resistance. High-voltage transistors can be produced with the required perfor-

Si09 S Ö

(a)

S!3N4 f i )

SiO-

*m^
(P)
-Sl3N4

ft*
ZJKSBIHI '--SUB 2 epi
n
(c) (I) n' (q:

p* M

ft- dopedSi0? (r)


j^jj~doped5iÖ2
Y^hcrmatSiOz

id) IA
(0 w**r*m (s)
S G B
E
.%
Si02 (m) ψΆτχ
wmmrngzi
WW#M$p (1 )
(«)
A
S G D

(f)
i&—.wro
(u) U-GLASS

Β^$ψΑ p i U
o)n
SiO,< ' a-Si
(9) ■ Nitride
(v) .n+Si(6ate}

484
U S E S OF CVD T H I N F I L M S

mance if these include junctions realized by means of epitaxial deposition


(Fig. 16.6/). The transistors used in high frequency, switching and power
applications differ from the usual types by their specific geometric configura-
tion. The unijunction transistor (Fig. 16.6e) is also obtained by using epitaxial
planar technology.
Bipolar transistors can be obtained by employing standard planar LOCOS,
SOS, SIPOS and beam-lead technologies. The passivation of bipolar transistors
is commonly obtained by means of Si0 2 , Si02—Si3N4, and Si0 2 —Al 2 0 3 layers.
Junction diffusion can be performed hy means of conventional methods or
techniques based on doped oxides (Fig. 16.6d) used in the case of Si or GaAs.
Insulated-gate field effect transistors, also called MOS field effect transis-
tors, are monopolar devices, the current being supplied by carriers of a single
polarity (electrons in n-channel transistors, and holes in p-channel transistors).
The operation of MOS transistors involves the modulation of the minority
carrier density in a thin inversion region, called the channel, at the silicon
surface between the two diffused regions (source and drain) by means of a
field plate (usually Al, or polycrystalline Si) on an oxide dielectric. The MOS
transistor structure contains gates (formed from various CVD materials such
as polycrystalline Si, Mo, and MoSi2) and gate insulators (pyrolitic Si0 2 , Si3N4,
and A1203). In recent years, new concepts in MOS devices (the MNOS memory
transistor, the DMOS transistor, and the VMOS transistor) have appeared

«— Fig. 16.6 Transistor structures:


a — planar -epitaxial npn bipolar transistor; b — mesa (or mesa-epitaxial) npn bipolar tran-
sistor passivated with an Si0 2 —Si 3 N 4 double layer; c — npn bipolar transistor passivated
with Si0 2 —Si 3 N 4 ; d — bipolar transistor fabricated by using successive depositions of doped
oxide sources for diffusion (after Fischer et al. [2020]): 1 — doped SiO s deposited at low tem-
perature containing the base dopant (p); 2 — doped S i 0 2 deposited at low temperature con-
taining the emitter dopant (n); e — planar epitaxial unijunction transistor; / — MOS transis-
t o r ; g — MNOS memory transistor (after Goodman et al. [4953]); h — D-MOS transistor;
i — V-MOS transistor; j — high-voltage npn bipolar transistor, having a mesa structure, and
epitaxial base and collector (after Berman [4881]; reproduced by permission of SOLID STATE
TECHNOLOGY); k — high-voltage pnp bipolar transistor having a planar structure passiva-
ted by means of thermally grown S i 0 2 ; l — npn bipolar transistor obtained by using LOCOS
technology (after Appels et al. [4832]; reproduced with permission from P H I L I P S R E S E A R C H
R E P O R T S ) ; 'm — w-channel MOS transistor obtained by using LOCOS technology (after
Appels et al. [4832]; reproduced with permission frcm P H I L I P S R E S E A R C H R E P O R T S ) ;
w — bipolar transistor entirely obtained by means of epitaxial silicon layers using SOS t e c h n o -
logy (after Allison et al. [3381]; reproduced with permission from PROCEEDINGS O F IEEE,
@ 1969 I E E E ) ; o — npn GaAs transistor obtained by means of epitaxial growth (after Nuese et al.
[2712]; reprinted with permission from SOLID-STATE ELECTRONICS, (0) 1972 Pergamon
Journals Ltd.): p — Darlington transistor consisting of two interconnected transistors of the same
conduction type, whereby the emitter of the first is concomitantly the base of the second and the
collectors are linked;^ — junction field-effect transistor ( J F E T ) ; r — metal-semiconductor F E T
(MESFET); 5 — static induction transistor (SIT); t — thin film transistor (TFT) fabricated
on quartz in beam-recrystallized poly-Si (after Unagami and Tsuji}rama [4888]; reproduced,
with permission from I E E E ELECTRON DEVICE L E T T E R S , © 1982 I E E E ) : 1 - quartz
substrate; 2 — laser recrystallized poly-Si; 3 — As-implanted region in recrystallized poly-Si;
4 — CVD S i 0 2 gate insulator; 5 — LTCVD poly-Si gate; 6 — Al; u — α-Si bipolar transistor
(phototransistor) having an n-p-n structure with insulating layers sandwiched between the
n a n d players (after [3942], reproduced with permission frcm Semiconductor International
magazine, May 1986, Copyright 1986 by Cahners Publishing Co., Des Piaines, I L ) ; v — a-Si
thin film transistor (TFT) (after Powell et al. [4385]; reproduced by permission of The American
Institute of Physics).

485
APPLICATIONS

The MNOS transistor (used in nonvolatile semiconductor memory cir-


cuits) allows storage of charge in Si 3 N 4 near the Si0 2 —Si 3 N 4 interface.
The D-MOS transistor is double diffused to provide a short channel,
while the V-MOS transistor, whose name is given by the V-shaped moat
obtained by using anisotropic etching of Si (100), is based on a controlled epi-
taxial structure (Fig. 16.6 h—i). Field effect transistors can be produced, besi-
des the standard MOS technology, by means of LOCOS and SOS technologies.
Another method of obtaining junctions, which is different from that based
on performing two successive diffusions, is by in-situ epitaxial growth. This
method is advantageous owing to the need for rather low growth temperatures,
and accurate control of the impurity concentrations and the thickness of the
layers. The partial exposure of the middle layer (base) for contacting can be
carried out either by using sputter etching, chemical etching or the photoli-
thographic process. The structure of n-p-n GaAs transistor obtained by using
epitaxial growth is given in Fig. 16.6 o.
p-n-p-n structures contain three p-n junctions. Of these devices,
the most widely used are the three-terminal ones (i.e. thyristors, Fig. 16.5B)
[4895] and the two-terminal ones (Shockley diodes). Silicon thyristors are
generally obtained by diffusion-alloying-diffusion, and only sometimes by epi-
taxy. Shockley diodes and GaAs thyristors having a n + -p-n-p + structure
have been obtained by the epitaxial deposition technique.
Monolithic integrated circuits [4896] consist of a series of active and passive
discrete devices interconnected within a monolithic structure. The electronic
components are produced by employing planar technology on a single Si chip
being interconnected by a network of thin metallic pads. There are two fun-
damental typ^s of electronic component which can be produced by means of
IC technology, namely, bipolar or unipolar components, and, accordingly,
two types of basic process. In a bipolar device, there are two charge carriers
of opposite polarity, while in a unipolar device there is only one charge carrier.
Within the range of each category of bipolar and unipolar (MOS) circuit there
is a number of distinct pattern and processing techniques. Bipolar devices
are classified according to their circuit type in transistor-transistor logic (TTL),
resistor-transistor logic (RTL), diode-transistor logic (DTL), etc. T h e MOS
devices were initially classified on the basis of the type of their conductivity
(either p or n channel), as well as on the basis of other processing variants.
Another IC classification, based on their applications, divides them into digital
(logic) and analogic (linear) ICs. In addition to Si ICs, there are GaAs [4897 —
4905] and I n P [4906, 4907] ICs, usually of digital-type consisting of combina-
tions of MESFETs. Also, high-voltage and power ICs have been developed
[4908, 4909].
The CVD layers have numerous applications in the fabrication of integrated
circuits, including large scale (LSI) or very large scale (VLSI) integrated devices.
The Si epitaxial layers are used in almost all bipolar ICs.
More recently, these layers have also been implemented in advanced
MOS ICs.
The deposited Si0 2 layers are sometimes used for increasing the thick-
ness of field oxides in MOS-ICs, as masks against ionic implantation and
coatings of the ionic implanted layers before thermal treatment, and as masks
against Si 3 N 4 layer etching.

486
USES OF CVD T H I N FILMS

Phosphosilicate glass (PSG) layers have been used as dielectric between


polycrystalline silicon lines and metallization layer in silicon gate MOS-ICs.
They have also been used for obtaining tapered profile Si0 2 layers, for achie-
ving tapered edges on photolithographically delineated metallic layers, as
dielectric in multilayer metallized systems, or between two polycrystalline
Si layers in N-MOS and charge coupled devices.
The Si0 2 layers doped with boron or arsenic (BSG and AsSG) have
been used as diffusion sources for doping silicon substrates.
Si 3 N 4 layers have been used as oxidation masks for obtaining different
types of insulating process with recessed oxides (LOCOS, isoplanar, etc.),
as barriers against alkaline ions in beam-lead ICs, as dielectric in MOS-type
nonvolatile memories, and as layers to compensate the compression stress
produced by Si0 2 layer thermaly grown on Si.
Doped polycrystalline Si, refractory metals such as Mo, W or refrac-
tory metallic suicides (e.g. MoSi2) have been used as gates in the high per-
formance MOS devices.
Dielectric layers such as phosphosilicate glass, Si0 2 , Si-Si0 2 mixtures
•(SIPOS or S i 0 2 : Si), Si 3 N 4 containing hydrogen (obtained by plasma-en-
hanced CVD), double layers of plasma deposited nitride or oxynitride plus phos-
phosilicate glass are frequently applied in the process of passivation of dif-
ferent devices, for instance, plastic-encapsulated LSI-MOS devices and LSI
devices metallized with Ti/Pt, Pd/Au.
Parylene, an organic polymer obtained by a polymerization-deposition
process under low pressure, has been used as a protective coating in hybrid
ICs.
Bipolar integrated circuits [4910 — 4920] are achieved by means of bi-
polar technology (Fig. 16.3), The most important components of bipolar
ICs are transistors (Fig. 16.7), which, similarly to the discrete components,
can be of n-p-n and p-n-p types. Since electrons (the charge carriers
in n-p-n transistors) have a higher mobility than holes (the charge carriers in
p-n-p transistors) it follows that n-p-n transistors will have better
electronic characteristics (amplification, response time, etc.), being consequently
in wider use. A transistor in a bipolar IC, besides the base, emitter and collec-
tor contacts, contains insulating regions against other components of the
circuit and a buried layer for ensuring a more conductive way between collec-
tor-base-emitter regions (Fig. 16.7a). Bipolar integrated circuits are fre-
quently passivated by employing a secondary passivation layer, for example
phosphosilicate glass. The development of bipolar technology has lead to
the standard bipolar IC — for instance the Schottky bipolar IC, which con-
tains in addition a Schottky diode, and an integrated (logic) circuit with
current injection (I 2 L), which uses a combination of vertical n-p-n and
lateral p-n-p transistors. The latter circuit has been achieved specifically
due to the ability to grow thin epitaxial layers having high carrier lifetimes.
Advanced bipolar integrated circuits with high performance are based
on simplified structures and bipolar processes, which are obtained by im-
proving the process of reducing parasitic elements, namely the insulation
[4921 — 4934]. Indeed, there are many thousands of active and passive com-
ponents close to one another on a wafer chip in a monolithic IC. In order
to reach a high density, the components should be as close as possible. Never-

487
APPLICATIONS

Fig. 16.7 Transistors in bipolar integrated circuits:


a — npn transistor with buried layer; b — npn tran-
sistor with a secondary passivation layer (silicate
glass) formed b y means of CVD; c - npn transistor
(α) with buried layer and Schottky barrier; d — multielec-
trode npn transistor coupled with a p n p transistor used
in the construction of I 2 L bipolar integrated circuits.

:H
»'7 „ Si 1
/
P
E1 n* B f C
ir ift-M^itdmjgf Hffrw nm ,, n 1μ Hi'llllH
I1
Y ■■Τ1Ϊ37ΤΤ22Ϊ/ fl pΙ'
CO I ' <I ■ P'■ · · /o· · J \p l

fnpn
(d) pnp ^ ^ nieptj
n* substrate

theless they should be electrically insulated from each other in order to avoid
the electric interactions between the components, such as current leakage,
parasitic capacitances, and voltage breakdown.
Insulation between the components is usually achieved by reverse pola-
rizing the p-n junctions which form the side walls of each device, while
the substrate delimits the back of the device [4921]. The existence of junctions
inherently involves normal capacitances and leakage currents; thus com-
plete insulation is not realized. Although several advantageous changes to
conventional methods are being used (Fig. 16.8), such as insulation by diffu-
sion of a wall in the collector [4923], insulation by diffusion of the base [4924],
self-insulation or epitaxial insulation [4925], nevertheless the insulation quality
is limited by the capacitance and the leakage current present m the junction.
The dielectric insulation method, which can be applied in several ways.
such as by using the oxide, V-channel, polysilicon or ceramic processes, re-
moves these shortcomings. The oxide process, the most widely used in the
semiconductor industry, includes a number of variants: the local oxidation
of silicon (LOCOS), the isoplanar process, the recessed oxide isolation process
the polyplanar process, insulation with porous oxidised silicon (irUb) ana
electric isolation with Si0 2 by employing an etch-refill technique (Fig. 16.9).
The etch-refill technique [4926] (Fig. 16.94) uses an Si0 2 layer for device
insulation instead of a diffusion process. The insulating dielectric forms both
the sides and the back of each device. This technique achieves better package
densities than insulation based on junctions, but it is more expensive, more

488
USES OF CVD T H I N FILMS

Fig. 16.8 Techniques for isolation of integrated


circuit components by means of reversely biased
junctions: W'B E n+ p p'ffl
a — junction isolation in which the isolation p +
diffusion (I = isolation zone) is made separately
before the base and emitter diffusion (conventional (a) 1
*p-Si
isolation); b — isolation by employing a double
epitaxial deposition in which the isolation diffusion Φ*(Ι) BE- · P+W ·
is performed concomitantly with base diffusion
(epitaxial isolation) (after Demizu [4925]); c—pro-
cedure based on an.isolation diffusion carried out
concomitantly wtih base diffusion (base-diffusion
(b); S Ί n* I
Θ T epi\p-Si

■p-Si
isolation) (after Senhouse et al. [4924]); d — pro-
cedure in which the isolation diffusion is carried

/ fc£/v
out concomitantly with collector diffusion (col-
lector-diffusion isolation) (after Murphy et al.
[4923]).
(c)
Έ *epifn-$r

I ,( \ P-Si

I E C

(d)
/■
m-■ /■■ ~ - ^ — ^diff.p-Sf
n+

p-Si
epi,n£i

complex, and requires larger chips, since the etching of deep moats on the
Si surface is necessary.
Polyplanar process [4927, 4928] (Fig. 16.9B) is based on creating insu-
lating moats by means of the anisotropic etching of Si (100).
Polycrystalline silicon insulation [3710] (Fig. 16.9C) is based on the
simultaneous deposition of epitaxial silicon layers, the latter being formed
on an Si0 2 layer in the insulating regions. The insulation diffusion will take
place concomitantly with base diffusion, because the dopant will diffuse
more quickly in polycrystalline Si. A variant of this method omits the diffu-
sion step, the electric insulation being obtained by high resistivity poly-
crystalline Si.
A new process derived from the LOCOS technique is isoplanar insulation
[4929] (Fig. 16.9D) which allows one to obtain small-geometry high-density
integrated circuits with an essentially planar topology. The region of insu-
lation is here an insulator, so that both the base and collector regions can
be situated in its neighbourhood. The isoplanar technique of oxide insulation
resorts to CVD-Si 3 N 4 .
IPOS technology [4930—4933] is in principle an insulating technology
similar to the LOCOS or isoplanar technology, except for the fact that the
zone to be oxidised is converted into porous Si before oxidation by em-
ploying the anodization of Si in an H F solution (Fig. 16.9E).
Insulation with recessed oxide [1364] contributes to improving the per-
formance and density of silicon integrated circuits by using the dielectric
insulation of active components with thermal Si0 2 , thus leading to devices
having flat surfaces. When using the Si 3 N 4 masking, KOH anisotropic etching
of Si (100) substrates, and thermal oxidation, structures with recessed or
semirecessed S i 0 2 below the initial surface are obtained (Fig. 16.9JF).

489
APPLICATIONS

n-
(α) (a) I n>\

3 ^©^
E71 I V I WΛ/ VIHNK
(b)
θρ/,η-δ/ (undcpjkl)
(b)

(c)
n,epi

w,
(e)

Po/y-S/'
Bqp~
5w Poly-Si
Poly-Si (p-type}

<f) P^ 2 5 ^ 3 ^^

(9)
yoty-Si

epi-Si n* SiiN,
fbN4

YS/£fe/?//£
s;o2 ( b ) ^ ^ s S ' - p
( OB
f t f^
^ " ^2 5/
^oxidation

S',3N4 \removal SijN^ removal


E"X"fl„C

(c) (a) (b)

Fig. 16.9 Techniques of dielectric isolation of integrated circuits:


A — the etch-refill technique for obtaining silicon wafers having dielectrically isolated areas
with S i 0 2 and polycrystalline silicon (after Bean and Gleim [4926]; reproduced with permis-
sion from'PROCEEDINGS OF I E E E , (g) 1969 IEEE) : a — silicon substrate; b — Si0 2 growth
and etching by means of a photoresist mask; c — etching of isolation m o a t s ; d — n + epitaxial
silicon deposition; e — isolation oxide growth or deposition; / — polycrystalline silicon depo-
sition; g — etching of the silicon back surface and polishing, finally obtaining isolated epitaxial
Si areas;
B — polyplanar process (after Sanders and Morcom [4927]; reproduced with permission from
ELECTRONICS, © 1973 McGraw-Hill): a — p-initial substrate with n+ buried layer and n~
epitaxial layer; b — definition of the isolation pattern and moat etching; c — isolation oxide
growth; d — polysilicon deposition; e — removal of excess polysilicon;
C — isolation with polycrystallline silicon (after Kobaysahi [3711]; reproduced with permission
from I E E E TRANSACTIONS ON ELECTRON DEVICES, © 1971 I E E E ) : a - deposition
of epitaxial and polycrystalline silicon layers; b — base and isolating polycrystalline Si diffusion;
D — oxide-isolation isoplanar technique based on the utilization of CVD Si 3 N 4 (after Peltzer
and Herndon [4929]; reproduced with permission from ELECTRONICS, © 1971 McGraw-Hill) :
a — wafer masking with Si 3 N 4 ; b — growth of isolation oxide; c — formation of isolated device ;
E — isolation technique with porous oxidized silicon (after Watanabe et al. [4930]; reprinted
by permission of the publisher, The Electrochemical Society, Inc.): a — wafer masking with
Si 3 N 4 ; b — anodization; c — oxidation; d — device fabrication;
F — isolation technique with recessed SiO a (after Bassous et al. [1364]; reprinted b y per-
mission of the publisher, The Electrochemical Society, Inc.): a — partially or; b — totally-recessed
in silicon wafers.
USES OF CVD T H I N FILMS

MOS integrated circuits consist of several interconnected components,


mostly MOS transistors [4935—4940]. Having obtained stable threshold
voltage devices as a result of removing the sources of impurities from* the
gate oxide (firstly Na + , Li + , and K + alkaline ions), the manufacturing of MOS
devices has recorded a significant growth in recent years, their technology
competing strongly with bipolar IC technology.
MOS field effect transistors used in MOS-ICs on n- or p-type silicon
layers can exhibit several configurations (Fig. 16.10), namely p- or n-channel
transistors, and transistors with metallic or silicon gates [4942, 4943], with
Si 3 N 4 or A1 2 0 3 secondary passivation, etc. By including p- and n-channel
transistors in the same chip, complementary MOS circuits (C-MOS) are
obtained [4941]. This structure allows a very low power dissipation, high
switching speeds, as well as high density arrangements.
The technology of producing single MOS structures with metal or silicon
gates and complementary MOS structures is presented in Fig. 16.4. Compared
with metal gate MOS devices, the polycrystalline-silicon-gate devices ob-
tained by using CVD have lower threshold voltages, higher working speeds
due to a decrease in the internal parasitic capacities, and higher component
densities on the chip, but the manufacturing process is more complex. When
employing a double Si0 2 —Si 3 N 4 or Si0 2 —A1 2 0 3 dielectric in an MOS device,
a lowering of the threshold voltage is also achieved (MNOS or MAOS devices,
respectively). The new MOS structures, D-MOS [4944, 4945] and V-MOS
[4946-4948] (Fig. 15.6 k-i), have important advantages. D-MOS devices
produced by using double diffusion (two successive diffusions through the

D At

Fig. 16.10 Transistor structures in G( Poly-Si)


conventional MOS ICs:
a — mstal-oxide semiconductor field-ef- ,,0^^Zl^ρ^
w//^//;/#^////,
,
777Ά
fect transistor (MOSFET) with p-chan- (c)
nel having metallic (Al) gate passivated |_ n Si\
by means of SiO a (or Si0 2 —Si 3 N 4 ); b —
MOSFET with n-channel having metallic
(Al) gate passivated by means of Si0 2 —
Si 3 N 4 (or only S i 0 2 ) ; c - MOSFET ,4ffl% A £3k ^9Sak A&& jMSBEä^i
with p-channel having polycrystalline
Si g a t e ; ^—complementary MOS structure mm,
IIL n* J
„S//A1 Γ \* W//A
II
V//„„W
1 D* 1
«
1 D*~ Til
<C-MOS) formed by using a MOSFET (d) 1 p i 1
with a n n channel and a MOSFET with | i si\
a p channel on the same substrate.

491
APPLICATIONS

same oxide window) have a narrower channel, situated between the source
and drain diffusions, consequently being faster. V-MOS devices have gates
on V-shaped moats on the chip surface, such systems thus becoming ex-
tremely compact and dense.
The two new classes of MOS type devices which have greatly influenced
the technology of silicon integrated circuits are nonvolatile semiconductor
memory devices and charge transfer devices.
Nonvolatile semiconductor memories [4949—4968] use MNOS or MAOS
transistors. With such devices (as previously described), the charge may be
stored in Si3N4 near the Si02—Si3N4 interface β and subsequently used in
memory circuits. As the Si0 2 layer is only 15 —30 Ä thick, the charges induced
by an applied voltage tunnel from the Si through the oxide to the Si02—
Si3N4 interface. The advantage of this structure over conventional bipolar
or MOS memories lies in the fact that the information stored cannot be lost
when the current is switched off. The structure can be modified by replacing
Si3N4 with A1203 or Si0 2 : Si or by introducing W [4956] or Pt [4957] particles
at the Si02—Si3N4 interface in order to increase the trapped charge. Related
to the MNOS structures is the floating gate memory [4958—4960]. This
structure uses a buried polycrystalline silicon gate for charge storage. Com-
pared to MNOS device, the floating gate device has a better ability to store
data, but erasure is more difficult. Since under the buried gate there is a
thicker Si0 2 layer (1000 A) the device operation is based on an avalanche
electron migration obtained by means of injection through the oxide. Semi-
conductor memories, like all types of MOS VLSI devices, can be fabricated
using refractory metal [4961—4963] or metal suicide [4962—4968] gates.
Charge transfer devices (Fig. 16.11) represent a class of devices and
circuits which can move discrete charge packs in a controlled way along a

p+ diffusion

P ^ n-S, 1
poly-Si

Fig. 16.11 Technology and struc-


ture of CCDs:
(a) (a) steps in obtaining charge cou-
pled devices (after Carnes and
Kosonocky [4975]; reproduced by
permission of SOLID STATE
TECHNOLOGY); (&) biphased
charge coupled device (shift regis-
ter) with buried channel, contain-
ing CVD S13N4 and poly-Si layers
(after Wen et α/.[4976]; reproduced
by permission of SOLID STATE
(b) TECHNOLOGY).

492
U S E S OF CVD T H I N F I L M S

semiconducting substrate by applying a proper sequence of clock impulses


[4969—4982]. Charge coupled devices, the most important In this« class,
store the charge in the potential wells created at the semiconductor surface
and achieve charge transfer by synchronizing the MOS capacitor plates,
disposed as neighbouring conducting electrodes on a suitable dielectric layer
usually Si0 2 .
SOS-MOS devices [4983—4984]. The processing of the semiconductors
has also made progresses by further developing the manufacturing technolo-
gies of integrated circuits on insulating substrates in order to reduce parasitic
capacities and sensitivity to radiation by maintaining minimum device
volume. Commercially, SOS (silicon on sapphire) devices are achieved by
using MOS circuits produced in a heteroepitaxial silicon layer deposited on
a monocrystalline silicon substrate (Fig. 16.12).
Bipolar-unipolar ICs are integrated circuits that contain a combination
of transistors of both fundamental types (Fig. 16.13).
Dielectric isolation of MOS integrated circuits [4985—5017] (Fig. 16.14)
can be achieved by means of LOCOS or advanced techniques such as recessed
LOCOS, side wall masked isolation (SWAMI) [4986—4991], trench isolation
[4992—4997], selective epitaxial growth (SEG) [4998—5004], silicon on in-
sulator (SOI) [5005, 5007], insulation by oxidized porous silicon (IPOS),
sealed interface local oxidation (SILO) [5016], buried oxide (BOX),
direct moat [5017], and selective polysilicon oxidation (SEPOX) [4985].
SOI, which enables total dielectric isolation between devices, maybe achieved
in several different variants: epitaxial lateral overgrowth (ELO) [5005],
silicon over implanted buried oxide (SIMOX) [5005—5010], silicon
over implanted buried nitride [5011, 5012], polysilicon recrystalliza-

poty-Si

Mto^mL· ^4^ψ^τ£\
p+ n'p+

SAPPHIRE
( a ) SAPPHIRE (d)

fj^r^A
nT p ry
SAPPHIRE ^SAPPHIRE
(b) (e)
poly-St

ßaJSpv&UQ
P+n p* n+p n+

SAPPHIRE SAPPHIRE
(c) (f)

Fig. 16.12 Transistor structures in SOS/MOS ICs (using epitaxial silicon layers on an insula.
ting substrate, i.e. sapphire):
a - SOS/MOS field effect transistors with p-channel (PMOS-SOS); b - SOS/MOS field
effect transistor with n-channel (NMOS-SOS); c — SOS/MOS field effect transistor with
n-channel working at deep depletion; d — SOS/MOS field effect transistor with p-channel
having polycrystalline Si g a t e ; e — SOS/CMOS transistor consisting of a P-MOS transistor
and a N-MOS transistor; / — SOS/CMOS transistor having a silicon gate.

493
APPLICATIONS

Fig. 16.13 Transistors in bipolar-unipolar combi-


S G D ned ICs:
rr
;
....1/.M.L·.. \LfkiZ α-BIMOS (npn-bipolar + p M I S F E T ) ; δ - B I S O S
(a) W^mr^mp- (npn bipolar + SOS-MISFET).
SC
Zn+ZD- P-Si

E
n+ SGDn+SGD SiQ2
++
' P I I \Pr- ' ' ' ■

(b*)
>/1 SAPPHIRE

tion (graphoepitaxy) [5013], silicon on sapphire (SOS) [5014], and full iso-
lation by porous oxide silicon (FIFOS) [5015].
Recessed LOCOS involves the etching of silicon to create a recessed step
followed by the standard LOCOS process.
SWAMI is similar to recessed LOCOS having the recessed side wall
masked with an oxide and nitride layer (Fig. 16.144).
Trench isolation involves deep silicon etching using R I E followed b y
trench isolation with an oxide and polysilicon layer (Fig. 16.145).
SEG involves the selective growth of single crystalline silicon over Si
in windows created in an oxide layer (Fig. 16.14C)
ELO involves the lateral growth of epitaxial silicon over oxide by using
the SiH 2 Cl 2 —HC1—H 2 system at low pressure (Fig. 16.14D).
Epitaxial silicon over buried dielectrics is based on the formation of
deep Si0 2 or Si 3 N 4 layers in silicon substrate by high dose O or N ion im-
plantations (Fig. 16.14£).
Graphoepitaxy inveoles the seeded or unseeded recrystallization of melt-
ed CVD polysilicon b y using various heat sources, such as strip heaters,
halogen lamps, laser or electron beams (Fig. 16.14F).
SOS involves the growth of heteroepitaxial silicon on single-crystalline
substrates such as sapphire or spinel. The electrical properties of these layers
can be improved by means of channeled silicon ion implantation, followed
by solid state regrowth.
F I F O S uses the growth of epitaxial silicon over oxidized porous silicon.
IPOS involves the anodization of the exposed silicon to form porous
silicon, which is then oxidized.
SILO involves a tri-layer system consisting of PECVD, thermal, or
ion-implanted silicon nitride over silicon, followed b y LPCVD oxide and
cap nitride (Fig. 16.14#).
BOX involves the recessed etching of silicon and refilling using a CVD
oxide.
The direct moat process includes several ion implantations as well as
CVD oxide and nitride layers (Fig. 16.14G).
SEPOX is another VLSI isolation technology involving selective poly-
silicon oxidation to form a thin oxide on the active area (Fig. 16.147).

494
USES OF GVD T H I N FILMS

Si3N/,I
(a) ^JggssiL,
Si3fyl
Trench~+M
m n-welim

p-Substrate
Si3N,H

B.

Si02
(a) pHHH^s/

(b)

(i) a—g (d) pram

-S/ fr/m
Z Z Z Z Z Z Z P /mp/anfed %W4
(a)
l-S/' substrate
/ u\ F^ 1^? ^3
5
' Deposited Oxide \
/ ς \ p±L 17^71 EÖ
^epi-Si
BZ23
(d) ^

\- Laser Annealed Poly-Si


Poly-Si Gate

Fig. 16.14 Advanced isolation techniques for MOS VLSI integrated circuits:
Λ - SWAMI process (after Chiu [4988]; Copyright © 1982 Hewlett-Packard Ccmpany; re-
produced with permission):
a — growth of Si0 2 and deposition of LPCVD Si 3 N 4 followed by island patterning and etching.
(The sloped sidewall en (100) single-crystal silicon can be obtained by using plasma etching
in C 2 F 6 or wet chemical etching in K O H ) ; b — deposition of a second Si 3 N 4 layer, after grow-
ing a second SiO a layer; c — etching of the second Si 3 N 4 layer; d — growth of field oxide;
e — nitride removal and refill step using LPCVD oxide ; / — finished isolated structure before
gate oxidation, obtained by partially etching S i 0 2 ;
B — trench isolation technique based on etching a deep trench between two devices and refil-
ling the trench with a dielectric material (LPCVD SiO a or polysilicon) (after Chiang [4993],
copyright © 1982 Hewlett-Packard Company; reproduced with permission);
C — isolation process using selective epitaxial gicwth (after F n c o et al. [4999]; reproduced
with permission frcm I E E E TRANSACTIONS ON ELECTEON DEVICES, @ 1984 I E E E ) :
a — R S E patterning of thermally grown cxide; b — deposition of LPCVD Si 3 N 4 or polysili-
con; c — reactive sputter etching; d — selective gro\Uh of epitaxial silicon into S i 0 2 windows
at reduced pressure using the SiH 2 Cl 2 —H 2 —HC1 system;
D — epitaxial lateral overgrowth process based on lateral epitaxial LPCVD of silicon over
oxide using the SiH 2 Cl 2 —H 2 —HC1 system (after Jastrzebski [5005]; reproduced with permission
from RCA Review):
a — patterning of S i 0 2 layer; b — initial epitaxial growth; c — intermediate stage of epitaxial
growth ; d — final structure ;
E — isolation using a buried nitride structure obtained by means of deep N ion implantation
into a monocrystalline silicon substrate (after Zimmer and Vogt [5011]; reproduced with per-
mission from I E E E TRANSACTIONS ON ELECTRON DEVICES, (§) 1983 I E E E ) :
F — dielectric isolation process achieved by using recrystallized polysilicon as substrate for the
fabrication of devices {e.g. MOS transistores) (after Kamins et. al. [4885]; reproduced with
permission from I E E E TRANSACTIONS ON ELECTRON DEVICES, © 1980 I E E E ) ;

495
APPLICATIONS

- thermal SiO2 ΤΣΖΖΖΣΖΖΖ21 'Poly-Si


(α) 'Si Oxide 'SS?o£ (a) 'Th.Si02

*#♦ .S/3/V4 ( α ) ^ Si PRMask

(b)- w^m tbnaamc


deposit-.-.-.
tiiermaiSi02
>.\l tf\ -
implanted

Si
(b)
Phoioresisi
Si3NA
field oxide Poty-Si

G — direct moat isolation scheme (after Wang e/ aZ. [5017], reproduced with permission from
I E E E TRANSACTIONS ON ELECTRON DEVICES, c 1982 I E E E ) :
a — thermal oxidation and field implantation using double boron implants; b — field oxide
deposition (PECVD SiO a ), argon implantation to enhance top S i 0 2 etch rate and deposition
of LPCVD S13N4 serving as etch mask; c — removal of Si 3 N 4 by plasma etching in the moat
regions and moat wet etching;
H — SILO process (after Hui et al. [5016]; reproduced with permission from I E E E T R A N -
SACTIONS ON ELECTRON DEVICES, © 1982 I E E E ) :
a — initial local oxidation process using a composite structure (100 Ä thermal plasma nitride -f
200 Ä LPCVD S i 0 2 + 1000 A LPCVD Si3N4) as an oxidation mask; b — final abrupt transition
region obtained. The LPCVD Si 3 N 4 layer suppresses lateral oxidation, since its lifting up by
the oxidation force is difficult;
I — process sequence of S E P O X technology (after Matsukawa et al. [4985]; reproduced with
permission from I E E E TRANSACTIONS ON ELECTRON DEVICES, © 1982 I E E E ) :
a — S13N4 and poly-Si deposition on thermaly oxidized silicon wafer; b — R I E of Si 3 N 4 and
field boron implantation thorugh poly-Si; c — selective field oxidation; d — R I E of Si 3 N 4 and
poly-Si; e — residual poly-Si oxidation followed by oxide gate growth.

16.3 Applications in the Field [of Microwaves and Optoelectronics

16.3.1 Aplications in Microwave Electronics

The very important successes achieved by CVD technique also permitted


its application to the fabrication of microwave device structures [5018—5024]
based on silicon and III—V compound semiconductors, obtained in very
high purity by means of epitaxy. In the area of microwave applications,
the most important devices which utilize CVD layers are the following: trans-
ferred electron devices (Gunn diodes), varactor diodes, pin diodes, IMPATT
diodes, Schottky diodes, field effect transistors, and integrated circuits (Fig.
16.15).
Gunn effect devices (transferred electron devices) [5025—5028] consists
of an n + -n--n + GaAs structure in which the n + regions provide high con-
ductivity ohmic contacts. The device may also assume the form of a planar

496
USES OF CVD T H I N FILMS

fsio m
y& ntn NSbte*'
r ή* l· '

S G
KM
n orn/n'GaA^
GaAs ;CrJ

Fig. 16.15 Schematic representation of microwave devices:


A — Gunn effect or transferred electron device: a — non-planar (mesa-type) n+/n/n+ structure;
b — planar n/SI structure;
B — variable capacitance diode having a p+nn + (or p+nn~n + ) structure: a — planar-type
structure; b — mesa-type structure;
C — p-i-n diode having a p+/n/n+ structure: a — planar construction; b — mesa construction;
D — impact-avalanche-transit-time (IMPATT) or Read diodes: a — double epitaxial diode
(p + /n/n + structure); b — Schottky diode (n/n+ structure); c ·— double-drift region diode
(p + /p/n/n + structure);
E — Schottky varactor or mixer diode ( n + / n + + /SI structure);
F — field-effect transistors (FETs): a — Si J F E T structure; b — Si MOSFET structure;
c — Si M E S F E T structure; and d — GaAs M E S F E T structure (n/SI or n / n - / S I ) ;
G — hybrid microwave intergated circuits (distributed circuits) (after Sobol [5024]; reproduced
by permission of SOLID STATE TECHNOLOGY):
a — microstrip transmission line: 1 — conducting s t r i p ; 2 — dielectric substrate; 3 — con-
ducting ground p l a n e ; b — suspended-substrate transmission line: 1 — strip conductor;
2 — ceramic substrate; 3 — metal walls.

497
APPUCATIONS

structure having an n-type epitaxial material grown on a semi-insulating


GaAs substrate. Transfer electron devices rely on the fact that by applying
an intense electric field (a few kV/cm) to a small sample of n-type GaAs
or I n P (semiconductors having a special band structure with two conduction
band minima) a negative resistance will be generated and microwave oscil-
lations will occur at a frequency dependent on the sample dimensions.
Variable capacitance diodes [5029] assume a p + -n + -n + structures, fa-
bricated by using boron diffusion in an n/n + epitaxial silicon wafer. Their
doping profile enables a small variation of reverse bias to produce a large
change in diode capacitance due to wideness of the depletion layer.
A pin diode [5030, 5031] is composed of an undoped i region bounded by a
heavily-doped p-type layer on one side and by an n-type layer on the other.
During reverse biasing, the i region is swept free of mobile charge carriers
so that the diode approximates a capacitor. When the diode is forward biased,
holes and electrons are injected into the i region from the p and n layers,
the high resistivity region being converted into a conducting medium and
the diode acting like a resistor. This diode can be fabricated in either planar
or mesa construction by diffusing boron and phosphorus, using doped oxides
on the opposite faces of a high resistivity silicon wafer. The structure obtained
is passivated with a low-temperature plasma-deposited Si0 2 —Si 3 N 4 double
layer.
IMPATT diodes (impact-ionization-avalanche-transit-time diodes) [5032—
5035] have an epitaxial device structure, typically p + nn + , formed on semi-
conductors such as Si, Ge, and GaAs. IMPATT diodes are solid-state devices
generating power at microwave frequencies, their operation being based on
a p-n junction reverse biased beyond avalanche breakdown.
Schottky varactor and mixer diodes can be obtained using Si or GaAs.
GaAs Schottky diodes are obtained by using localized metallization on n
epitaxial layers with n ~ 1017/cm3. Their structure either n + /n + + or n + /n + + /
SI, is obtained by using a highly-doped or semi-insulating substrate, respecti-
vely.
Microwave transistors enable the microwave signals to be generated
and amplified. As with low-frequency transistors, there are both bipolar
and field effect transitors made of either Si or GaAs. At present, three types
of F E T s are used: (1) the junction F E T ( J F E T ) , (2) the metal-oxide-semi-
conductor F E T (MOSFET), which is also sometimes referred to as insulated-gate
F E T ( I G F E T ) ; and (3) the metal-semiconductor F E T (ME SFET) or Schot-
tky-gate F E T (Fig. 16.15). The GaAs M E S F E T is the most important high
frequency transistor of any type. Schottky barrier field-effect transitors
[5036 — 5041] consist of either lightly doped n-type material epitaxially de-
posited on a semi-insulating GaAs substrate, or an n/n~/SI structure.
Microwave integrated circuits, like conventional ICs, can be made in
monolithic, quasimonolithic, and hybrid forms. In the monolithic circuit,
both active and passive components are grown on or in the semiconductors
(semi-insulating) substrate. This type of integration is based primarily on
GaAs integrated circuits, consisting of a combination of MESFETs. In the
hybrid form, active devices are attached to a glass, ceramic, or ferrite sub-
strate containing the passive components. At present, hybrid circuits are
used almost exclusively in the frequency range between 1 and 35 GHz.

498
USES OF CVD T H I N FILMS

There are two classes of hybrid microwave integrated circuit: distributed-ele-


ment (transmission lines) and lumped-element (inductors and capacitors)
which incorporate distributed or lumped components, respectively. Micro-
strip and suspended-substrate transmision lines (Fig. 16.15) are the most
usual forms of circuits. The former consists of a strip conductor separated
from a ground plane by a dielectric substrate and the latter uses a strip
conductor on the upper face of a ceramic substrate which is suspended in a
metal enclosure. Hybrid microwave integrated circuits employ conducting,
dielectric, and resistive layers for obtaining the passive elemenents.
Among the numerous layers, which are prepared in general by means of eva-
poration or sputtering, some of them such as W, Mo, Cr, Ta (conductors),
Si0 2 , A1 2 0 3 , Ta 2 0 5 , Si 3 N 4 (dielectrics), and TaN, NiCr (resistors), for e-
xample, may be produced using CVD processes.
The quasimonolithic approach is based on the heteroepitaxy of several
materials (such as Si and GaAs) on the same substrate for a variety of
devices. This type "of integration using insulating substrates (sapphire, spinel,
or beryllia) in principle offers desirable characteristics such as low substrate
cost, improved power dissipation, etc., but in practice its development is
in its infancy owing mainly to the inability to obtain high quality hetero-
epitaxial layers.

16.3.2 Applications in Optoelectronics

The CVD technique by which it is possible to realize epitaxial deposi-


tions of compound semiconductors having a variety of electrical and optical
properties became the main preparative method for producing optoelectronic
devices having superior performance.
Optoelectronic devices include those converting electrical energy into
optical radiation (electroluminescent diodes, laser diodes) or vice versa (photo-
voltaic devices), and those detecting optical signals using electronic processes
(photodetectors, such as photoconductors, photodiodes, · and phototransistors,
as well as photoeathodes). Other important devices are optical waveguides.
Electroluminescent diodes (light-emitting diodes) [5042—5049] are based
on efficient spontaneous light emission by means of radiative recombination
processes in p-n junctions made in various III—V compounds. These include
direct band gap materials such as GaAs-^P^A; < 0.49), Ga^Al^As (x <
< 0.27) and I n ^ G a ^ P (x < 0.70), and indirect band gap materials such
as G a A s ^ P ^ (x > 0.49), and GaP, in general the light emission being stron-
ger in direct band gap materials. The fabrication technology of commercial
eletroluminescent diodes involves the epitaxial growth of a graded layer of
G a A s ^ P ^ on a GaAs substrate and subsequent planar p-n junction formation
using Zn-diffusion through a Si 3 N 4 mask (Fig. 16.16). By introducing ni-
trogen doping, high performance red (x = 0.4), orange (x = 0.65), yellow
(% = 0.85), and green (x = 1.0) devices can be fabricated (Fig. 16.17). Other
light emitting diodes can be prepared by using the vapour-phase epitaxy of
Gaj^Al^As (red), I n ^ G a ^ P (red-yellow), GaN (green, blue, or violet),
SiC (all visible range), etc.

499
APPLICATIONS

n-GaAs substrate
i
CVD of epitaxial n-GaAs^^P^
(using G a - H C l - A s H 3 - P H 3 - T e ( C 2 H 5 ) 2 - H 2 system at 700-850°C)
I
Formation of diffusion mask (Si3N4)
(from S i H 4 — N H 3 - H 2 system a t 700°C)
1
Zn diffusion

p-n junction planar G a A s ^ Vx diodes


Fig. 16.16 Stages for GaAsj-^ P# red L E D fabrication (x = 0.4). Similarly,
but using semi-insulating GaP substrate and double doping [with N H 3 -f-
Te (C 2 H 5 ) 2 ], amber LEDs (x = 0.65), yellow LEDs (x = 0.85) and green
LEDs (x — 1.0) can be obtained.

Laser diodes (Fig. 10.6) [5049, 5050] are based on stimulated infrared
or visible light emission by using radiative recombination processes in p-n
junctions made of various III—V compound semiconductors having direct
band gaps. Compared with the light emitting diode, the laser diode has an
optical cavity and requires electron population inversion. The high performance
laser diode incorporates one or more GaAs—Al^Ga^As, GaAs—Ga^I^P,
or In^Ga^AsyP^ —InP heterojunctions in the structure (Fig. 16.18).
A recent development is the fabrication by using MOCVD of Ga^AlaAs—
GaAs hetero junction lasers (GaAs active layers of 200 A or less), having a
continuous room-temperature emission based on quantum size effects (Fig.
16.245).
Optical waveguides (optical fibres) [5051—5068] serve for the low-loss
transmission of light. A waveguide consists of a central core surrounded by

V
GaAs06P04:Te
4N<
\-2
GaAS]_xPx: Te ^variable)
-1
GaAs
VJ,.)))„/»»)»»))A Fig. ,16.17 Schematic representation
of GaAs^^P^ L E D device structure
(after Craford [5045]; reproduced with
permission from I E E E TRANSAC-
TIONS ON ELECTRON DEVICES,
© 1977 I E E E ) : a - on GaAs sub-
strate (red light emission); and b — on
GaAsj_xPx:Njcixzct) GaP substrate (amber, yellow or green
light emission):
1 — substrate ( ~ 2 0 0 μπι); 2 —epi-
taxial graded layer ( ~ 50 μπι); 3 —
epitaxial constant composition layer
( ~ 50 μηι); 4 — p-type Zn-diffused
region (1 — 2 μπι)ί 5 — Si 3 N 4 diffusion
mask (0.6 μτη) ;6 — metallic contact
(0.8 μπι).

500
USES OF CVD THIN FILMS

~1.0um.
Q3-Wjum\ ±Gate:Zn -1.0pm. J - Gate:Zn
1.0-2.0μιτ)\ ±AlxGa1_xAs<Zn(x=Q27-Q5) f AlxGahxAs-Zn(x=Q27)
0.01-Q3pm lGaAs~(undoped) ^Mprnf T Gate (undoped)
1.0-2.0pm AAlxGouAs:Se(x=0.27-a5) A 4- AlxGa1_xAs:5e(x=Q27)
0.3-1.0pm 3GaAs-.Se -2pm J- GaAs: Se
4(100) GaAs: Si ~2vm } (100) Gate: Si
(b)
(a) 03pm GaAs.-Zn
Wpm ^ AI0A5GaQ55te:Zn
(c)
01pm Al
0.14Ga0.86^: Ζη
1.0pm Ala45GaQ55te:Se
05pm Gate:Se
- GaAs:Te
A p+-Gate
Cr-Au 0.5pm Yp-AlGate
Si 02 1000 A \ n-Gate
P*-Gate 1pm ■Cp-AlGaAs
p-AlGate 1pm undoped Gate
K GaAs (undoped) ^n-AIGate
{ n - A ' G a A s 1.4pm -Gate
n-GaAs 0.3pm
(100)GaAs: Si h GaAs substrate

(b)

Fig. 16.18 Main types of AlGaAs—GaAs lasers grown by using MOCVD:


A — conventional D H lasers:
a — pulsed broad-area laser having five epitaxial layers (buffer layer, confining layer, active
layer, cladding layer, and cap layer (after Dupuis and Dapkus [2965]; reproduced by permis-
sion of The American Institute of Physics);
b — mesa-stripe geometry CW laser shown before etching ~ 3 μιη high and ~ 14—20 μιη
wide mesas in the epitaxial structure (after Dupuis and Dapkus [2999]; reproduced by permis-
sion of The American Institute of Physics);
c — planar stripe-geometry CW laser (after Mori and Watanabe [3011]; reproduced by permi-
ssion of The Institution of Electrical Engineers);
B — novel laser structures:
a — single-longitudinal-mo de CW channel-guide D H laser having a ~ 3 6 0 0 Ä deep and ~ 8 μιη
wide channel etched in (100) GaAs: Si substrate (after Dupuis and Dapkus [3000]; reproduced
by permission of The American Institute of Physics);
b — self-aligned D H laser structure grown by a two-step process (after Coleman and Dapkus
[3002]; reproduced by permission of The American Institute of Physics).

a medium of lower refractive index. Presently, the best optical fibres are
prepared by means of plasma activated CVD, employing successive deposi-
tion of at least two different oxide layers (e.g. Si0 2 , Ge0 2 , B 2 0 3 , or P 2 0 5 )
onto the inner wall of a quartz tube. The optical fibre is subsequently drawn
from this tube (Fig. 16.19). Other procedures employed are outside vapour-
phase oxidation (OVPO) and modified chemical vapour deposition (MCVD).
In OVPO the oxides formed by the oxidation of SiCl4, GeCl4, POCl 3 , or
BC13 sources are collected on a mandrel which is then removed. In MCVD,
the oxide materials are deposited by reacting vapour constituents inside a
silica tube heated by using a movable oxyhydrogen torch.
Solar cells are photovoltaic devices which can convert sunlight directly
into electrical energy [5069 — 5076]. A solar cell consists in principle of p-n

501
APPLICATIONS

Fig. 16.19 Fabrication of optical fibres


(after Küppers et dl. [5055]; reprinted
by permission of the publisher, The
Electrochemical Society, Inc.):
A — microwave plasma-activated CVD
equipment for simultaneously obtaining
S i 0 2 — G e 0 2 — B 2 0 3 — P 2 0 5 layers on the
inner wall of a quartz tube r e a c t o r :
1 — gas supply system; 2 — quartz
BC(3 S1F4 t u b e ; 3 — furnace (1100°C); 4 — moving
(PH3) N2
microwave cavity; 5 — microwave ge-
nerator ; 6 — non-isothermal plasma;
B — the three stages of making optical
1 ^ - »
fibres: a— film deposition inside a silica
(a) t u b e ; b — collapsing the tube to a r o d ;
c — drawing of the fibre in a furnace.

(c)

homojunction [5077—5095], a he tero junction [5096—5113], an MIS structure


[5117—5122], or a Schottky barrier [5114—5116]. It is composed of the
following parts: a substrate which forms a region of the junction (p- or n-type),
a deposited or diffused region (n- or p-type, respectively), an antireflection
coating, upper and lower metallic contacts, and a protective transparent
cover (Fig. 16.20) Under illumination, the solar cell produces a shortcircuit
photocurrent density / s c , while at open circuit a photovoltage Voc is obtained.
With a solar power density P4 incident on the cell surface, the maximum power
density generated is F*JBC· V0C) and the power conversion efficiency η is
F- T -Vv
η =
x
J sc o (16.1)

where F is the "fill factor,J The open circuit voltage is:


. J Si
(16.2)

where n is the logarithmic slope of the diode current-voltage characteristic


(n ^ 1—2); T is the absolute temperature; k is the Boltzmann constant;
q is the electronic charge; and J0 is the saturation current density.
The efficientcy of a solar cell is very low (maximum 20%) because of
several losses, such as partial reflection of the solar light incident on the
cell, and nonabsorption of a part of the solar energy.

502
USES OF CVD T H I N F I L M S

Sntyln
(a) n*Si

,οβίθ)
^aSi(rf)
-Stainlesa . u'-GaAs
~poly-GaAs
Steel ^
(b)
Grid

Graphite

n-CdS
(d) ^p-CdTe mwvffuffcfeffl
.p-ZnTe
^Ni —Au
10
GaMAstZn
a-$i(n+)
ikiAs:Zn
a-Si(i)
^GaAsiSe Sn02:Sb
'/////A
\ßaAs-Si l·- Gfais.
1? 13
Fig. 16.20 CVD solar cells:
.4 — general sketch of a solar cell:
I — bulk semiconductor; 2 — thin film semiconductor; 3 — collecting
junction of the generated charge carriers; 4 — antireflective layer; 5 —
top contact; 6 — back contact; 7 — sunlight;
B — various solar cell structures":
a — homojunction solar cells formed in epitaxial (1), polycrystaline (2),
.and amorphous (3) silicon layers; b — Schottky-barrier solar cells
in a-Si (4); c — MIS-type solar cells in epitaxial (5) or polycrystallme
(6) GaAs layers; <#—heterojunction solar cells: AlAs/GaAs (7); CdS/InP
(8); Sn0 2 /Si (9); CdS/CdTe {10); CdSe/ZnTe ( I I ) ; AlGaAs/GaAs (12);
and η+α-Si/i a-Si (13).

503
APPLICATIONS

The reflectance on the semiconductor surface, which is one of the most


important loss factors, must be reduced by using antireflection coatings such
as CVD Si3N4 (n = 1.8), Ta 2 0 5 (n = 2.2), Ti0 2 (n = 2.3), borosilicate (n =
1.4), phosphosilicate (n = 1.5), etc. Solar cells can be realized by means
of epitaxial, polycrystalline, or amorphous thin film materials (Si, Ge, III—V,
and II—VI compound semiconductors) deposited on various substrates
(Table 16.2). Homojunction cells are formed by diffusion (or film depositions)
in epitaxial, polycrystalline or amorphous Si. Schott ky-barrier cells are
TABLE 16.2
Characteristics of Some Solar Cells Using CVD Films

Open P Ö
Effi- circuit Fill

circ
rent
A/ci
Cell
No Solar cell structure ciency vol- factor Refs.
type
η(%) tage U 3 K
O o *TT
FF
Voc(V) Ä tfl
C/3 t-,

1 2 3 4 5 6 7 8

A. Conventional solar cells (no cone entrated li ght)


1 (p+)*/>*-Si/(p)Si/(n)Si/(n+) cr. Si HOJ 12.6 0.64 24.5 0.79 [5079]
2 (n+)Si/(p)Si/(p)/>dy-Si HOJ 3.6 0.55 14 0.63 [3760]
3 (n+)Si/(p)Si/recr.(p)Si/graphite HOJ 5.4 0.52 17.5 0.60 [5082]
4 Al(n+)a-Si/(i)a-Si/Sn0 2 :Sb/glass HEJ 0.5 0.28 6.0 0.28 [5087]
5 Al/(n+)a-Si/(i)a-Si/(p+)a-Si/ITO/glass p-i-n 2.4 0.57 10.5 0.40 [5087]
6 ZrO a /Pt/(i)a-Si/(n+)a-Si/steel SB 5.5 0.8 12 0.58 [5087]
7 ITO/(n)a-Si/(i)a-Si/(p)a-Si/(n)a-Si/(p) p-i-n 12 A 1.42 13.4 0.65 [3936,
poly-Si 3939]
8 ZnS/Au-Pd/Nb 2 0 5 /(i)a-Si:F/(n+)a-Si: MIS 6.2 0.75 12.2 0.56 [3858]
F/Mo/glas
9 Al/(n)a-Si/(i)a-Si/(p)a-SiC/Sn0 2 /lTO/ p-i-n 7.7 0.88 14.06 0.62 [4012]
glass
10 Au/GaAs-oxide/(n)^z-GaAs/GaAs MIS 1.85 0.75 16 0.75 [5122]
11 Au/GaAs-oxide/ (n) epi- Ga As/ (n )poly- MIS 4.3 0.4 19 0.58 [3770]
-GaAs/Mo
12 Au/GaAs-oxide(n)_^o/y-GaAs/Mo MIS 5.5 0.49 20.6 0.54 [3773]
13 Sn/GaAs-oxide/(n+)GaAs/(p)GaAs/ MIS 12 0.76 24.4 0.63 [3578]
(p+)GaAs/Ge/(p+)Si
14 (n)AlAs/(p)^o/y-GaAs/graphite HEJ 18.5 0.85 27 0.80 [3780]
15 p)AlGaAs/(p)GaAs/(n)GaAs/(n)GaAs HEJ 12.8 0.95 24.5 0.70 [5101]
16 (p)GaAs/(p)AlGaAs/(p)GaAs/(n)GaAs/ HEJ 19 1.01 20.9 0.76 [3029]
(n)GaAs
17 (p)GaAs/(p)AlGaAs/(p++)GaAs/(p) HEJ 19.80 0.94 20.50 0.76 [2756]
GaAs/(n)GaAs/GaAs
18 (p)GaInP/(p)GaAs/(n)GaAs HEJ 12.4 0.96 22 0.75 [3151]
19 (p)GaInAs/(n)GaInAs/GaAs HOJ 15.9 0.64 25.9 0.71 [2756]
20 (ri)e/>z-ZnO/(p)CdTe HEJ 8.8 0.54 19.5 0.83 [5104]
21 CdS//>o/y-InP/Mo(C) HEJ 5.1 0.40 15.4 0.62 [3782,
5105]
22 (n)e#»-CdS/(p)CdTe HEJ 11 0.67 20 0.60 [3310,
5107]

504
USES OF CVD T H I N F I L M S

TABLE 16.2 (continued)

1 2 3 4 1 5 6 7 8
23 (n)e/>£-CdSe/(p)ZnTe HEJ 2.5 0,54 3.3 0.37 [273]
24 (p)e#t-CdTe/(n)CdTe HOJ 10.7 0.82 21 0.62 [5095]
25 (n)^o/y-Sn0 2 /(n)Si HEJ 12 0.6 29 0.68 [193]
26 Mg/^o/y-Zn 3 P 2 /mica SB 4.3 0.43 16.8 0.53 [3793]
27 Cr/th.SiO a /^i-Si//>o/y-Si MIS 8.8 0.58 21.5 0.70 [5121]
28 Al/Si 3 N 4 /th.Si0 2 /cr. (p)Si MIS 15.1 0.58 36.7 0.71 [5120]
29 Al/Si0 2 /th.Si0 2 /cr.(p)Si MIS 12 0.55 30 0.75 [5117]
30 ITO/th.Sn0 2 /(n)cr.Si SIS 11.5 0.52 31.5 0.70 [3791]
31 Sn0 2 /th.Si0 2 /(n)^o/y-Si SIS 10.1 0.56 26.6 0.70 [3790]
B. Concentrator solar cells
32 M/Si 3 N 4 /(p)<#i-GaAs/(p)Al(GaAs/ HEJ 24 1.15 5A 0.85 [303Φ,
(p)GaAs/(n)GaAs/(n)GaAs 3032]
33 M/Si 8 N 4 /(p+)«/>t-AlGaInAs/(p)GaInAs/ HEJ 21.4 0.85 17.7A [3119,
(n)GaAs/(n+)GaAs 3121]

prepared by depositing a thin metallic layer onto the surface of a bulk semi-
conductor or onto a substrate previously covered with a semiconductor film
(e.g. a-Si, GaAs). Heterojunction cells are prepared mainly by using V P E .
The most studied heterojunctions are the following: n-AlAs—p-GaAs,n-CdS—
p-InP, n-Si0 2 —n-Si, n-CdS—p-CdTe, n-CdSe—p-ZnTe, andp-Ga^Alj.^As—
n-GaAs. Photoelectrochemical cells may also contain CVD films such as
PECs using GaAs^^P^— G a A s ^ P ^ isotype hetero junction electrodes [5123,
5124]. CVD layers are also applied to concentrator solar cells operating at
high light concentrations (50—5000 suns).
Photodetectors are primarily photoresistors or photoconductors [5125 —
5129], photodiodes (homo junctions [5130—5133], hetero junction [5134], pin,
Schottky barrier, and avalanche photodiodes [5135—5143]), and phototran-
sistors [5144—5146] (Fig. 16.21). The main applications of CVD in the fabri-
cation of photodetectors are: homoepitaxial Si and Ge deposition, homo-
and heteroepitaxial deposition of GaAs and A l ^ G a ^ A s layers, donor a n d
acceptor impurity diffusion and antireflective layer (Si0 2 , Si 3 N 4 ) deposition.
I l l — V , II—VI, and IV—VI compound semiconductors (GaAs, I n S b ,
PbSe, PbS, H g - ^ Cd/Te, and P b ^ S n ^ T e ) have a number of important
advantages compared with elemental semiconductors (e.g. large absorption
coefficients, wide and variable band gaps, and the ability to form heterojunc-
tions). These characteristics have led to the use of these materials as efficient
and selective photodetectors, including those in the near and far I R ranges.
Photocathodes [5147, 5148] are electron emitters under the action of
light and may be considered a special type of photodetector device. There
are several classes of photocathodes of which only two (negative electron
affinity — NEA — photocathodes and transferred-electron photocathodes)
have been described as using CVD films [5149—5154] (Fig. 16.22).
Negative electron affinity is obtained in ρ-type compound semiconductors
(GaAs, etc.) by treating the surface (e.g. with a very thin coating of CsO
having a thickness of 8 A) so that the vacuum potential energy is lower

505
APPLICATIONS

f ] \ ] *» 1 A/ARIayer

n n
L c· W'
SC
(a) (b) (c)

6.
(g)

5/
C

(J)
^^AtQ5Ga05As:Se

Go/\s:Se
1—tfööJGaAsS/

Fig. 16.21 Schematic 'drawing of photodetector structures:


•a — photoresistance (photoconductor); b — p-i-n photodiode; c —
Schottky-barrier photodiode; d —- point-contact photodiode; e —
heterojunction photodiode; j—i avalanche-type photodiodes (after
Stillman and Wolfe [5135]): / — n+p (or p+n) guard-ring structure;
g — inverted, no guard ring, np+ structure, h — leveiled-mesa np+
structure; i — η + ρ π ρ + reach through structure; j —homojunction pho-
totransistor (after Marty and Bailbe [5144]); k — heterojunction pho-
totransistor grown byMOCVD (after Milano et al. [5145]; reproduced
by permission of The American Institute of Physics).

fhl
InGaAs

r>GaAs \ In Ast
I Sapphire\ \lnPsubBtrdp
hi Fig. 16.22 Schematic drawing of photocathodes:
a — GaAs/sapphire transmission-mode N E A photoca-
thode; and b — InGaAs/InAsP/InP reflection-mode trans-
(a) (b) ferred-electron photocathode.

506
USES OF CVD T H I N F I L M S

than the lowest conduction band energy. Thus an electron diffusing near
the surface can be emitted into the vacuum. There are two types of NEA
photocathodes: reflection-mode cathodes in which light is incident on the
cathode-vacuum surface and transmission-mode cathodes, in which light
falls on the substrate or back of the cathode. Transmission-mode NEÄ photo-
cathodes can operate in the wavelength range required for application in
photomultipliers, image tubes, and camera tubes, and thus are potential
replacers of conventional electron emitters based on positive electron affinity.
Single-crystalline layers of GaAs, GaAs^^P^, Ga^In^As, GaAs^^Sb^
and InAs^Pa; deposited on semiconductor or oxide (sapphire) substrates
have found potential applications in transmission-mode NEA photoca-
thodes.
The second type of photocathode relies on the mechanism of transferred-
electron photoemission. This phenomenon occurs in certain III—V semicon-
ductors such as InP, (InGa)(AsP), and GaAs in which by applying relatively
small electric fields the electrons can be promoted to the upper conduction
band minimum. Photogenerated electrons can be transferred to the upper
minimum and have a great probability of escaping into vacuum. Field-assis-
ted photoemission has been also demonstrated in p-(InGa)P/p-(InGa)As/
GaAs and (InGa)As/In(AsP)/InP structures.

16.4 Miscellaneous Applications

Thin films prepared by means of CVD can also be applied on a more


limited scale to the fabrication of miscellaneous devices (Fig. 16.23) such
as bubble memory devices [5155—5158], surface acoustic wave devices
[5159—5165], liquid crystal cells [5166], photo-and X-ray lithography masks
[5170—5184], hybrid integrated circuits, thermal printers [5185—5187],
strain gauge transducers [5188—5192], chemical sensors [5193—5197], photo-
thermal solar converters [5198—5216], and energy conserving windows [5217].
In addition, bulk crystals of semiconductors [5167—5169], hard coatings
[5218—5220], isotope separation [5221, 5222], electroch.rom.ic displays [5223],
and synthetic structures [5224—5351] can be obtained by using CVD processes.
Bubble memory devices can realize a very high data storage density.
These devices use cylindrical magnetic domains (bubbles) as memory elements
whose magnetization is the reverse of that of the adjacent material. The pre-
sence or absence of a bubble means 1 or 0 in the binary data system. Bubbles
are formed in magnetic garnet layers epitaxially deposited on non-magnetic
garnet substrates. A T-bar bubble propagation circuit which is the most
commonly used is shown in Fig. 16.23 A. This circuit consists of a periodic
structure of T-shaped magnetic electrodes formed from a different material,
such as permalloy. The electrodes are caused to alternately attract and repel
the bubbles by using a rotating magnetic field parallel to the surface. Thus,
the magnetic bubbles follow the movable induced magnetic polarization of
the permalloy and are propagated along the circuit. The bubbles are stabi-
lized by means of a d. c. bias field.

507
APPLICATIONS

Pt
5-4 "Pd
A :shN4
.?-4 . r ySf02
p. 2
i ^
j Drain Source ΊΓ
P"Si
H

V/S.N/RIR

V/S,N/R
VIS

I
Ambient
Ambient IR
!H ^ ϊόγη
Ambient IR
Low emittance
2 emittance
(b)

Surface acoustic wave devices (e.g. transducers, amplifiers, and transmis-


sion and delay lines) are signal-processing devices allowing surface waves to
be tapped, amplified, and manipulated along the entire propagation path.
The simplest device is the surface wave piezoelectric transducer, which can
generate or detect acoustic waves. It consists of piezoelectric film placed
between two metallic layers, deposited on a non-piezoelectric substrate. Sur-
face-acoustic-wave delay lines or filters consist of two metallized interdigitat-
ed transducer patterns aligned on a heteroepitaxial piezoelectric film surface
so that acoustic wave propagation occurs parallel to the direction of the
c-axis (Fig. 15. 235). Other related devices such as acousto-optic deflectors

508
U S E S O F CVD T H I N FILMS

<— Fig. 16.23 Miscellaneous devices using CVD films:


A— T-bar babble propagation circuit used in magnetic bubble memories (after Lesoff and
Webb [5158]; reproduced from T H I N SOLID FILMS, (§) 1976 Elsevier Sequoia S.A.):
I — magnetic garnet layer; 2 — GGG substrate; 3 — perpendicular bias field; 4 — in-plane
rotating field; 5 — permalloy b a r s ;
B — SAW devices: a— piezoelectric transducer: 1 — non-piezoelectric substrate (Ge or
quartz); 2 — metallic layers (Al); 3 — piezoelectric thin film (CdS, ZnO or A1N); b — delay
line or filter: 1 — sapphire substrate; 2 — heteroepitaxial piezoelectric film (A1N or ZnO);
3 — metallized interdigitated transducer p a t t e r n ;
C — liquid crystal cell: 1 — glass plates; 2 — conductive and transparent SnO a layer; 3 —
spacer; 4 — sealing material; 5 — liquid crystal;
D — lithography masks and the pattern transfer procedure:
a — photolithography mask (Fe 2 0 3 or PbFe 1 2 O t 9 on glass): 1 — mask p l a t e ; 2 — mask pat-
tern ; 3 — incident UV light on mask; 4 — resist; 5 — wafer; b — X-ray lithography mask
(patterned e-beam Au/CVD SiC/Si substrate): 1 — silicon s u b s t r a t e ; 2 — SiC window; 3 —
gold p a t t e r n ; 4 — incident X-rays; 5 — resist; 6 — wafer;
E - t h e r m a l printheads (after Bean [4824]; reproduced from T H I N SOLID FILMS, (g) 1981
Elsevier Sequoia S.A.): a — printhead based on heating of transistor elements in silicon regions
separated by V grooves: 1 — thick (0.5 μπι) polysilicon layer (removed in finished device)
serving temporarily as support during processing; 2 — print surface consisting of a 1.5 μπι
SiC layer; 3 — 1 μτη thermal SiO a ; 4 — (100) n-Si collector; 5 — diffused p-base; 6 — diffus-
ed n-emitter; 7 — ceramic lead frame; b — alumina printhead based on heating of TaAl resis-
tor elements deposited on alumina substrate: 1 — A1 2 0 3 substrate; 2 — SiO s thermal isolation
layer; 3 — Al conductors; 4 — TaAl resistor; 5 — A1 2 0 3 wear-resistant layer (after Lo and
Keil [5187], (0) 1980 Hewlett-Packard Company; reproduced with permission);
F — polycrystalline silicon-on-metal strain gauge transducers (after Erskine [5188]; reproduced
with permission from I E E E TRANSACTIONS ON ELECTRON D E V I C E S , © 1983 I E E E ) :
1 — Mo substrate; 2 - isolation layer (PECVD Si 3 N 4 + APCVD P S G ) ; 3 - polysilicon;
4 — Al contacts;
G — M I S F E T hydrogen sensor configuration (after Choi et al. [5194]; reproduced with permis-
sion from I E E E ELECTRON DEVICE L E T T E R S , © 1984 I E E E ) : 1 - p-Si substrate; 2 -
resistive heater elements; 3 - 500 Ä thermal SiO a ; 4 — 500 Ä CVD Si 3 N 4 ; 5 - 300 Ä/300 Ä
P t / P d double m e t a l ;
H — selective solar absorber coatings containing an absorbing layer on a low emittance layer:
1 — substrate (e.g. quartz); 2 — low thermal emittance layer (e.g. CVD Mo); 3 — absorbing
layer (e.g. CVD a-Si) provided with an antireflective surface, having high visible transmittance,
low infrared emittance, and high infrared reflectance;
I — schematic of single-glazed windows incorporating heat mirrors (after Lampert [5217];
reproduced from SOLAR CELL MATERIALS with permission of North-Holland Physics
Publishing):
a — interior-placed heat mirror for reducing heating load (in the winter): 1 — glass; 2 — heat
mirror coating (e.g. CVD Sn0 2 , l n 2 0 3 , l n 2 0 3 : Sn, etc.);
b — interior-placed heat mirror for reducing cooling load (in the summer): 1 — glass; 2 —
heat mirror coating (e.g. CVD Sn0 2 , l n 2 0 3 , I n 2 0 3 : S n ) ;
Solar energy consists of three regions: visible (VIS), near-infrared (NIR), and infrared (IR).

and modulators are based on the interaction between a guided light beam and
surface acoustic waves. The preparative requirements associated with SAW
devices of monocrystalline structure and adequate properties are satisfied
by a few piezoelectric materials such as ZnO, A1N, GaN, and CdS, grown
epitaxially on various low loss insulating substrates (sapphire, spinel, or quartz)
or on semiconducting substrates.
Liquid-crystal cells (Fig. 16.23C) contain the liquid crystal hermetically
sealed between two glass plates previously covered with a transpprent con-
ductive layer of Sn0 2 : Sb or Sn0 2 —ln 2 0 3 .

509
APPLICATIONS

Bulk crystals obtained by means of CVD are both elemental semicon-


tors(Si) and binary or ternary compound semiconductors (AUBYI, AUIBV, etc.).
The preparation of silicon tubes for semiconductor device technology is based
on the deposition of polycrystalline silicon, by using hydrogen reduction of
trichlorosilane at 1050°C on a tubular graphite substrate which is subse-
quently removed. Synthesis of pure polycrystalline silicon (up to 8" diameter
rods) for subsequent single crystal growth is based on the same reaction.
Photolithographic masks consist of a thin layer deposited on a glass
plate (Fig. 16. 23D). Ferric oxide (Fe 2 0 3 ) and lead hexaferrite (PbFe 12 0 19 )
films prepared using CVD can -be used in semiconductor technology for the
fabrication of masks. These masks are transparent in the visible spectral
range and opaque in the ultraviolet spectral range, thus allowing both masking
and visual alignment.
X-ray lithography masks consist of an extremely stable, chemically-
inert, X-ray and visible transparent film supporting the X-ray mask. CVD
SiC or BN is used for this thin (15 μιη) stable film on which a gold film ser-
ving as an X-ray masks is formed by using electron-beam evaporation (Fig.
16.23D). Similarly, some CVD films (e.g. Si 3 N 4 ) can be employed in the
fabrication of electron-beam lithography masks.
Conventional hybrid integrated circuits made in thin film technology
may use, in addition to evaporation or sputtering, the CVD of resistive elements
such as nichrome, tantalum, nitride, TaAl, and TaAIN on ceramic or low-
alkali (alurriinoborosilicate) glass substrates. Also, in hybrid integrated cir-
cuits manufactured by using tantalum thin film technology, α-Ta can be used
as a conducting material, anodically oxidized ß-Ta as a dielectric for capaci-
tors, and tantalum nitride or tantalum oxynitride as highly stable re-
sistor materials. Besides sputtering, these materials could conveniently be
prepared by using CVD processes.
Electronic printers, a type of hybrid circuit, can be fabricated by using
the dielectric isolation process based on polysilicon films. Solid-state thermal
printheads consist of an array of transistors made in Si (100) bohded to a
ceramic lead frame (Fig. 16.23 E). A CVD SiC layer provides electrical iso-
lation, thermal isolation, physical stability, and resistance to wear.
Thermal printheads may also consist of an array of thin-film .resistors
on an A1 2 0 3 ceramic base. When energized by using electrical pulses, the resis-
tors are heated to about 350°C and leave a trail of dots (printed characters)
on a heat-sensitive paper. There are four layers on the substrate: an outer
protective layer of A1 2 0 3 , an Al conducting film for interconnections, a TaAl
(or TaN) resistive film forming the print-dot, and finally a Si0 2 glaze which
acts as a thermal barrier between the resistor and the ceramic body of the
printhead. The wear layer (A1203), the conducting layer (Al), and the resistive
layers (TaAl) are conventionally deposited by means of sputtering, but CVD
processes can also be used.
Strain gauge transducers are devices used to measure dimensional chan-
ges in bodies produced by external forces, based on the resistance modifica-
tion of some piezoresistive material. A strain gauge transducer having a
relatively large gauge factor can be fabricated from CVD polysilicon for
example deposited on a metal substrate (Fig. 1 6 . 2 3 J F ) .

510
USES OF CVD THIN FILMS

Chemical sensors are electronic devices based on the field effect principle
used to measure various chemical quantities such as hydrogen gas concentra-
tion, and the p H of an electrolyte. The hydrogen gas sensor (Fig. 16.23G)
consists of a Pd-gate MIS device (a transistor or capacitor) whose insulator
may be formed from CVD layers such as LPCVD-Si 3 N 4 , or APCVD-A1 2 0 3 .
CVD thin films also play an important part in the fabrication of photo-
thermal solar energy converters. A phototheimal solar converter is a collector
of solar radiation which is transformed into useful heat. Efficient conversion
at high temperatures requires spectrally selective absorber surfaces. These
surfaces have suitable properties, namely a high solar absorptance to maximize
the part of the solar radiation transformed to heat and a low thermal emit-
tance to suppress I R re-radiation losses. The most common photo thermal
solar converters operate on the principle of the absorber-reflector tandem
(Fig. 16.23ii). The tandem consists of a top layer which is absorbent over
the visible and near-infrared range (i.e. 0.3—2μΐη), but transparent to-
wards longer wavelengths, and an underlying layer which is highly-reflec-
ting and low emitting in the infrared (λ > 2 μπι). Such absorber-reflector
components (e.g. a-Si and Mo films) can be prepared by means of CVD.
From the point of view of durability, it would be desirable that spectral
selectivity be achieved by using a single material (e. g. CVD black Mo
films, which have both a high IR reflectance anda significant solar absorbtance).
Other spectrally selective absorbers produced by using CVD processes are
some refractory and oxidation resistant materials, such as dendritic W and
Ni, metal borides (TiB 2 , ZrB 2 , MoB 2 ), carbides (HfC, Zr C), nitrides (TiN, ZrN),
carbonitrides [Zr(CN), Hf(CN)], and suicides (MoSi 2 ). There are also solar
absorber stacks consisting entirely of CVD layers, e.g.Al—Si —Ge—Cr 2 0 3 —W
on a steel substrate.
Energy conserving windows are obtained by means of heat-mirror
coating to reduce the thermal emittance of glass. These coatings are highly
reflecting for wavelengths greater than 2 μηι, and transparent below this
limit. A heat-mirror coating is usually placed on the interior side of the glass
window and serves to reduce the coolirg load in the summer and the heating
load in the winter (Fig. 16.23/). Heat mirror single-layer coatings consist
mainly of l n 2 0 3 : Sn, doped Sn0 2 , and Cd 2 Sn0 4 . They can be obtained, in
addition to physical methods (sputterirg, evaporation, and ion plating), by
means of CVD techniques (hydrolysis or pyrolysis).
Hard coatings useful in numerous applications can be grown from the
vapour phase by using CVD techniques. These coatings can be divided
in several classes: nitrides (TiN, ZrN, HfN, TaN, NbN, CrN, MoN, BN,
Si 3 N 4 ); carbides (TiC, ZrC, HfC, VC, NbC, TaC, BC, SiC); oxides (A1203,
Z r 0 2 ) ; borides (TiB 2 , ZrB 2 , TaB 2 ); mixed compounds (e.g. carbonitrides
TiCJNTy); metals and alloys (Cr, etc.); and diamond-like carbon.
Electrochromic displays consist of a material, which can change colour
by applying an electric field or current, sandwiched between two opaque (Au)
or transparent (Sn0 2 ) electrodes, placed on a glass substrate. The most widely
studied thin film materials are W 0 3 and Mo0 3 , which can be obtained by means
of APCVD, SP, and PECVD.

511
APPLICATIONS

16.5 Artificial Heterostructures (Quantum Wells, Superlattices,


Monolayers, Two-Dimensional Electron Gasses)

Formation of abrupt heterostructures such as quantum wells (QWs), super-


lattices (SLs), monolayers, and two-dimensional electron gasses (2DEGs) has
recently become an important aspect of CVD film preparation [5224]. The
heteroepitaxial growth of very thin and ultra-thin films is of the greatest
importance both scientifically in the branch of solid-state physics investiga-
ting quantum size effects in semiconductor heterojunctions and technologi-
cally in the fabrication of new high performance microelectronic and optoelec-
tronic devices (Fig. 16.24).
Quantum wells [5225—5295] are heterostructures having a film thickness
smaller than ^ 5 0 0 Ä, whose properties are strongly influenced by quantum
mechanical effects resulting from the confinement of electrons and holes to
a very thin region. Quantum wells are useful primarily for the fabrication
of quantum-well heterostructure(QWH) lasers having many desirable pro-
perties such as low thresholds, high energy, spectral emission, high external
quantum efficiencies, etc. A single QWH laser consists of one thin (~50—400 A)
quantum well bounded on each side by a thin barrier layer. There are also,
multiple QWH lasers having coupled multiple-quantum-well active regions
separated by confining layers. The most important QWH laser is based on a
AlGaAs—GaAs hetero junction grown by MOCVD employing either GaAs
or AlGaAs as the active layer. The same hetero junction structure has also
been used to fabricateQWLEDs and HEMTs. Other QWs investigated include
GalnAs/InP grown by means of chloride VPE, hydride VPE, APMOCVD,
and LPMOCVD, (InGa)(AsP)/InP, and A ^ G a ^ P^As^/GaP^As,^.
Superlattices [5296—5338] represent another artificial semiconductor
structure consisting of many alternate layers of monocrystalline materials
of different composition (e.g. GaAs and AlGaAs) with a layer thickness as
low as 10—50 A.
High quality superlattices are usually grown by assuring a good lattice
match between the alternating layers. There are also two other types of use-
ful SLs: heterostructures grown from either lattice-mismatched semiconductor
materials (strained-layer superlattices) or the same semiconductor material
having a different type of doping (doping superlattices).
Superlattices were first achieved using MBE, but this method shows
some important drawbacks, such as slowness of growth and complexity and
expensiveness of the equipment. On the contrary, CVD, which has been found
to be capable of growing highly uniform superlattices with monolayer hetero-
interfaces (<20 Ä) and exceedingly thin layers(~10—15 A), is an econo-
mically viable option.
The abrupt hetero junctions required for SLs have been achieved by
mechanical techniques using chloride, hydride, and MOCVD and optical tech-
niques using laser-assisted MOCVD.
In chloride and hydride CVD, the substrates are moved between two
steady-state gas flows using a double barrel reactor in the latter system.
The MOCVD accomplished at atmospheric or low pressure uses a highly
automated computer-controlled apparatus. The gas flows are rapidly switched

512
USES OF CVD THIN FILMS

6 Si3N4 D

kiutLk- metal
nAt GaAs (500 A)
undoped AlGaAs (100A)
undoped GaAs (5000 A)
GaAs substrate

^ GaAs:Zn (1pm)
^AIGaAs'-Zn(ljLim)
- undoped GaAs (200A)
^AlGaAs: Se(2jum)
^GaAs:Se(2jum)
^GaAs: Si substrate
(a)

mJGaAs:Zn(1jum)
t T AlGaAs'-Zn(1pm)2nd Confining Layer

undoped GaAs lundoped Al GaAs (120A)Barrier Layers


(120 A)
Active Layers — AlGaAs:Se(1pm) 1st ConfiningInyer
~-~ GaAs: Se (ljum) Buffer Layer
~~(100)GaAs:Si Substrate
(b)
B
p ySi02
yttttt*\^t\-\nP cladding layer

InGaAs/lnPMQW
n~lnP buffer layer
nlnP substrate

Fig. 16.24 Examples of devices fabricated using artificial structures:


A — modulation-doped field effect transistor (also called high electron mobility transistor,
selectively doped F E T , or 2 D E G transistor) consisting of a doped AlGaAs/undoped GaAs
quantum-well heterojunction. The electrons diffusing from the doped AlGaAs to the GaAs
are confined by the energy barrier and form a 2 D E G ;
B —■ GaAs/AlGaAs quantum-well heterostructure lasers:
a — single-quantum-well (SQW) laser having a (200 A) GaAs active region (after Dupuis et al.
[5227]; reproduced by permission of The American Institute of Physics);
b — multiple-quantum-well (MQW) laser consisting of six (100 A) GaAs active regions
coupled by five (100 A) AL c Ga 1 - il .As(#~0.30) barrier layers, all of which are sandwiched between
two (1 μπι) ALpGai-sAs^^O.^O) confining layers (after Vojak et ah [5235]; reproduced b y
permission of The American Institute of Physics);
C — low-loss InGa As/InP M QW waveguide having an InGaAs/InP core and I n P cladding
layers (after Koren et al. [5293]; reproduced b y permission of The American Institute of
Physics).

using zero dead-space valves and in the case of binary/ternary structures


such as GaAs/AlGaAs the aluminium species is directed towards either the
growth chamber or vent.
In LMOCVD, the laser selectively irradiates the substrate or the gas
immediately above the substrate during the period of the desired gas flow.
Superlattices have been obtained mainly from pairs of crystalline com-
pound semiconductors such as GaAs: Se/GaAs: Zn, GaAs/AlAs, GaAs/

513
APPLICATIONS

GaAlAs, GaAs/GalnAs, InP/GalnAs, GaAs/GaAsP, GaAs/AlAsP, GaP/


GaAsP/InPAsSb/InAs, GaAsP/GalnAs, and ZnSe/ZnSSe SLs. Other reported
heterojunctions (α-Si: Hfa-SiNx: H,and a-Ge: Ufa-Si: H SLs) are amorphous.
Superlattices have been used in the fabrication of several high perfor-
mance optoelectronic (MQW LDs, APDs, OEICs) and microelectronic (HEMTs)
devices.
Monolayers are films consisting of one complete molecular layer of a
compound. These films are best obtained by means of atomic layer epitaxy
(ALE) [5339-5342], which is a modification of the MBE or CVD methods.
CVD in the ALE mode denotes a process based on chemical reactions
at the substrate surface to which reactants are transported alternately as
pulses of neutral molecules by switching streams of vapour carried in an inert
gas. The incident pulse reacts only with the outermost layer of the substrate.
The growth condition (substrate, temperature, pulse duration, reactant par-
tial pressures, etc.) are properly chosen so that the film growth is stepwise —
a single monolayer per pulse. The process can be used to grow both elemental
and compound films (Fig. 16.25). The growth of compounds is achieved by
alternately cycling over the substrate vapours of chemicals containing the

<b> (c)

Fig. 16.25 Typical equipments for ultra-thin film growth by using CVD in the ALE mode :
a — dual growth chamber reactor used for growth of GaAs in which the substrate is alternately
exposed to the two reactants: 1 — growth chambers; 2 — movable substrate holder;
b — single growth chamber reactcr used for growth of GaAs and AlAs in which the susceptor
consists of a fixed part, a rotating part, and a recess (in the rotating part) which holds the
substrate (after Bedair et al. [5342]; reproduced by permission of The American Institute of
Physics) :1 — quartz t u b e ; 2 — windows; 3 — fixed p a r t ; 4 — recess for substrate; 5 — rotating
p a r t ; 6 — rotating feed through; 7 — exhaust;
c — single growth chamber reactor used for preparing GaAs by alternately cycling TMG and
AsH 3 over the substrate (after Nishizawa et at. [5340]; reprinted by permission of the publisher.
The Electrochemical Society, Inc.): 1 — bell-jar reactor; 2 —
quartz plate; 3 — lights for photoexcitation; 4 — lamp for heating the substrate;
5 — substrate ; 6 — pedestal; 7 — pyrometer; 8 — quadrupole mass spectrometer;
9 — ion gauge; 10 — gate valve;* 11 — pumping system.

514
USES OF CVD T H I N FILMS

constituent elements of the film. For example, the growth of GaAs using
the ALE variant of CVD is acomplished using AsH3 and TMG introduced
alternatively over a single crystal substrate heated at 450—700°C in an eva-
cuated system.
ALE for preparing GaAs monolayers can also be achieved by rotating
he substrate-susceptor assembly so that the substrate is alternantely ex-
posed to the two reactants in a single or double chamber reactor. The double
chamber reactor is used for the ALE of GaAs using the Ga—HC1—AsH3
system.
In addition to monolayers, ALE appears to offer important advantages
in the growth of ultra-thin (10—100 A) films of heterojunctions with abrupt
interfaces, as required in SLs and QWs. ALE has been used to grow deposits
of monocrystalline materials (GaAs, AlAs, InAs, InGaAs, and ZnS).
Presently, its use is extended to other monocrystalline semiconductor (Si,
etc.) as well as to polycrystalline and amorphous materials.
Two-dimensional electron gasses [5343—5351] are single heterojunctions
consisting of compound semiconductor films such as AlGaAs/GaAs, GalnP/
GaAs, GalnAs/InP, and GalnAsP/InP at which interfaces the electrons
exhibit a two-dimensional behaviour. This special type of abrupt heterostruc-
ture has been prepared by means of APMOCVD and LPMOCVD, and has
found important applications in the fabrication of high electron mobility
transistors (HEMTs).
17
Present and Future Importance of CVD
Films

17.1 Present Status and Future Trends in CVD Films

From the broad survey systematically presented in the preceding chap-


ters one can form an idea of the present status of CVD thin films and esti-
mate the prospects for their development in the foreseeable future.
A. Present work on CVD films is obviously closely related to the require-
ments of the very-large-scale integration (VLSI) era [7, 10, 5352—5363,
5367—5369] we entered in 1975. This means the capability of fabricating
integrated circuits containing up to 1,000,000 devices on a chip with 1—2
micron feature size. In addition to advances in design, packaging, and testing,
this remarkable accomplishment is the result of the development of process
technology. Indeed, significant progresses have been reached in processes
such as materials, m epitaxy, insulation, interconnection, etching, doping,
and lithography.
Table 17.1 shows how these processes have been changed by passing
from the previous integration techniques (i.e. small scale integration (SSI),
TABLE 17.1

Comparison between Processes Used in VLSI and Previous


Integration Techniques Emphasizing the Role of CVD Films

No. Process SSI/MSI/LSI VLSI

1 2 3 4

1 Substrate material Silicon wafers obtained from Very low defect density Si
preparation pulled bulk single crystals wafers obtained from pulled
bulk single crystals plus various
gettering schemes (e.g. covering
the wafer backside with CYD
Si 3 N 4 film, etc.)
Forming substrate b y using laser
or electron-beam recrystalliza-
tion of a CVD polysilicon layer.

519
CONCLUSIONS

TABLE 17.1 (continued)

1 2 3 4

2 Epitaxy- Gas-phase epitaxy a t Low-pressure epitaxy


atmospheric pressure Low-temperature epitaxy
Selective epitaxy
Very t h i n film epitaxy
3 Insulation Thermal oxidation a t High-pressure thermal oxidation
atmospheric pressure LPCVD of -dielectrics (Si0 2 ,
CYD a t atmosphejic pressure Si 3 N 4 )
PECVD of dielectrics
UVCVD of dielectrics
4 Interconnection Aluminium Doped polysilicon
Doped polysilicon Refractory metals (CYD W, Mo,
etc.)
Refractory metal suicides (CVD
WSi 2 , MoSi 2 , etc.)
Multilevel metallization on top
of polysilicon layer
5 Etching Wet etching Dry etching ( plasma etching,
sputter etching, reactive ion
etching, reactive ion-beam et-
ching)
6 Doping Gas-phase diffusion Ion implantation (using CVD
Doping during epitaxial layers as a mask)
growth
7 Lithography (Line size > 1 — 2 μπι) (Line size « 1 — 2 μπι)
Optical lithography Optical lithography
X-ray lithography (using CVD
layers in the mask construction)
E B lithography

medium scale integration (MSI), and large scale integration (LSI) in which
the number of functional elements on a chip is, respectively, up to 1,000,
10,000, and 100,000) to the present VLSI technique. It is observed that
CVD films continue to have an important role in all processing steps.
B. In general, the future trends of CVD films will also be related to
the future development of solid-state devices. It is anticipated that over the
next twenty-five years the semiconductor-device field will grow rapidly,
entering the last phase of its evolution, namely very, very large scale inte-
gration (V2LSI), also called ultra-large scale integration (ULSI) or wafer scale
integration (WSI). The ULSI circuits will contain over 1,000,000 functional
elements on a wafer having submicron feature size. To accomplish this pre-
dicted growth in integrated circuit complexity, current progress in processing
technology will continue. In this framework, further development is also
predicted, to a greater or lesser extent, in all areas of CVD films. Thus, the
CVD films will maintain their chief role in the future technology of solid-
state devices.
Several possible developments in the field of CVD films are as follows
[5364-5366, 5368, 5370].

520
P R E S E N T A N D F U T U R E I M P O R T A N C E OF CVD FILMS

As in the last period, preparation techniques will see the highest growth.
Preparation by means of APCVD of new materials in the epitaxial, polycrys-
talline, or amorphous state possessing desirable properties (e.g. various lesser-
known compound semiconductors, etc.) is expected.
Improvement in the properties and applicability of the known materials
by working out advanced CVD processes for their preparation, such as
MOCVD, LPCVD, PECVD, UVCD, LCVD, and EBCVD, will occur. MOCVD,
which has already been shown to be a rather powerful technique for the
preparation of a wide range of materials usable in high-performance devi-
ces, is expected to become a production technique, new applications would
especially include the development of semiconductor quaternary alloys.
The list of films prepared by using PECVD is also by no means complete;
a diversity of compounds, such as metal borides, carbides, nitrides, oxides,
suicides, sulphides, selenides, tellurides, oxycarbides, carbonitrides, etc.,
may certainly be prepared. Many additional compounds will be synthesized
by using UVCVD, LCVD, and EBCVD in the future. The modification of
CVD equipments, such as the development of automated, computerized or
even robotized reactors equipped with provisions for in-situ growth rate,
structural, and analytical measurements, will succeed.
Extended use will be made of silicon-on-Si02 substrates prepared by means
of laser recrystallization of polysilicon layers. Significant improvements may
be expected in homoepitaxial semiconductor films which will be required to
be more and more thin by applying low-pressure, low-temperature, selective
growth, and pyrolytic-reaction techniques. Heteroepitaxial semiconductor
films on insulating substrates will be further perfected by using substrates
of better quality and by acquiring a detailed fundamental understanding
of the heteroepit axial growth process.
Epitaxial semiconductor heterojunctions are expected to extend to a
variety of systems by utilization of the step compositional grading techni-
que. It is very likely that polycrystalline semiconductors will be more inten-
sively investigated, especially in conjunction with their utilization in the
fabrication of low-cost solar cells.
A variety of new amorphous semiconductors will be prepared in view
of their future important applications. The number cf dielectric films will
be enlarged and their quality will increase by using low-temperature or low-
pressure deposition techniques; as wafers become larger, their deposition
will be performed preferably in hot-wall tube reactors offering higher through-
put.
Materials required for metallization, such as Al, refractory metals, and
refractory metal silicides, will be obtained primarily by using LPCVD and
PECVD instead of evaporation and sputtering, since these methods provide
increased uniformity and purity.
The fundamentals of CVD processes are expected to be investigated more
intensively in the foregoing major directions. As a result of their increased
use, pyrolytic, organometallic, glow-discharge, and photochemical reactions
will be investigated in more detail. Using a variety of modern techniques, a
a more detailed knowledge of the thermodynamics, kinetics and mechanisms
of chemical processes will be obtained. Nucleation of CVD films will be inves-
tigated both experimentally and theoretically for a large number of film-

521
CONCLUSIONS

substrate systems. Structure, composition and physico-chemical properties


of CVD films will be correlated unambigously with growth parameters. Several
techniques for the in-situ measurement of structure and composition already
used for PVD films will also be applied to CVD films. Electrical and optical
properties of CVD films will be modified by using appropriate techniques
in order to obtain optimum characteristics required for solid-state applica-
tions.
The uses of CVD films will be closely related to device miniaturization,
tending to reach the minimum feature length of~0.1—0.25 μιη. Beyond
this limit, thermodynamic and quantum-mechanical effects begin to limit
device performances. The majority of future VLSI applications will always
T}e based on the utilization of silicon as the major substrate material.
As a result of the increased future requirement for devices, the demand
for CVD thin films will also increase. Semiconducting, dielectric, and con-
ducting CVD films will further play a growing role in the fabrication of elec-
tronic device for an ever increasing range of applications. All classes of devi-
ce such as bipolar devices (p-n junction diode, bipolar transistor), unipolar
devices (JFET, MESFET, MOSFET, CCD), microwave devices (MESFET,
IMPATT diode, TED), and photonic devices (LED, laser, photodetector,
optical fibre, solar cell) will continue to benefit from the use of CVD films.
The newly developed CVD materials will find broad application in new
fabrication processes used to obtain new device configurations resulting in
improved device characteristics.
The main trends of semiconductor-device development will be in the
direction of obtaining improvements in high-frequency bipolar transistors,
power thyristors, submicrometer MOSFETs for VLSI, non-volatile MOSFET
memories, MESFETs made of GaAs and related III—V compound semicon-
ductors, CCDs using both Si and GaAs semiconductor substrates, GaAs and
InP TEDs, IMPATT diodes, BARITT diodes, LEDs, lasers, and avalanche
photodiodes made of III—V ternary and quaternary compounds, and thin-
film solar cells made from either silicon or 111—V and 11—VI compounds. Also,
new device types able to perform a wide range of electronic function are
-expected to be developed.

17.2 Concluding Remarks

In this book, a comprehensive overview of CVD thin films, with par-


ticular emphasis oil electronic materials, has been presented. These films are
of technological importance in nearly all solid-state electronic devices. In
addition, semiconductor CVD films are of increased scientific interest since
they allow the study of numerous basic phenomena, especially in the areas
of homoepitaxy, heteroepitaxy, heterojunctions, polycrystallinity, and amor-
phicity.
Because of the restricted specialization of the author, which includes
mainly processes related to silicon device technology, it is very probable that
some aspects of this vast field could not adequately be touched upon. However,

522
P R E S E N T AND F U T U R E I M P O R T A N C E OF CVD FILMS

it is hoped that the major aspects of the principles, techniques, and applica-
tions of CVD films have been covered. An attempt has been made to provide
a balanced approach including both scientific and engineering aspects. Most
of the information has been gleaned from the literature published up to 1988.
Extensive tables and a comprehensive bibliography have been presented to
facilitate the in-depth understanding of modern CVD thin-film techonology.
The interdisciplinary field of CVD films will undoubtedly expand in the
following quarter century. Both fundamental and applied research will result
in either new or improved films with extended applicability in future solid-
state technology.
References

1 L. I. MAISSEL and R. GLANG, eds., "Handbook of Thin Film Technology", McGraw-


Hill, New York,-1970.
2 J. L. VOSSEN and W . K E R N , eds., "Thin Film Processes'*, Academic Press, New
York, 1978.
3 R . W . B E R R Y , P . M. HALL, and M. T. H A R R I S , "Thin Film Technology'*, Van
Nostrand-Reinhold, Princeton, N J , 1968.
4 J. W. MATTHEWS, ed., "Epitaxial Growth'*, P a r t s A and B, Academic Press, New York,
1975.
5 L. ECKERTOVA, "Physics of Thin Films", Plenum Press, New York, 1st ed., 1977;
2nd ed., 1986.
6 L. I. MAISSEL and M. FRANCOMBE, "An Introduction to Thin Films", Gordon and
Breach, New York, 1973.
7 R. F. BUNSHAH, ed., "Deposition Technologies for Films and Coatings", Noyes, Park
Ridge, N J , 1982.
8 K. N. TU and R. ROSENBERG, eds., "Preparation and Properties of Thin Films", in
"Treatise on Materials Science and Technology" (H. Herman, ed.), Vol. 24, Academic Press,
New York, 1982.
9 L. ALEKS ANDROV , "Growth of Crystalline Semiconductor Materials on Crystal Surfaces'*,
Elsevier, Amsterdam, 1984.
10 S. M. SZE, ed., "VLSI Technology", McGraw-Hill, New York, 1983.
11 C. F . POWELL, J. H . OXLEY, and J. M. BLOCHER, JR., eds., "Vapour Deposition",
Wiley, New York, 1966.
12 W. K E R N and V. S. BAN, ref. [2], Ch. III-2, p . 257.
13 R. A. HOLZL, in "Techniques of Metals Research" (R. F . Banshah, ed.), Vol. 1, p . 1377,
Wiley Interscience, New York, 1968.
14 W . M . F E I S T , S. R. S T E E L E , and D. W. READY, Phys. Thin Films, 5, 237 (1969).
15 C. E. MOROSANU, "Chemical Vapour Deposition of Thin Films'* (in Romanian), Tech-
nical Publishing House, Bucharest, 1981.
16 D. S. CAMPBELL, ref. [1], Ch. 5.
17 R . W . HASKELL and J. G. BYRNE, in " Treatise on Materials Science and Technology"
(H. Herman, ed.), Vol. 1, p . 293, Academic Press, New York, 1972.
18 J. W. HASTIE, "High Temperature Vapours: Science and Technology", Ch. 3, Academic
Press, New York, 1975.
19 W . A. BRYANT, / . Mater. Sei., 12, 1285 (1977).
20 T. L. CHU, / . Vac. Sei. TechnoL, 6, 25 (1969).

525
HEFERENCES

21 T. L. CHU and R. K. SMELTZER, / . Vac. Sei. Technol., 10, 1 (1973).


22 J. J. T I E T J E N , Ann. Rev. Mater. Sei., 3, 317 (1973).
23 1st Int. Conf. on Chemical Vapour Deposition (A. W. Shaffhauser, ed.), Am. Nucl. S o c ,
Hinsdale, IL, 1967.
24 2nd Int. Conf. on Chemical Vapour Deposition (J. M. Blocher, Jr. and J. C. Wither, eds.),
Electrochem. S e c , New York, 1970.
25 3rd Int. Conf. on Chemical Vapour Deposition (F. A. Glaski, ed.), Am. Nucl. S o c ,
Hinsdale, IL, 1972.
26 4th Int. Conf. on Chemical Vapour Deposition (G. F . Wakefield and J. M. Blocher,
Jr., eds.), Electrochem. S o c , Princeton, N J , 1973.
27 5th Int. Conf. on Chemical Vapour Deposition (J. M. Blocher, Jr., H. E. Hintermann,
and L. H. Hall, eds.), Electrochem. S o c , Princeton, N J , 1975.
28 6th Int. Conf. on Chemical Vapour Deposition (L. F . Donaghey, P. Rai-Choudhury, and
R. N. Tauber, eds.), Electrochem. S o c , Princeton, N J , 1977.
29 7th Int. Conf. on Chemical Vapour Deposition (T. O. Sedgwick and H. Lydtin, eds.),
Electrochem. S o c , Princeton, N J , 1979.
30 8th Int. Conf. on Chemical Vapour Deposition (J. M. Blocher, Jr., G. E. Vuillard, and
G. Wahl, eds.), Electrochem. S o c , Pennington, N J , 1981.
31 9th Int. Conf. on Chemical Vapour Deposition (McD. Robinson, C. H. J. Van den Brekel,
G. W. Cullen, J. M. Blocher, Jr., and P . Rai-Choudhury, eds.), Electrochem. S o c ,
Pennington, N J , 1984.
32 1st Eur. Conf. on CVD, 1974.
33 2nd Eur. Conf. on CVD, 1977.
34 3rd Eur. Conf. on CVD (H. E. Hintermann, ed.), L S R H , Neuchatel, Switzerland, 1980.
35 4th Eur. Conf. on CVD (J. Bloem, G. Verspui, and L. R. Wolff, eds.), Philips, Eind-
hoven, 1983.
36 5th Eur. Conf. on CVD, 1986.
37 Electrochem. Soc. Spring and Fall Meetings 1960—1986; see Electrochem. Soc. Extend,
Abstr., 60 ( 1 ; 2) ( 1 9 6 0 ) - 8 6 ( 1 ; 2) (1986); see also J.Electrochem. Soc. (3; 8) (1960-1986).
38 Int. Conf. on Thin Films, 1st (1969); 2nd Venice, Italy (1972); 3rd Budapest, Hungary
(1975); 4th Loughborough, England (1978); 5th Herzlia-on-Sea, Israel (1981); 6th Stock-
holm, Sweden (1984); see Thin Solid Films 12/13 (1972); 32/34/36 (1976); 57/58 (1979);
89/90 (1982); 124/126 (1985).
39 Electronic Materials Conf., 1st (1959)_29th (1986), see J. Electron. Mater. 1 ( 1 9 7 2 ) -
15 (1986).
40 Int. Conf. on Metallugical Coatings, San Francisco, CA (1976, 1977, 1978), San Diego,
CA (1979, 1980, 1981, 1982, 1983, 1984); see Thin Solid Films, 39/40 (1976); 45 (1977);
53/54 (1978); 63/64 (1979); 72/73 (1980); 83/84 (1981); 95/96 (1982); 107/108 (1983);
118/119 (1984).
41 A. H . AGAJANIAN, "Semiconductor Devices : A Bibliography of Fabrication Technology,
Properties, and Applications", IFI/Plenum, New York, 1976.
42 D. T. H A W K I N S , "Chemical Vapour Deposition, 1960-1980; A Bibliography", IFl/
Plenum, New York, 1981.
4.3 R. UEDA and J. B. MULLIN, eds., "Crystal Growth and Characterization", North-
Holland , Amsterdam, 1975.
44 G. W. CULLEN and C. C. WANG, eds., "Heteroepitaxial Semiconductors for Electronic
Devices", Springer-Verlag, New York and Berlin, 1978.
45 H. B. POGGE, ref. [98], Ch. 5, p. 335.
46 D. W. SHAW, ref. [4], p . 89.

526
REFERENCES

47 J. BLOEM and L. J. GILING, in "Current Topics in Materials Science" (E. Kaldis, ed.),
Vol. 1, p . 147, North-Holland, Amsterdam, 1978.
48 T. ARIZUMI, in uCunent Topics in Materials Science^ (E. Kaldis, ed.), Vol. 1, p . 343,
North-Holland, Amsterdam, 1978.
49 J. B. SCHROEDER, ed., "Metallurgy of Semiconductor Materials", Interscience, New
York, 1962.
50 E. G. S C H N E I D E R and V. R U T H , "Advances in Epitaxy and Endotaxy", VEB Deutcher
Verlag für Grundstoffindustrie, Leipzig, 1981.
51 M. H. FRANCOMBE and J. E. JOHNSON, Phys. Thin Films, 5, 143 (1969).
52 B. E. WATTS, Thin Solid Films, 18, 1 (1973).
53 B. E. BARRY, Thin Solid Films, 39, 35 (1976).
54 L. HOLLAN, J. P. HALLAIS, and J. C. BRICE, in "Current Topics in Materials
Science" (E. Kaldis, ed.), Vol. 5, p . 1, North-Holland, Amsterdam, 1930.
55 Semiconductor Silicon 1969 (R. R. Haberecht and E. L. Kern, eds.), Electrochem. S o c ,
New York, 1969.
56 Semiconductor Silicon 1973 (H. R. Huff and R. R. Burgess, eds.), Electrochem. Soc.
Princeton, N J , 1973.
57 Semiconductor Silicon 1977 (H. R. Huff and E. Sirtl, eds.), Electrochem. Soc., Princeton,
N J , 1977
58 Semiconductor Silicon 1981 (H. R. Huff, R. J. Kriegler, and Y. Takeishi, eds.), Electro-
chem. S o c , Princeton, N J , 1981.
59 Semiconductor Silicon 1986 (H. R. Huff, T. Abe, and B. Kolbesen, eds.), Electrochem.
S o c , Pennington, N J , 1986.
60 P r o c 1st Int. Conf. on Crystal Growth and Epitaxy from the Vapour Phase, Zurich,
1970 (E. Kaldis and M. Schieber, eds.); / . Cryst. Growth, 9 (1971).
61 Proc. 2nd Int. Conf. on Vapour Growth and Epitaxy, Jerusalem, 1972 (G. W. Cullen,
E. Kaldis, R. L. Parker, and M. Schieber, eds.); / . Cryst Growth, 17 (1972).
62 P r o c 3rd Int. Conf. on Vapour Growth and Epitaxy, Amsterdam, 1975 (G. W. Cullen,
E. Kaldis, and R. L. Parker, eds.); J. Cryst. Growth, 31 (1975).
63 P r c c 4th Int. Conf. on Vapour Growth and Epitaxy, Nagoya, Japan, 1978 (K. Taka-
hashi, T. Arizumi, G. M. Blom, and E. Kaldis, eds.); / . Cryst. Growth, 45 (1978).
64 P r o c 5th Int. Conf. on Vapour Growth and Epitaxy, Coronado, CA, 1981 (M.Schieber,
G. M. Blom, and E. Kaldis, eds.); / . Cryst. Growth, 56 (2) (1982).
65 P r o c 6th Int. Conf. on Vapour Growth and Epitaxy, Atlantic City, N J , 1984 (M. Schie-
ber, E. Kaldis, D. W. Shaw, G. B. Stringfellow and L. Van den Berg, eds.); / . Cryst.
Growth, 70 (1/2) (1984).
66 Proc. 1st Int. Conf. on MOVPE, Ajaccio, France, 1981 (J.-F. Bonfils, S. J C. Irvine,
and J. B. Mullin, eds.); J. Cryst. Growth, 55 (1) (1981).
67 Proc. 2nd Int. Conf. on MOVPE, Sheffield, UK, 1984 (J. B. Mullin, S. J. C Irvine, R. H .
Moss, P. N. Robson, and D. R. Wight, eds.); / . Cryst. Growth, 68 (1) (1984).
68 P r c c 3rd Int. Conf. on MOVPE, Universal City, CA, 1986 (G. B. Stringfellow, ed.);
/ . Cryst. Growth, 77 (1986).
69 P r o c 1st. Int. Symp. on Gallium Arsenide, Reading, 1966; Inst. Phys. Conf. Ser. 3,
Inst. Phys., London, 1967.
70 Proc. 2nd Int. Symp. on GaAs and Related Compounds, Dallas, 1968; Inst. Phys.
Conf. Ser. 7, Inst. Phys., London, 1969.
71 Proc. 3rd Int. Symp. on GaAs and Related Compounds, Aachen, 1970; Inst. Phys.
Conf. Ser. 9, Inst. Phys., London, 1971.

527
REFERENCES

72 Proc. 4th Int. Symp., on GaAs and Related Compounds, Boulder, CO, 1972; Inst.
Phys. Conf. Ser. 17, Inst. Phys., London, 1973.
73 Proc. 5th Int. Symp. on GaAs and Related Compounds, Deauville, 1974; Inst. P h y s .
Conf. Ser. 24, Inst, Phys., London, 1975.
74 Proc. 6th Int. Symp. on GaAs and Related Compounds, Edinburgh, 1976 (C. Hilsum,
ed.); St. Louis, 1976 (L. F . Eastman, ed.); Inst. Phys. Conf. Ser. 33a and 33b, Inst.
Phys., London, 1977.
75 Proc. 7th Int. Symp. on GaAs and Related Compounds, St. Louis, 1978 (C. M. Wolfe,
ed.), Inst. Phys. Conf. Ser. 45, Inst. Phys., London, 1979.
76 Proc. 8th Int. Symp. on GaAs and Related Compounds, Vienna, 1980 (H. W . T h i m , '
ed.); Inst. Phys. Conf. Ser. 56, Inst. Phys., London, 1981.
77 Proc. 9th Int. Symp. on GaAs and Related Compounds, Oiso, 1981 (T. Sugano, ed.);
Inst. Phys. Conf. Ser. 63, Inst. Phys., London, 1982.
78 Proc. 10th Int. Symp. on GaAs and Related Compounds, Albuquerque, 1982 (G. E.
Stillman, ed.); Inst. Phys. Conf. Ser. 65, Inst. Phys., London, 1983.
79 Proc. 11th Int. Symp. on GaAs and Related Compounds, Biarritz, France 1984 (B. de
Cremoux, ed.); Inst. Phys. Conf. Ser. 74, Inst. Phys., London, 1985.
80 Proc. 12th Int. Symp. on GaAs and Related Compounds, Karuizawa, 1985 (M. Fujimoto,
ed.); Inst. Phys. Conf. Ser. 79, Inst. Phys., London, 1986.
81 Proc. Int. Conf. I I - V I Compounds 1982, Durham, UK, 1982 (S. J. C. Irvine, B. Lunn,
J. B . Mullin, and J. Woods, eds.); / . Cryst. Growth, 59 (1/2) (1982).
82 Proc. Int. Conf. I I — V I Compounds 1985, Aussois, France, 1985 (Y. Marfaing, R. Tribou-
let, B. Lunn, and J. B . Mullin, eds.); / . Cryst. Growth, 72 (1/2) (1985).
83 E. G r ü n b a u m , ref. [4], p . 611.
84 J. T. MILEK, "Silicon Nitride for Microelectronic Applications"', P a r t s 1 and 2 ("Handbook
of Electronic Materials", Vols. 3 and 6), IFI/Plenum, New York, 1971 and 1972.
85 F . VRATNY, ed., "Thin Film Dielectrics", Electrochem. S o c , New York, 1969.
86 Special Issues, RCA Review, 29 (4) (1968); 31 (4) (1970).
87 K. H . Z A I N I N G E R and C. C. WANG, Proc. IEEE, 57, 1564 (1969).
88 L. V. GREGOR, Proc. IEEE, 59, 1390 (1971).
89 C. E. MOROSANU, Thin Solid Films, 65, 171 (1980).
90 W. K E R N , Semicond. Int., 5 (3), 89 (1982); 8 (7), 121 (1985).
91 G. L. SCHNÄBLE, W. K E R N , and R. B. COMIZZOLI, J. Electrochem. S o c , 122,
1092 (1975).
92 J. A. AMICK and W. K E R N , ref. [24], p . 551.
93 C. E. MOROSANU, Microelectron Reliab., 20, 357 (1980).
94 W. E. S A W Y E R and A. MAN, U.S. P a t . 229,335 (1880).
95 J. W. AYLSWORTH, U . S . P a t . 553,296 (1896).
96 A. DeLODYGUINE, U.S. P a t s . 575,002 and 575,668 (1897).
97 L. MOND, U.S. P a t . 445,230 (1881).
98 S. P . K E L L E R , ed., "Materials, Properties and Preparation", in "Handbook on Semi-
conductors" (T. S. Moss, ed.), Vol. 3, North-Holland, Amsterdam, 1980.
99 D. M. MATTOX, Thin Solid Films, 84, 361 (1981).
100 J. A. AMICK, G. L. SCHNÄBLE, and J. L. VOSSEN, / . Vac. Sei. TechnoL, 14,
1053 (1977).
101 N. SCHWARTZ and R. W . B E R R Y , Phys. Thin Films, 2, 363 (1964).
102 D . S . CAMPBELL, Thin Solid Films, 32, 3 (1976).
103 K. R. LAWLESS, Phys. Thin Films, 4, 191 (1967).
104 N . F E L D S T E I N and T. S. LANCSEK, RCA Rev., 3 1 , 439 (1970).

528
REFERENCES

105 N. F E L D S T E I N , Solid State Technol., 16 (12), 87 (1973).


106 H . SCHROEDER, Phys. Thin Films, 5, 87 (1969).
107 F . A. L O W E N H E I M , ref. [2], Ch. I I I - l .
108 G. L. SCHNÄBLE and P . F . SCHMIDT, / . Electrochem. Soc, 123, 3 IOC (1976).
109 C. J. D E L L O C A , D. L. P U L F R E Y , and L. YOUNG, Phys. Thin Films, 6, 1(1971).
110 R. GLANG, ref. [1], Ch. 1.
111 L. MAISSEL, ref. [1], Ch. 4, p . 61.
112 J. L. VOSSEN, / . Vac. Sei. Technol, 8, S12 (1971).
113 W. D. WESTWOOD, Progr. Surf. Sei., 7, 71 (1976).
114 J. E. CURRAN, / . Vac. Sei. Technol., 14, 108 (1977).
115 J. E. G R E E N E , ref. [98], p . 499.
116 J. L. VOSSEN and J. J. CUOMO, ref. [2], Ch. I I - 1 .
117 J. A. THORNTON, and A. S. P E N F O L D , ref. [2], Ch. II-2.
118 D. B. F R Ä S E R , ref. [2], Ch. I I - 3 .
119 R. K. W A I T S , ref. [2], Ch. II-4.
120 J. M. E. H A R P E R , ref. [2], Ch. II-5.
121 P. H . SCHMIDT, R. N. CASTELLANO, and E. G. SPENCER, Solid State Technol.,
16 (7), 27 (1972).
122 C. WEISSMANTEL, O. F I E D L E R , G. H E C H T , and G. R E I S S E , Thin Solid Films,
13, 359 (1972)..
123 C. WEISSMANTEL, Thin Solid Films, 32, 11 (1976).
124 G. R. THOMPSON, JR., Solid State Technol., 21 (12), 73 (1978).
125 P . R. YOUNGER, Solid State Technol., 27 (11), 143 (1984).
126 J. AMANO, P. BRYCE, and R. P . W. LAWSON, / . Vac. Sei. Technol., 13, 591 (1976).
127 J. AMANO and R. P . W . LAWSON, / . Vac. Sei . Technol., 14, 831, 836 (1977); 15,
118 (1978).
128 A. Y. CHO and J. R. A R T H U R , J R . , in "Progress in Solid State Chemistry" (J. O.
McCaldin and G. A. Somorjai, eds.), Vol. 10, p . 157, Pergamon Press, New York, 1975.
129 R. F . C. F A R R O W in "Current Topics in Materials Science" (E. Kaldis and H . J. Scheel,
eds.), Vol. 2, p . 237, North-Holland, Amsterdam, 1976.
130 L. L. CHANG, ref. [98], p . 563.
131 S. S. IYER, ref. [2339], Ch. 2 ; E. H . C. P A R K E R , "The Technology and Physics of
MBE", Plenum, New York, 1985.
132 Y. OTA, Thin Solid Films, 106, 3 (1983).
133 G. HASS, M. H . FRANCOMBE and C.E.C. WOOD, Phys. Thin Films, 11, 36 (1980).
134 A. LOPEZ-OTERO, Thin Solid Films, 49, 3 (1978).
135 J. D. MACDOUGALL, Solid State Technol., 14 (10), 46 (1971).
136 S. NAMBA, ed., „Ton Implantation in Semiconductors", Plenum, New York, 1975.
137 J. F . GIBBONS, ref. [98], p . 599.
138 H . J. STEIN, / . Electrochem. Soc, 121, 1073 (1974).
139 G. E. THOMAS, L. J. B E C K E R S , F . H . P. M. H A B R A K E N , and A. E. T. K U I P E R ,
Appl. Phys. Lett., 4 1 , 56 (1982).
140 D . M . MATTOX, / . Vac. Sei. Technol., 10, 47 (1973).
141 J. E. VARGA and W . A. BAILEY, Solid State Technol., 16 (12), 79 (1973).
142 V. HOFFMAN, Solid State Technol., 16 (12), 93 (1973).
143 H . K R E S S E L and H . NELSON, Phys. Thin Films, 7, 115 (1973).
144 H . NELSON, RCA Rev., 24, 603 (1963).
145 J. J. H S I E H , ref. [98], p . 415.
146 B . J. BALIGA, ref. [2339], Ch. 3.

529
REFERENCES

147 R. P R E T O R I U S , Z. L. LIAU, S. S. LAU, and M. A. NICOLET, Appl. Phys. Lett,


29, 598 (1976).
148 S. S. LAU, J . W . MAYER, and W. TSENG, ref. [98], p . 531.
149 A. S. GROVE, ref. [4861], p . 22.
150 M . M . MOSLEHI and K. C. SARASWAT, IEEE Trans. Electron. Dev., 32, 106 (1985).
151 T. ITO, S. H I J I Y A , T. NOZAKI, H . ARAKAWA, M. SHINODA, and Y. FURUKAWA,
/ . Electrochem, Soc, 125, 449 (1978).
152 T. ITO, T. NOZAKI, H . ARAKAWA, and M. SHINODA, Appl. Phys. Lett., 32, 330
(1978).
153 S. P . MURARKA,' C. C. CHANG, and A. C. ADAMS, / . Electrochem. Soc, 126, 996
(1979).
154 F . H . P. M. H A B R A K E N , A. E. T. K U l P E R , and Y. TAMMINGA, Philips J. Res., S3,
19 (1983).
155 J. A. NEMETZ and R. E. T R E S S L E R , Solid State Technol., 26 (1), 79 (1983); 26 (9),
209 (1983).
156 J. KRAICHMAN, / . Appl. Phys., 38, 4323 (1967).
157 J. R. LIGENZA, / . Appl. Phys. 36, 2703 (1965).
158 J. R. LIGENZA and M. K U H N , Solid State Technol, 13 (12), 33(1970).
159 A . M . COPELAND and R. PAPPU, / . Appl. Phys., 19, 199 (1971).
160 D. L. P U L F R E Y , F . G. M. HATHORN, and L. YOUNG, / . Electrochem. Soc, 120,
1259 (1973).
161 D. L. P U L F R E Y and J. J. H . R E C H E , Solid-State Electron., Y79 627 (1974).
162 T. SUGANO, Thin Solid Films, 72, 9 (1980).
163 F . KOSHIGA and T. SUGANO, Thin Solid Films, 56, 39 (1979).
164 R. P. H. CHANG, C. C. CHANG, and S. DARACK, Appl. Phys. Lett., 36, 999 (1980).
165 V. Q. H O and T. SUGANO, IEEE Trans. Electron. Dev. 27, 1436 (1980).
166 S. S. W O N G and W. G. OLDHAM, IEEE Trans. Electron. Dev., 32, 978 (1985).
167 T. ITO, I. KATO, T. NOZAKI, T. NAKAMURA, and H. ISHIKAWA, Appl. Phys.
Lett., 38, 370 (1981).
168 R. V. G I R I D H A R and K. ROSE, Appl. Phys. Lett., 45, 578 (1984).
169 T. ITO, T. NOZAKI, and H. ISHIKAWA, J..Electrochem. Soc, 127, 2053 (1980).
170 Y. MATSUNO, K. MATSUSHITA, T. H A R I U , and Y. SHIBATA, Jpn. J. Appl. Phys.,
19, L383 (1980).
171 M. YAMAGUCHI, Jpn. J. Appl. Phys., 19, L401 (1980).
172 T. E. ORLOWSKI and H. R I C H T E R , Appl. Phys. Lett., 45, 241 (1984).
173 J. F . GIBBONS, Jpn. J. Appl. Phys., Suppl., 19, 121 (1980).
174 I. W. BOYD, J. I. B. WILSON, and J. L. W E S T , Thin Solid Films, 83, L173 (1981).
175 I . W . BOYD, Appl. Phys. Lett., 42, 728 (1983).
176 I . W . BOYD, / . Appl. Phys., 54, 3561 (1983).
177 I . W . BOYD, T. D. B I N N I E , J. I. B. WILSON, and M. J. COLLES, / . Appl. Phys..
55, 3061 (1984).
178 V. M. BERMUDEZ, / . Appl. Phys., 54, 6795 (1983).
179 T. SUGII, T. ITO, and H . ISHIKAWA, Appl. Phys. Lett., 45, 966 (1984).
180 T. TAKAHASHI and H. ITOH, / . Electrochem Soc, 14, 797 (1977); / . Mater Sei. 14,
1285 (1979); / . Cry st. Growth, 49, 445 (1980).
181 K. SUGIYAMA, K. KINBARA, and H. ITOH, Thin Solid Films, 112, 257 (1984).
182 W. K E R N and R. S. ROSLER, / . Vac. Sei. Technol., 14, 1082 (1977).
183 L. A. RYABOVA, in "Current Topics in Materials Science" (E. Kaldis, ed.), Vol. 7,
p. 587, North-Holland, Amsterdam, 1981.

530
REFERENCES

184 G. B. S T R I N G F E L L O W , in "Semiconductors and Semimetals" (W. T. Tsang, ed.), Vol.


22A, p . 209, Academic Press, New York, 1985.
185 J . C . V I G U I E and J. SPITZ, / . Electrochem. Soc, 122, 5S5 (1975).
186 J . M . BLOGHER, J R . , Thin Solid Films, 77, 51 (1981).
187 G. HAACKE, H . ANDO, and W. E. MEALMAKER, / . Electrochem. Soc, 24, 1923
(1977).
188 G. E B E R S P A C H E R , A. L. F A H R E N B R U C H , and R. H . BUBE, Thin Solid Films, 136,
1 (1986).
189 J. ARANOVICH, A. ORTIZ, and R. H. B U B E , / . Vac. Sei. Technol., 16, 994 (1979).
190 R. R. CHAMBERLIN and J. S. SKARMAN, / . Electrochem. Soc, 113, 86 (1966).
191 B . J. FELDMAN and J. A. DUISMAN, Appl. Phys., Lett., 37, 1092 (1980).
192 Y. Y. MA and R. M. B U B E , / . Electrochem, Soc, 124, 1430 (1977).
193 M. U E K I T A , K. F . NELSON, C. W. BATES JR., J. B. MOONEY, and J. M. RECK-
TENWALD, Thin Solid Films, 88, 275 (1982).
194 B . F . S H I R R E F F S , C. H . CHENG, K. GEIB, and K. A. J O N E S , / . Electrochem. Soc,
131, 440 (1984).
195 S.-Y YIN, A. L. F A H R E N B R U C H , and R. H. BUBE, / . Appl. Phys., 49, 1294 (1978)
196 C. R. ABERNATHY, C. W. BATES, JR., A. A. ANANI, B. HABA, and G. SMESTAD,
Appl. Phys. Lett', 45, 890 (1984).
197 J. L. BOONE, T. P. VAN DOREN, and A. K. B E R R Y , Thin Solid Films, 87, 259 (1982);
B . J . FELDMAN, J. L. BOONE, and T. λ^ΑΝ DOREN, Appl. phys. Lett., 38, 703 (1981).
198 C. W. BATES, K. F . NELSON. S. A. RAZA, J. B. MOONEY, J. M. R E C K T E N -
WALD, L. MACINTOSH, and R. LAMOREAUX, Thin Solid Films, 88, 279 (1982).
199 A. RAZA, O. P. A G N I H O T R I , and B. K. GUPTA, / . Phys., D10, 1871 (1977).
200 T. F E N G , A. K. GHOSH, and C. FISHMAN, Appl. Phys. Lett., 35, 266 (1979).
201 J . C . MANIFACIER and L. SZEPESSY, Appl. Phys. Lett., 31, 459 (1977).
202 A. ORTIZ, / . Vac Sei. Technol., 20, 7 (1982).
203 W. K E R N and E. TRACY, RCA Rev., 4 1 , 133 (1980).
204 R. S. R O S L E R , Solid State Technol., 20 (4), 63 (1977).
205 W. K E R N and G. L. SCHNÄBLE, IEEE Trans. Electron, Dev., 26, 647 (1979).
206 C. E. MOROSANU and V. SOLTUZ, Vacuum, 31, 309 (1981).
207 F . K. McTAGGART, "Plasma Chemistry in Electrical Discharges", Elsevier, Amster-
dam, 1967.
208 J. R. HOLLAHAN and A. T. BELL, eds., "Techniques and Applications of Plasma
Chemistry", Wiley-Inter science, New York, 1974.
209 R. W. K I R K , ref. [208], Ch. 9.
210 M. J. RAND, / . Vac. Sei. Technol., 16, 420 (1979).
211 J. R. HOLLAHAN and R. S. R O S L E R , ref. [2], Ch. IV-1, p . 335.
212 R. S. R O S L E R and G. M. E N G L E , Solid State Technol. 22 (12), 88 (1979); 24 (4), 172
(1981).
213 A. R. R E I N B E R G , / . Electron. Mater., 8, 345 (1979); Ann. Rev. Mater. Sei., 9, 341
(1979).
214 S. V E P R E K , in "Current Topics in Materials Science" (E. Kaldis, ed.), Vol. 4, p. 151, North-
Holland, Amsterdam, 1980.
215 S. M. O J H A , Phys. Thin Films, 12, 237 (1982).
216 J. A. THORNTON, Thin Solid Films, 107, 3 (1983).
217 D. W. H E S S , in "Silicon Processing" (D. C. Gupta, ed.j, ASTM S T P 804, p . 218, Ame-
rican Society for Testing and Materials, Philadelphia, PA, 1983; / . Vac. Sei.Technol., A2,
244 (1984); ref. [5353], Vol. 8, p . 55.

531
REFERENCES

218 A. SHERMAN, Thin Solid Films, 113, 135 (1984).


219 B. GOROWITZ, T.B. GORCZYCA, and R. J. SAIA, Solid State Technol., 28 (6), 197
(1985).
220 I. KATO, S. WAKANA, S. HARA, and H . K E Z U K A , Jpn. J. Appl. Phys., 2 1 ,
L470 (1982).
221 I. KATO, S. WAKANA, and S. HARA, Jpn. J. Appl. Phys., 22, L40 (1983).
222 J. Y. CHEN, R. C. H E N D E R S O N , J. T. HALL, and J. W . P E T E R S , / . Electro-
chem. Soc. 131, 2146 (1984).
223 L. R. THOMPSON, J. J. ROCCA, K. EMERY, P. K. BOYER, and G. J. COLLINS,
Appl. Phys. Lett., 43, 777 (1983).
224 D. C. B I S H O P , K. A. EMERY, J. J. ROCCA, L. R. THOMPSON, H . ZARNANI,
and G. J. COLLINS, Appl. Phys. Lett., 44, 598 (1984).
225 L. R. THOMPSON, L. GOBIS, D. B I S H O P , J. J. ROCCA, K. EMERY, and G. J.
COLLINS, / . Electrochem. Soc, 131, 462 (1984).
226 A. SAWABE and T. INUZUKA, Appl. Phys. Lett, 46, 146 (1985).
227 K. H E I N E M A N N and T. OSAKA, / . Cryst. Growth, 57, 485 (1982); G. R. L I T T L E and
A . C . G R E E N W A L D , Semicond. Int. 2 (1), 81 (1979).
228 A. G. B A K E R and W. C. MORRIS, Rev. Sei. Instr., 32, 458 (1961).
229 R. W. BIGELOW, J. G. BLACK, C. B. D U K E , W. R. SALENECK, and H. R.
THOMAS, Thin Solid Films, 94, 233 (1982).
230 J. S. FOORD an R. B. JACKMAN, Chem. Phys. Lett., 112, 190 (1984).
231 R. B. JACKMAN and J. S. FOORD, Appl. Phys. Lett., 49, 196 (1986).
232 D. J. E H R L I C H , R. M. OSGOOD, J R . , and T. F . DEUTSCH, IEEE J. Quantum Elec-
tron., 16, 1233 (1980).
233 R. SOLANSKI, C. A. MOORE, and G. J.COLLINS, Solid State Technol., 28 (6), 220 (1985).
234 D. J. E H R L I C H and J. Y. TSAO, / . Vac. Sei. Technol., B l , 969 (1983); ref. [5353],
Vol. 7, Chap. 3.
235 M. J. MAYO, Solid State Technol., 28 (4), 141 (1985).
236 G. M. SHEDD, H. LEZEC, A. D. DUBNER, and J. MELNGAILIS, Appl. Phys. Lett.,
49, 1584 (1986).
237 K. GAMO, N. TAKAKURA, N. SAMOTO, R. SHIMIZU, and S. NAMBA, jpn. / .
Appl. Phys., 23, L293 (1984).
238 H . SCHÄFER, "Chemical Transport Reactions", Academic Press, New York, 1964.
239 J. MERCIER, / . Cryst. Growth, 56, 235 (1982).
240 R. F . L E V E R and F . JONA, / . Appl. Phys., 34, 3139 (1963).
241 C. J. FROSCH, / . Electrochem. Soc, 111, 180 (1964).
242 H. W I E D E M E I E R and A. E. UZPURVIS, / . Electrochem. Soc, 130, 252 (1983).
243 M. N I S H I O and H . OGAWA, Jpn. J. Appl. Phys. 2 1 , 90 (1982).
244 H . L. HWANG, B. H . TSENG, C. Y. SUN, and J. J. L O F E R S K I , Solar Energy Mater.,
4, 67 (1980).
245 T. ARIZUMI and T. NISHINAGA, Jpn. J. Appl. Phys., 5, 21 (1966).
246 H . KASANO and S. IIDA, Jpn. J. Appl. Phys., 6, 1038 (1967).
247 T. L. CHU, J. R. GAVALER, G. A. .GRUBER and Y. C. KAO, / . Electrochem. Soc,
111, 1433 (1964).
248 D. E T I E N N E and G. BOUGNOT, Thin Solid Films, 140, 245 (1986).
249 C. J. FROSCH, and C. D. THURMOND, / . Electrochem. Soc, 109, 301C (1962).
250 A. BACZEWSKI, / . Electrochem. Soc, 112, 1577 (1965).
251 F . BAILLEY, G. COHEN-SOLAL, and J. MIMILA-ARROYO, / . Electrochem. Soc,
126, 1604 (1979).

532
REFERENCES

252 F . H . NICOLL, / . Electrochem. Soc, 110, 1165 (1963).


253 J. E. MAY, Jm Electrochem. Soc, 112, 710 (1965).
254 R. F . TRAMPOSCH, / . Electrochem. Soc, 116, 654 (1969).
255 P . H . ROBINSON, RCA Rev., 24, 574 (1963).
256 G. E. GOTTLIEB and J. F . CORBOY, RCA Rev., 24, 5S5 (1963).
257 H . F L I C K E R , B. GOLDSTEIN, and P . A. H O S S , / . Appl. Phys., 45, 2959 (1964).
258 O. I G A R A S H I , / . Appl. Phys., 41, 3190(1970); / . Electrochem. Soc, 119, 1430 (1972).
259 R. SCHULZE, / . Appl. Phys., 47, 4295 (1966).
260 P . A. H O S S , L. A. MURRAY, and J. J. R I V E R A , / . Electrochem. Soc, 115, 553(1968).
261 R. K. P U R O H I T , J. Mater. Sei., 3, 330 (1968).
262 F . CHAVEZ, J. MIMILA-ARROYO, F . BAILLEY, and J. C. BOURGOIN, / . Appl.
Phys., 54, 6646 (1983).
263 D. COTE, J. P . D O D E L E T , B . A. LOMBOS, and J. I. DICKSON, / . Electrochem.
Soc, 133, 1925 (1986).
264 H. J. HOVEL, and A. G. MILNES, / . Electrochem. Soc, 116, 843 (1969).
265 C. FALCONY, F . SANCHEZ-SINENCIO, J. S. HELMAN, O. ZELAYA, and C.
MENEZES, / . Appl. Phys., 56, 1752 (1984).
266 J. M. P A W L I K O W S K I , Thin Solid Films, 127, 9 (1985).
267 O. IGARASHI, Jpn. J. Appl. Phys., 8, 642 (1969).
268 W. H . STREHLOW, / . Appl. Phys., 41, 1810 (1970).
269 W. H . STREHLOW and E. L. COOK, Phys. Rev., 188, 188 (1969).
270 O. IGARASHI, / . Appl. Phys., 42, 4035 (1971).
271 A. YOSHIKAWA, R. KONDO, and Y. SAKAI / . Appl. Phys., 43, 1096 (1973).
272 A. YOSHIKAWA and Y. SAKAI, / . Appl. Phys., 45, 3521 (1974); jpn. J. Appl. Phys.,
13, 1353 (1974); Solid-State Electron., 20, 133 (1977).
273 F . BUCH, A. L. F A H R E N B R U C H , and R. H . B U B E , Appl. Phys. Lett., 28, 593
(1976).
274' F . BUCH, A. L. F A H R E N B R U C H , and R. H . B U B E , / . Appl. Phys., 48, 1596 (1977).
275 J.MIMILA-ARROYO, A. BONAZZI, and G. COHEN-SOLAL, Rev. Phys. Appl., 12,
423 (1977).
276 J. M. LAROCHE and G. COHEN-SOLAL, Rev. Phys. Appl., 18, 515 (1983).
277 T. C. ANTHONY, A. L. F A H R E K B R U C H , and R. H. B U B E , / . Vac Sei. Technol.,
A2, 1296 (1984).
278 T. C. ANTHONY, A. L. F A H R E N B R U C H , M. G. P E T E R S , and R. H. B U B E , / .
Appl. Phys., 57, 400 (1985).
279 C. M E N E Z E S , C. FORTMANN, and S. CASEY, / . Electrochem. Soc, 132, 709 (1985).
280 C. A. M E N E Z E S , F . SANCHEZ-SINENCIO, A. SOSAE, and C. VAZQUEZ-LOPEZ,
Solar Energy Mater., 11, 401 (1985).
281 O. N. T U F T E and E. L. STELZER, / . Appl. Phys., 40, 4559 (1969).
282 G. COHEN-SOLAL, Appl. Phys. Lett., 19, 436 (1971).
283 T. L. CHU, S. S. CHU, C. P . C H I E N , and D. H . LO, / . Electrochem. Soc, 132, 2020 (1985).
284 E. S. W A J D A , D. W. K I P P E N H A M , and W. H . W H I T E , IBM J. Res. Dev., 4,
288 (1960).
285 F . A. PIZZARELLO, / . Electrochem, Soc, 110, 1059 (1963).
286 V. J. LYONS tod V. J. SILVESTRI, / . Electrochem. Soc, 109, 963 (1962).
287 D. RICHMAN, RCA Rev., 24, 596 (1963).
288 J. C. LAUNAY and B. ROUX, / . Cryst. Growth, 58, 354 (1982).
289 H . YASUDA, ref. [2], Ch. IV-2.

533
REFERENCES

290 J. Y. TSAO and D. J. E H R L I C H , Appl. Phys. Lett., 42, 997 (1983).


291 S. YAMASAKI, K. WADA, and I. TANIGUCHI, Jpn. J. Appl. Phys., 9, 1467 (1970).
292 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chim., 25, 181 (1980).
293 B. S. MEYERSON, Appl. Phys. Lett., 48, 797 (1986).
294 K. ZANIO, L. FRAAS, and F . K R A J E N B R I N K , / . Vac. Sei. TechnoL, 15, 119 (1978).
295 L. M. FRAAS, / . Appl. Phys., 52, 6939 (1981).
296 L. M. FRAAS, P . S. McLEOD, J. A. CAPE, and L. D. PARTAIN, / . Cryst. Growth,
68, 490 (1984).
297 L. M. FRAAS, J. A. CAPE, P . S. McLEOD, and L. D. PARTAIN, / . Appl. Phys.t
57, 2302 (1985).
298 L. M. FRAAS, P . S. McLEOD, L. D. P A R T A I N and J. A. CAPE, / . Vac. Sei. Tech-
noL, B4, 22 (1986); L. M. FRAAS, P. S. McLEOD, L. D. PARTAIN, M. J. C O H E N ,
and J. A. CAPE, / . Electron. Mater., 15, 175 (1986).
299 R. KAPLAN and N. BOTTKA, Appl. Phys. Lett., 41, 972 (1982).
300 J. P . DUCHEMIN, M. BONNET, and F . KOELSCH., / . Electrochem. Soc, 125, 637 (1978).
301 M. OGIRIMA, M. SAIDA, M. SUZUKI, and M. MAKI, / . Electrochem. Soc, 124, 903
(1977).
302 R. B. H E R R I N G , Solid State TechnoL, 22 (11), 75 (1979); ref. [29], p . 126.
303 W. A. B R O W N and T. I. KAMINS, Solid State TechnoL, 22 (7), 51 (1979).
304 A. C. ADAMS and C. D. CAPIO, / . Electrochem. Soc, 126, 1042 (1979).
305 J. P . DUCHEMIN, M. BONNET, F . KOELSCH, and D. H U Y G H E , / . Electrochem.
Soc, 126, 1134 (1979).
306 J. P. DUCHEMIN, M. BONNET, and D. H U Y G H E , Rev. Techniq. Thomson CSF,
9, 685 (1977).
307 J. P . DUCHEMIN, M. BONNET, G. BEUCHET, and F . KOELSCH, ref. [75], p . 10.
308 J. HOLLEMAN and J. MIDDELHOEK, Thin Solid Films, 114, 295 (1984).
309 J. P . DUCHEMIN, / . Vac. Sei. TechnoL, 18, 753 (1981).
310 C M . McCONICA and K. KRISHNAMANI, / . Electrochem. Soc, 133, 2452 (1986).
311 D. S. WILLIAMS, E. COLEMAN, and J. M. BROWN, / . Electrochem. Soc, 133,
2637 (1986).
312 W. G. TOWN SEND and M. E. UDDIN, Solid-State Electron., 16, 39 (1973).
313 Y. SEGUI, F . C A R R E R E , and A. B U I , Thin Solid Films, 92, 303 (1982).
314 K. MATSUSHITA, Y. SUGIYAMA, S. I G A R A S H I , T. H A R U I , and Y. SHIBATA,
Jpn. J. Appl. Phys., 22, L602 (1983).
315 J. C. K N I G H T S and R. A. L U J A N , / . Appl. Phys., 49, 1291 (1978).
316 V. SMID and H . F R I T Z S C H E , Solid State Commun., 33, 735 (1980).
317. F . J. IAMPAS and R. W. G R I F F I T H , Solar Cells, 2, 385 (1980).
318 D. S. W H I T M E L L and R. WILLIAMSON, Thin Solid Films, 35, 255 (1976).
319 H. F . S T E R L I N G and R. C. G. SWANN, Solid-State Electron., 8, 653 (1965).
320 R. C. GHITTICK, / . Non-Cryst. Solids, 3, 255 (1970).
321 J. C. K N I G H T S and J. E. MAHAN, Solid Stite Commun., 21, 983 (1977).
322 H . YOSHIHARA, H . MORI, and M. K I K U C H I ; Jpn. Appl. Phys., 16, 2047 (1977).
323 S . W . I N G and W. DAVERN, / . Electrochem. Soc, 111, 120 (1964); 112, 284 (1965).
324 S. P . M U K H E R J E E and P . A. EVANS, Thin Solid Films, 14, 105 (1972).
325 E. B. P R I E S T L E Y and P . J. CALL, Thin Solid Films, 69, 39 (1980).
326 R. J. JOYCE, H . F . STERLING, and J. H . A L E X A N D E R , Thin Solid Films, 1,
481 (1967/1968).
327 A. C. ADAMS, F . B . A L E X A N D E R , C. D. CAPIO, and T. E. SMITH, / . Electrochem.
Soc, 128, 1545 (1981).

534
REFERENCES

328 M. SHILOH, B . GAYER, and F . E. BRINCKMAN, / . Electrochem. Soc, 124, 295


(1977).
329 R. C. G. SWANN, R. R. MEHTA, and T. P. CAUGE, / . Electrochem. Soc, 114, 713,
(1967).
330 M. P A R N E L L and H . F . S T E R L I N G , Elec. Comm., 43, 63 (1968); E. P. G. T. VAN
Ό Ε VEN, Solid State Technol., 24 (4), 167 (1981).
331 A. K. SINHA, H . J. L E V I N S T E I N , T. E. SMITH, G. QUINTANA, and S. E.
HASZKO, / . Electrochem. Soc, 125, 601 (1978).
332 B . MATTSON and T. THOMPSON, Solid State Technol., 22 (11), 81 (1979).
333 H . J. STEIN, V. A. W E L L S , and R. E. HAMPY, / . Electrochem. Soc, 126, 1750
(1979).
334 F . D. EGITTO, / . Electrochem. Soc, 127, 1354 (1980).
335 A. K. SINHA, Solid State Technol., 23 (4), 133 (1980).
336 W. A. P . CLAASSEN, W. H. J. N. V A L K E N B U R G , F . H . P . M. H A B R A K E N , and
Y. TAMMING, / . Electrochem. Soc, 130, 2419 (1983).
337 S. V E P R E K and J. ROOS, / . Phys. Chem. Solids, 37, 554 (1976).
338 S. K. GHANDHI, R. SIVIY, and J. M. B O R R E G O , Appl. Phys. Lett., 34, 833 (1979).
339 H . F . S T E R L I N G , J . H . A L E X A N D E R , and R. J. JOYCE, Vide, 21, 80 (1966).
340 R. G. F R I E S E R , / . Electrochem. Soc, 115, 401 (1968)^.
341 M. KUMAGAWA, H . SUNAMI, T. T E R A S A K I , and f. NISHIZAWA, Jpn. J. Appl.
Phys., 7, 1332 (1968).
342 S. J. C. I R V I N E , J. B . MULLIN, D. J. R O B B I N S , and J. L. GLASPER, /.
Electrochem. Soc, 132, 968 (1985).
343 W. E. J O H N S O N and L. A. SCHLIE, Appl. Phys. Lett., 40, 798 (1982).
344 T. INOUE, M. KONAGAI, and K. TAKAHASHI, Appl. Phys. Lett., 43, 774 (1983).
345 T. SAITOH, S. MURAMATSU, T. SHIMADA, and M. MIGITAKA, Appl. Phys. Lett.,
42, 678 (1983):
346 Y. MISHIMA, M. H I R O S E , Y. OSAKA, K. NAGAMINE, Y. ASHIDA, N. KITAGAWA,
and K. ISOGAWA, Jpn. J. Appl. Phys., 22, L46 (1983).
347 T. INOUE, T. TANAKA, M. KONAGAI, and K. TAKAHASHI, Appl. Phys. Lett.,
44, 871 (1984).
348 T. TANAKA, W. Y. KIM, M. KONAGAI, and K. TAKAHASHI, Appl. Phys. Lett.,
45, 865 (1984).
349 M. OKUYAMA, Y. TOYODA, and Y. HAMAKAWA, Jpn. J. Appl. Phys., 23, L97
(1984).
350 B . K. J A N O U S E K , R. C. CARSCALLEN, and P. A. B E R T R A N D , / . Vac. Sei.
Technol., A l , 1723 (1983).
351 M. G. COLLET, / . Electrochem. Soc, 116, 110 (1969).
352 G. H . J. v. d / B R E K E L , and P . J. S E V E R I N , / . Electrochem. Soc, 119, 372 (1972).
353 J. P E T E R S , F . GEBHARDT, and T. HALL, Solid State Technol., 23 (9), 121 (1980).
354 A. R. CALLOW AY, Solid State Technol., 25 (10), 150 (1982).
355 C. JONAH, P . CHANDRA, and R. B E R S O H N , / . Chem. Phys., 55, 1903 (1971).
356 C. R. J O N E S , F . A. H O U L E , C. A. KOVAC, and T. H . BAUM, Appl. Phys. Lett.,
46, 97 (1985).
357 P . M. G E O R G E and J. L. BEAUCHAMP, Thin Solid Films, 67, L25 (1980).
358 S. N I S H I D A , H . TASAKI, M. KONAGAI, and K. T A K A H A S H I , / . Appl. Phys.,
58, 1427 (1985).
359 A. I S H I T A N I , M. KANAMORI, and H . TSUYA, / . Appl. Phys., 57, 2956 (1985).

535
REFERENCES

360 S. N I S H I D A , T. SHIIMOTO, A. YAMADA, S. KARASAWA, M. KONAGAI, and


K. T A K A H A S H I , Appl. Phys. Lett., 49, 79 (1986).
361 Y. MISHIMA, M. H I R O S E , Y. OSAKA, and Y. ASHIDA, / . Appl. Phys., 56, 2803
(1984).
362 N . MUTSUKURA, Y. KATOH, and Y. MACHI, / . Appl. Phys., 60, 3364 (1986).
363 N. MUTSUKURA and Y. MACHI, Appl. Phys. Lett, 48, 544 (1986).
364 K. KUMATA, U. I f OH, Y. TOYOSHIMA, N. TANAKA, H . ANZAI, and A. MAT-
SUDA, Appl. Phys. Lett., 48, 1380 (1986).
365 H . T A K E I , Y. TANAKA, W. Y. KIM, M. K O N A G A I and K. TAKAHASHI, / .
Appl. Phys., 58, 3664 (1985).
366 N. PÜTZ, H . H E I N E C K E , E. V E U H O F F , G. A R E N S , M. H E Y E N , H . LÜTH, and
P . BALK, / . Cryst. Growth, 68, 194 (1984).
367 P . BALK, H . H E I N E C K E , N. PÜTZ, C. PLASS and H . LÜTH, / . Vac. Sei. TechnoL,
A4, 711 (1986).
368 Y. MISHIMA, M. H I R O S E , Y. OSAKA, and Y. ASHIDA, / . Appl. Phys., 55, 1234
(1984).
369 R. PADMANABHAN and B. J. MILLER, / . Vac. Sei. TechnoL, A4, 363 (1986); Y.
NUMASAWA, K. YAMAZAKI, and K. HAMANO, / . Electron. Mater., 15, 27 (1986).
370 S. J. C. I R V I N E , J. B. MULLIN and J. T U N N I C L I F F E , / . Cryst. Growth, 68, 188
(1984).
371 S. J . C . I R V I N E , J. GEISS, J. B . MULLIN, G. W . BLACKMORE, and O. D.
DOSSER, Mater. Lett., 3, 290 (1985); / . Vac. Sei. TechnoL, B3, 1450 (1985).
372 J. B. MULLIN and S. J. C. I R V I N E , / . Vac. Sei. TechnoL, A4, 700 (1986).
373 S. P . KAWALCZYK and D. L. MILLER, / . Appl. Phys., 58, 287 (1986).
374 H . L Y D T I N and R. W I L D E N , Solid State TechnoL, 16 (7), 12 (1973).
375 R. W. ANDREATTA, C. C. ABELE, J. F . OSMUNDEN, J. G. E D E N , D. L U B B E N ,
and J. E. G R E E N E , Appl. Phys. Lett., 40, 183 (1982).
376 C. P . C H R I S T E N S E N and K . M . LAKIN, Appl. Phys. Lett., 32, 254 (1978).
377 D. J. E H R L I C H , R. M. OSGOOD, JR., and T. F . OEVTSCU,'Appl. Phys. Lett., 39, 957
(1981).
378 D. B Ä U E R L E , P . I R S I G L E R , G. L E Y E N D E C K E R , H . NOLL, and D. W A G N E R ,
Appl. Phys. Lett., 40, 819 (1982).
379 M. M E U N I E R , T. R. GATTUSO, D. ADLER, and J. S. H A G G E R T Y , Appl. Phys.
Lett., 43, 273 (1983).
380 A. YOSHIKAWA and S. YAMAGA, Jpn. J. Appl. Phys., 23, L91 (1984).
381 M.HANABUSA, A. NAMIKI, and K. YOSHIKAWA, Appl. Phys. Lett., 35, 626 (1979).
382 M. HANABUSA, M. SUZUKI, and S. N I S H I G A K I , Appl. Phys. Lett., 38, 385 (1981),
383 J. NISHIZAWA, Y. KOKUBUN, H . SHIMAWAKI, and M. K O I K E , / . Electrochem.
Soc, 132, 1939 (1985).
384 Y. AOYAGI, S. MATSUDA, S. NAMBA, and A. DOI, Appl. Phys. Lett., 47, 95 (1985).
385 V. M. DONNELLY, M. GEVA, J. LONG, and R. F . KARLICEK, Appl. Phys. Lett.,
44, 951 (1984).
386 D. T. CHEUNG, Appl. Phys. Lett., 42, 255 (1983).
387 P. K. BOYER, G. A. ROCHE, W. H . R I T C H I E , and G. J. COLLINS, Appl. Phys.
Lett., 40, 716 (1982); P. K. BOYER, K. A. EMERY, H. ZARNANI, and G. J. COLLINS,
Appl. Phys. Lett., 45, 979 (1984).
388 R. SOLANSKI, W. H. R I T C H I E , and G. J. COLLINS, Appl. Phys., Lett., 43, 454 (1983).
389 M. MINAKATA and Y. FURUKAWA, J. Electron. Mater., 15, 159 (1986).
390 J. Y. TSAO and D. J. E H R L I C H , Appl. Phys. Lett., 45, 617 (1984).

536
REFERENCES

391 D. B. GEOHEGAN and J. G. E D E N , Appl. Phys. Lett., 45, 1146 (1984).


392 D. J. E H R L I C H , R. M. OSGOOD, JR., and T. F . DEUTSCH, Appl. Phys. Lett., 38,
946 (1981).
393 R. SOLANSKI, P. K. BOYER, and G. J. COLLINS, Appl. Phys., Lett., 41, 1048 (1982).
394 R. SOLANSKI, P . K. BOYER, J. E. MAHAN, and G. J. COLLINS, Appl. Phys.
Lett., 38, 572 (1981).
395 T. F . DEUTSCH and D. D. RATHMAN, Appl. Phys. Lett., 45, 623 (1984).
396 S . D . ALLEN and A. B. T R I N G U B O , / . Appl. Phys., 54, 1641 (1983).
397 D. J. E H R L I C H , R. M. OSGOOD, JR., and T. F . DEUTSCH, / . Electrochem. Soc,
128, 2039 (1981).
398 T. F . DEUTSCH, D. J. E H R L I C H , and R. M. OSGOOD, JR., Appl. Phys. Lett., 35,
175 (1979).
399 J. Y. TSAO, R. A. B E C K E R , D. J. E H R L I C H , and T. J. L E O N B E R G E R , Appl. Phys.
Lett., 42, 559 (1983).
400 T. F . DEUTSCH, D. J. E H R L I C H , R. M. OSGOOD, JR., and Z. L. LIAU, Appl
Phys. Lett., 36, 847, 916 (1980).
401 G. L E Y E N D E C K E R , D. B Ä U E R L E , P. GEITTNER, and H. LYDTIN, Appl. Phys.
Lett., 39, 921 (1981).
402 G. L E Y E N D E C K E R , H . NOLL, D. B Ä U E R L E , P . G E I T T N E R , and H . L Y D T I N ,
/ . Electrochem Soc, 130, 157 (1983).
403 G. A. W E S T , A. GUPTA, and K. W. BEESON, Appl Phys. Lett., 47, 476 (1985).
404 G. A. W E S T , K. W. B E E S O N , and A. GUPTA, / . Vac. Sei. TechnoL, A3, 2278 (1985).
405 K. SUZUKI, D. LUBBEN, and J. E. G R E E N E , / . Appl. Phys., 58,979 (1985).
406 T. MOTOOKA and J. E. G R E E N E , / . Appl. Phys. 59, 2015 (1986).
407 D. B I N N I E , J. I. B. WILSON, M. J. COLLES, and J. L. W E S T , / . Appl Phys.
58, 4446 (1985).
408 R. B I L E N C H I , I. GIANINONI, and M. MUSCI, J. Appl. Phys., 53, 6479 (1982).
409 R. B I L E N C H I and M. MUSCI, ref. [30], p. 275.
410 M. HANABUSA, S. MORIYAMA, and H . K I K U C H I , Thin Solid Films, 107, 227 (1983).
411 I. GIANINONI and M. MUSCI, / . Non-Cryst. Solids, 77/78, 743 (1986).
412 R. B I L E N C H I , I. GIANINONI, M. MUSCI, R. M U R R I , and S. TACCHETTI, Appl
Phys. Lett., 47, 279 (1985).
413 A. E. DELAHOY, / . Non-Cryst. Solids. 77/78, 833 (1985).
414 H . ZARNANI, N. D E M I R Y O N T and G. J. COLLINS, / . Appl. Phys., 60, 2523 (1986).
415 H . M. BRANZ, S. FAN, J. H . F L I N T , B. T. F I S K E , D. ADLER, and J. S. HAG-
GERTY, Appl. Phys. Lett., 48, 171 (1986).
416 F . SHAAPUR and S. D. ALLEN, / . A pi Phys., 60, 470 (1986).
417 W. R O T H , H . SCHUMACHER, and H . B E N E K I N G , Electron. Lett., 19, 142 (1983).
418 Y. AOYAGI, S. MATSUDA, S. NAMBA, and A. DOI, / . Appl. Phys., 57, 95 (1985).
419 Y. AOYAGI, M. KANAZAWA, A. DOI, S. I W A I , and S. NAMBA, J. Appl Phys.t
60, 3131 (1986).
420 S. M. BEDAIR, J. K. W H I S N A N T , N. H. KARAM, M. A. TISCHLER, and T. KAT-
SUYAMA, Appl. Phys. Lett., 48, 172 (1986).
421 N. H . KARAM, N. A. EL-MASRY, and S. M. B E D A I R , Appl Phys. Lett., 49, 880
(1986).
422 V. M. DONNELLY, D. B R A S E N , A. APPELBAUM, and M. GEVA, / . Vac. Set.
TechnoL, A4, 716 (1986).
423 R. SOLANSKI and G. J. CLINES, Appl Phys. Lett., 42, 662 (1983).

537
REFERENCES

424 B. J. M O R R I S , Appl. Phys. Lett., 48, 867 (1986).


425 P. K. BOYER, W. H. R I T C H I E , and G. J. COLLINS, / . Electrochem. Soc, 129, 2155
(1982).
426 N. DEMIRYONT, L. R. THOMPSON, and G. J. COLLINS, / . Appl. Phys., 59, 3235
(1986).
427 Y. H I R O T A and O. MIKAMI, Electron. Lett., 2 1 , 77 (1985).
428 J. Y. TSAO and D. J. E H R L I C H , Appl. Phys. Lett., 43, 774 (1983).
429 G. S. H I G A S H I and Z. J. R O T H B E R G , / . Vac. Sei. Technol., B3, 1460 (1985).
430 G. S. H I G A S H I and C. G. FLEMING, Appl. Phys. Lett., 48, 1051 (1986).
431 T. MOTOOKA, S. GORBATKIN, D. LUBBEN, and J. E. G R E E N E , / . Appl. Phys.,
58, 4397 (1985).
432 T. H . BAUM, E. E. MARINERO, and C. R. J O N E S , Appl. Phys. Lett., 49, 1213
(1986).
433 T. H . BAUM and C. R. JONES, Appl. Phys. Lett., 47, 538 (1985); J. Vac. Sei. Technol.,
B4, 1187 (1986).
434 Y. RYTZ-FROIDEVAUX, R. P. SALATHE, H. H. G I L G E N , and H . P . W E B E R ,
Appl. Phys., A27, 133 (1982).
435 J. Y. TSAO, D. J. E H R L I C H , D. J. SILVERSMITH, and R. W. MOUNTAIN, IEEE
Electron. Dev. Lett., 3, 164 (1982).
436 R. M. OSGOOD, JR., and D. J. E H R L I C H , Opt. Lett., 7, 385 (1982).
437 T. H. WOOD, J. C. W H I T E , and B. A. THACKER, Appl. Phys. Lett., 42, 408
(1983).
438 T. M. MAYER, G. J. FISANICK, and T. S. E I C H E L B E R G E R , IV, / . Appl. Phys.,
53, 8462 (1982).
439 C. ARNONE, M. ROTHSCHILD, J. G. BLACK, and D. J. E H R L I C H , Appl. Phys.
Lett., 48, 1018 (1986).
440 D. K. F L Y N N , J. I. S T E I N F E L D , and D. S. S E T H I , / . Appl. Phys., 59, 3914 (1986)
441 R. B. JACKMAN, J. S. FOORD, A. E. ADAMS, and M. L. LLOYD, / . Appl. Phys.,
59, 2031 (1986).
442 J. R. CREIGHTON, / . Appl. Phys., 59, 410 (1986).
443 S . D . ALLEN, / . Appl. Phys., 52, 6501 (1981).
444 W. K R Ä U T E R , D. BÄUERLE, and F . F I M B E R G E R , Appl. Phys., A31, 13 (1983).
445 T. R. J E R V I S , / . Appl. Phys., 58, 1400 (1985).
446 Y. PAULEAU, Thin Solid Films, 122, 243 (1984).
447 Y. S. LIU, C . P. YAKYMYSHYN, H . R. P H I L I P P , H . S. COLE, and L. M. L E -
VINSON, / . Vac. Sei. Technol., B3, 1441 (1985).
448 R. F . KARLICEK, V. M. DONNELLY, and G. J. COLLINS, / . Appl. Phys., 53,
1084 (1982).
449 K. KITAHAMA, K. HIRATA, H . NAKAMATSU, S. KAWAI, N. F U J I M O R I , T.
IMAI, H. YOSHINO, and A. DOI, Appl. Phys. Lett., 49, 634 (1986).
450 H . YOKOYAMA, S. K I S H I D A , and K. W A S H I O , Appl. Phys. Lett., 44, 755(1984).
451 A. TÄTE, K. J I N G U J I , T. YAMADA, and N. TAKATO, / . Appl. Phys., 59, 932
(1986).
452 A . C . LOON AM, ref. [11] Ch. 2, p. 25.
453 C. B E R N A R D , ref. [30], p . 3.
454 O. K U B A S C H E W S K I , E. L. EVANS, and C. B . ALCOCK, "Metallurgical Thermo-
chemistry", Pergamon Press, Oxford, 1967.
455 T. B. R E E D , "Free Energy of Formation of Binary Compounds'*, MIT Press, Cambridge,
MA, 1971.

538
REFERENCES

456 D. R. STULL and H . P R O P H E T , eds., "JANAF Tables of Thermochemical Data",


NBS, Washington, DC, 1971.
457 L. B A R I N and O. KNACKE, "Thermochemical Properties of Inorganic Substances",
Springer, Berlin, 1973.
458 L. B \ R I N , O. KNACKE, and O. K U B A S C H E W S K I , "Thermochemical Properties of
Inorganic Substances, Supplement", Springer, Bsrlin, 1977.
459 D. R. STULL and G. C. S I N K E , "Thermodynamic Properties of the Elements", American
Chemical Society, Washington, DC, 1956.
460 S. R. B R I N K L E Y , JR., / . Chem. Phys., 14, 563 (1946); 15, 107 (1947).
461 W. B. W H I T E , W. M. JOHNSON, and G. B. DANTZIG, / . Chem. Phys., 28, 751,
(1958).
462 G. E R I K S S O N , Ada Chem. Scand., 25, 2651 (1971).
463 G. E R I K S S O N and E. ROSEN, Chemica Scripta, 4, 193 (1973).
464 G. E R I K S S O N , Chemica Scripta, 8, 100 (1975).
465 C. B E R N A R D , Y. D E N I E L , A. JACQUOT, P . VAY, and M. DUCARROIR, / . Less-
Common Metals, 40, 165, (1975).
466 M. DUCARROIR, M. JAYMES, C. B E R N A R D , and Y. D E N I E L , / . Less-Common
Metals, 40, 173 (1975).
467 K. E. SPEAR, ref. 29, p . 1.
468 R. F . LEVER, IBM J. Res. Dev. 8, 460 (1964).
469 W. STEINMAIER, Philips Res. Repts., 18, 75 (1963).
470 T. O. SEDGWICK, / . Electrochem. Soc, 111, 1381 (1964).
471 T. ARIZUMI, T. NISHINAGA, M. KASUGA, and H . OGAWA, Jpn. J. Appl. Phys.,
8, 32 (1969).
472 I. AMRON, / . Electrochem. Soc, 118, 1026 (1971).
473 V. S. BAN and S. L. G I L B E R T , / . Electrochem. Soc, 122, 1382 (1975).
474 V. S. BAN, / . Electrochem. Soc, 122, 1389 (1975).
475 P. RAI-CHOUDHURY, / . Cryst. Growth, 11, 113 (1971).
476 C. W. T U and K. A. J O N E S , / . Electrochem. Soc, 131, 1383 (1984).
477 T. Y. WU, J. Cryst. Growth, 23, 348 (1974).
478 T. Y. WU, / . Electrochem. Soc, 121, 1357 (1974); 122, 778 (1975).
479 T. MANABE, T. G E J Y O , H . S E K I , and H . EGUCHI, ref. [25], p . 25.
480 H . S E K I and S. MINAGAWA, Jpn. J. Appl. Phys., 11, 850 (1972).
481 S. MINAGAWA, H . S E K I , and H . EGUCHI, Jpn. J. Appl. Phys., 11, 855 (1972).
482 K. KAJIYAMA, / . Electrochm. Soc, 123, 423 (1976).
483 H. NAGAI, / . Electrochem. Soc, 126, 1400 (1979).
484 K. KAJIYAMA, Jpn. J. Appl. Phys., 14, 1057, (1975).
485 H . NAGAI , / . Cryst. Growth, 48, 359 (1980).
486 M. YOSHIDA and H . WATANABE, / . Electrochem. Soc, 132, 1733 (1985).
487 T. ARIZUMI, T. NISHINAGA, and H . OGAWA, Jpn. J. Appl. Phys., 7, 1021 (1968).
488 C. E. MOROSANU and E. SEGAL, Thin Solid Films, 88, 339 (1982).
489 C. PAVELESCU, C. COBIANU, and E. SEGAL, Thin Solid Films, 140,261 (1986).
490 L. P . H U N T and E. SIRTL, / . Electrochem. Soc, 119, 1741 (1972).
491 L. P . H U N T and E. SIRTL, / . Electrochem. Soc, 120, 906 (1973).
492 E. SIRTL, L. P . H U N T , and D. H . SAWYER, / . Electrochem. Soc, 121, 919 (1974).
493 C. S. H E R R I C K and R. A. SANCHEZ-MARTINEZ, / . Electrochem. Soc, 131, 455
(1984).
494 K. D. ALLEN and H . H . SAWIN, / . Electrochem. Soc, 133, 421 (1986).

539
REFERENCES

495 D. W. W O O D R U F F and R. A. SANCHEZ-MARTINEZ, / . Electrochem. Soc, 132,


706 (1985).
496 J. L. G E N T N E R , C. B E R N A R D , and R. CADORET, / . Cryst. Growth, 56, 332 (1982).
497 Y. PAULEAU, A. BOUTEVILLE, J. J. H A N T Z P E R G U E , J. C. REMY, and A·
CACHARD, / . Electrochem. Soc, 127, 1532 (1980).
498 K. E. S P E A R and M. S. WANG, Solid State Technol., 23 (7), 63 (1980).
499 R. COLMET, R. NASLAIN, P . HAGENMULLER, and C. B E R N A R D , / . Electro-
chem. Soc, 129, 1367 (1982).
500 G. N. ADVANI, A. G. JORDAN, C. H . P . L U P I S , and R. L. LONGINI, Thin Solid
Films, 62, 361 (1979). ·
501 T. M. BESMANN and K. E. SPEAR, / . Electrochem. Soc, 124, 786 (1977).
502 E. RANDICH and T. M. GERLACH, Thin Solid Films, 75, 271 (1981).
503 L. VANDENBULCKE, / . Electrochem. Soc, 128, 1584 (1981).
504 M. DUCARROIR and C. B E R N A R D , ref. [27], p . 72.
505 M. DUCARROIR and C. B E R N A R D , / . Electrochem. Soc, 123, 136 (1976).
506 F . T E Y S S A N D I E R , M. DUCARROIR, and C. B E R N A R D , ref. [29], p . 398.
507 M. DUCARROIR, P . SALLES, and C. B E R N A R D , / . Electrochem. Soc, 132, 704
(1985).
508 R. MADAR, F . W E I S S , R. F R U C H A R T , and C. B E R N A R D , / . Cryst. Growth, 45,
37 (1978).
509 D. W. SHAW in "Crystal Growth, Theory & Techniques" (C. H . L. Goodman, ed.),
Vol. 1, p . 1, Plenum Press, New York (1974).
510 J . B . T H E E T E N , L. HOLLAN, and R. CADORET, in "Current Topics in Materials
Science" (E. Kaldis and H. J. Scheel, eds.), Vol. 2, p . 195, North-Holland, Amsterdam,
1976.
511 D. W. SHAW, ref. [43], p . 207.
512 M. L. H1TCHMAN, Prog. Crystal Growth Charact., 4, 249 (1981).
513 M. L. HITCHMAN and B. J. CURTIS, Prog. Crystal Growth Charact., 4, 283 (1981).
514 M. L. HITCHMAN and B. J. CURTIS, / . Cryst. Growth, 60, 43 (1982).
515 M. E. J O N E S and D. W. SHAW in "Treatise on Solid State Chemistry" (N. B. Hannay,
ed.), Vol. 5, p . 283, Plenum Press, New York, 1975.
516 J. P . DUCHEM1N, Rev. Techniq. Thomson CSF, 9, 33 (1977).
517 R. R. MONCHAMP, W. J. McALEER, and P. I. POLLAK, / . Electrochem. Soc, 111,
880 (1964).
518 D . W . SHAW, / . Electrochem. Soc, 117, 683 (1970).
519 D. J. DUMIN, Rev. Sei. Instrum., 38, 1107 (1967).
520 J. F . R O B E R T S , G. A. CLARK, and A. C. DUMBRI, Rev. Sei. Instrum., 41, 247
(1970).
521 T. I. K A M I N S and C. J. D E L L O C A , / . Electrochem. Soc, 119, 112 (1972).
522 K. SUGAWARA, T. YOSHIMI, H . OKUYAMA, and T. SHIRAZU, / . Electrochem. Soc,
121, 1233 (1974).
523 K. SUGAWARA, T. YOSHIMI, H . OKUYAMA, and Y. HOMMA, / . Electrochem. Soc,
121, 1235 (1974).
524 K. SUGAWARA, Y. NAKAZAWA, and T. YOSHIMI, / . Electrochem. Soc, 123, 586,
(1976).
525 K. SUGAWARA, T. YOSHIMI, Y. NAKAZAWA and K. ITOH, / . Electrochem. Soc,
123, 759 (1976).
526 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chim., 23, 515 (1978); C. E. MORO-
SANU, Rom. P a t s . 70,016 and 70,017 (1978).

540
REFERENCES

527 K. STRATER, RCA Review, 30, 618 (1968).


528 M. SHIBATA and K. SUGAWARA, / . Electrochem. Soc, 122, 155 (1975).
529 M. SHIBATA, T. YOSHIMI, and K. SUGAWARA, / . Electrochem. Soc, 122, 157
(1975).
530 J. NISHIZAWA and H . N I H I R A , / . Cryst. Growth , 45, 82 (1978).
531 J. NISHIZAWA and M. SAITO, / . Cryst. Growth, 52, 213 (1981).
532 M. R. L E Y S and H . V E E N V L I E T , / . Cryst. Growth, 55, 145 (1981).
533 J. NISHIZAWA and T. K U R A B A Y A S H I , / . Electrochem. Soc, 130, 413 (1983).
534 J. H A I G H and S. O ' B R I E N , / . Cryst. Growth, 67, 75 (1984); 68, 550 (1984).
535 R. F . KARLICEK, B. HAMMARLUND, and J. GINOCCHIO, / . Appl. Phys., 60,
794 (1986).
536 R. NUTTALL, / . Electrochem. Soc, 113, 1293 (1966).
537 F . C. E V E R S T E I J N , Philips Res. Repts., 26,134 (1971).
538 G. COCHET, H . MELLOTTEE, and R. DELBOURGO, / . Electrochem. Soc, 125,
487 (1978).
539 T. SUZUKI, Y. INOUE, M. URA, T. OGAWA and Y. SUGITA, / . Cryst. Growth,
45, 108 (1978).
540 M. SUZUKI and M. SATO, / . Electrochem Soc, 132, 1684 (1985).
541 V. S. BAN, / . Electrochem. Soc, 118, 1473 (1971).
542 V. S. BAN, / . Electrochem. Soc, 119, 761 (1972).
543 V. S. BAN and M. E T T E N B E R G , / . Phys. Chem. Solids, 34, 1119 (1973); V. S. BAN,
H . F . G O S S E N B E R G E R , and J. J. T I E T J E N , / . Appl. Phys., 43, 2471 (1972).
544 V. S. BAN, / . Cryst. Growth, 17, 19 (1972).
545 V. S. BAN and M. E T T E N B E R G , ref. [26], p . 31.
546 V. S. BAN and E. A. D. W H I T E , / . Cryst. Growth, 33, 365 (1976).
547 V. S. BAN and S. L. G I L B E R T , / . Cryst. Growth, 3 1 , 284 (1975).
548 V. S. BAN, ref. [28], p . 66.
549 S-S. LIN, J. Electrochem. Soc, 122, 1405 (1975); 123, 512 (1976); 124, 1945 (1977);
125, 1877 (1978).
550 T. YOSHIMI, H. SAKAI, and K. SUGAWARA, / . Electrochem. Soc, 123, 1410 (1976).
551 T. AOYAMA, Y. INOUE, and T. SUZUKI, / · Electrochem. Soc, 120, 202 (1983).
552 M. YOSHIDA, H . WATANABE, and F . U E S U G I , / . Electrochem. Soc, 132, 677
(1985).
553 T. O. SEDGWICK, J. E. SMITH, JR., R. GHEZ, and M. E. COWHER, / . Cryst.
Growth, 31, 264 (1975).
554 J. E. SMITH and T. O. SEDGWICK, Thin Solid Films, 40, 1 (1977).
555 T. O. SEDGWICK and J. E. SMITH, JR., / . Electrochem. Soc, 123, 254 (1976).
556 J. BOUIX, M. P. B 2 R T H E T , M. B D U B E H I R \ , J. DAZORD, and H . VINCENT,
/ . Electrochem. Soc, 129, 2338 (1982).
557 P. H O and W. G. B R E I L A N D , Appl. Phys. Lett., 43, 125 (1984); 44, 51 (1984).
558 W. G. B R E I L A N D , M. E. COLTRIN, and P . HO, / . Appl. Phys., 59, 3267 (1986).
559 W. G. B R E I L A N D , P . HO, and M. E. COLTRIN, / . Appl. Phys., 60, 1505 (1986).
560 A. SHINTANI, K. SUDA, M. SUZUKI, M. MAKI, and T. TAKAMI, / . Electrochem.
Soc, 124, 1771 (1977).
561 K. J. SLADEK, / . Electrochem. Soc, 118, 654 (1971).
562 J. BLOEM, / . Cryst. Growth, 18, 70 (1973).
563 T. U. M. S. MURTHY, N. MIYAMOTO, M. SHIMBO, and J. NISHIZAWA, / . Cryst.
Growth, 33, 1 (1976).
564 C. S. H E R R I C K and D. W. W O O D R U F F , / . Electrochem. Soc, 131, 2417 (1974)..

541
REFERENCES

565 M. L. HITCHMAN, / . Cryst. Growth, 48, 394 (1980).


566 M. L. HAMMOND, Solid State TechnoL, 22 (12), 61 (1979).
567 G. W A H L , Thin Solid Films, 40, 13 (1977).
568 S. BERKMAN, V. S. BAN, and N. GOLDSMITH, ref. [44], Ch. 7, p . 264.
569 E. G. BYLANDER, / . Electrochem. Soc, 109, 1171 (1962).
570 W. H . S H E P H E R D , / . Electrochem. Soc, 112, 988 (1965).
571 S. E. BRADSHAW, Int. J. Electronics, 21, 205 (1966); 23, 381 (1967).
572 P . C. R U N D L E , Int. J. Electronics, 24, 405 (1968).
573 R. W. A N D R E W S , D. M. R Y N N E , and E. G. W R I G H T , Solid State TechnoL, 12,
(10), 61 (1969).
574 J. BLOEM, / . Electrochem. Soc, 117, 1397 (1970).
575 F . C. E V E R S T E Y N , P. J. W. SEVERIN, C. H. J. v. d. B R E K E L , and H. L. P E E K ,
/ . Electrochem. Soc, 117, 925 (1970).
576 F . C. E V E R S T E Y N and H . L. P E E K , Philips Res. Repts., 25, 472 (1970).
577 K. SUGAWARA, / . Electrochem. Soc, 119, 1749 (1972).
578 E. F U J I I , H . NAKAMURA, K. HARUNA, and Y. KOGA, / . Electrochem. Soc, 119,
1106 (1972).
579 C . W . MANKE and L. F . DONAGHEY, / . Electrochem. Soc, 124, 56 (1977).
580 R. POLLARD and J. NEWMAN, / . Electrochem. Soc, 127, 744 (1980).
581 L. J. M. BOLLEN, C. H. J. v. d. B R E K E L , and H . K. K U I K E N , / . Cryst. Growth.
51, 581 (1981).
582 R. W. ATHERTON, Semicond. Int., 4 (11), 171 (1981).
583 J. JUZA and J. CERMAK, / . Electrochem. Soc, 129, 1267 (1982).
584 M.-K. L E E , C.-Y. LU, and C. S H I H , / . Electrochem- Soc, 130, 2249 (1983).
585 J. BLOEM, Y. S. OEI, H . H . C. De MOOR, J. H . L. H A N S S E N , and L. J. GILING,
/ . Cryst. Growth, 65, 399 (1983).
586 M. E. COLTRIN, R. J. K E E , and J. A. MILLER, / . Electrochem. Soc, 131, 425,
1206 (1984).
587 H. R E B E N N E and R. POLLARD, / . Electrochem. Soc, 132, 1932 (1985).
588 C. HOUTMAN, D. B. GRAVES, and K. F . J E N S E N , / . Electrochem. Soc, 133, 961
(1986).
589 C. E. MOROSANU, / . Electrochem. Soc, 128, 367C (1981).
590 E. CREMER, Adv. Catal, 7, 75 (1955).
591 W. J. KROLL, JR., R. L. T I T U S , and J. B. W A G N E R , JR., / . Electrochem. Soc,
122, 573 (1975).
592 S. H O R I U C H I , Solid-State Electron, 18, 1111 (1975).
593 K. V. RAVI and C. J. VARKER, / . Electrochem. Soc, 119, 236C (1972).
594 W. A. BRYANT, Thin Solid Films, 60, 19 (1979).
595 J . Y. W. SETO, J. Electrochem. Soc, 122, 701 (1975).
596 J. BLOEM, ref. [57], p. 201.
597 J. BLOEM and W. A. P. CLAASSEN, / . Cryst. Growth, 49, 435 (1980).
598 W . A. P. CLAASSEN and J. BLOEM, / . Cryst. Growth, 52, 807 (1980).
599 W. A. P. CLAASSEN and J. BLOEM, / . Cryst. Growth, 5 1 , 443 (1981).
600 R. R E I F and M. VANZI, / . Electrochem. Soc, 128, 2187 (1981).
601 R. R E I F , / . Electrochem. Soc, 129, 1122 (1982).
602 J. KOREC and J. BORKOWICZ, Electron. TechnoL, 10, 3 (1977).
603 J. KOREC, Electron. TechnoL, 11, 33 (1978).
604 J. KOREC, / . Cryst. Growth 45, 82 (1978); 46, 655 (1979); 49, 547 (1980).
605 J. KOREC and M. H E Y E N , / . Cryst. Growth, 60, 286, 297 (1982).

542
REFERENCES

606 J. KOREC, J. Cryst. Growth, 61, 32 (1982).


607 H . J U R G E N S E N , J. KOREC, M. H E Y E N , and P. BALK, / . Cryst. Growth, 66,
73 (1984).
608 J. C. H O N G and H . H. L E E , / . Eledrochem. Soc, 132, 427 (1985).
609 C. E. MOROSANU and E. SEGAL, Thin Solid Films, 9 1 , 251 (1982).
610 C. E. MOROSANU, D. IOSIF, and E. SEGAL, Thin Solid Films, 92, 333 (1982).
611 C. E. MOROSANU, D. I O S I F , and E. SEGAL, / . Cryst. Growth, 61, 102 (1983).
612 R. F . C. F A R R O W and J. D. F I L B Y , / . Eledrochem. Soc, 118, 149 (1971).
613 L. H . H A L L and K. M. KOLIWAD, / . Eledrochem. Soc, 120, 1440 (1973).
614 F . C. E V E R S T E Y N and B. H . P U T , / . Eledrochem. Soc, 120, 106 (1973).
615 R. F . C. FARROW, / . Eledrochem. Soc, 121, 899 (1974).
616 Y. YASUDA and T. MORIYA, ref. [56], p. 271.
617 Y. YASUDA, K. H I R A B A Y A S H I , and T. MORIYA, / . Jap. Soc. Appl. Phys., 43,
400 (1974).
618 C. A. CHANG, / . Eledrochem. Soc, 123, 1245 (1976).
619 P. J. DUCHEMIN, M. BONNET, and G. BEUCHET, / . Vac Sei. Technol., 16, 1126
(1979).
620 R. R E I F , T. I. KAMINS, and K. SARASWAT, / . Eledrochem. Soc, 125, i860 (1978),
126, 644, 653 (1979).
621 R. R E I F and R. W. DUTTON, / . Eledrochem. Soc, 128, 909 (1981).
622 R. TAKAHASHI, Y. KOGA, and K. SUGAWARA, / . Eledrochem. Soc, 119, 1406
(1972).
623 V. S. BAN, / . Japan. Assoc. Crystal Growth, 5, 119 (1978); / . Eledrochem. Soc, 125,
317 (1978).
624 C. H. J. v. d. B R E K E L , Philips Res. Repts., 32, 118 (1977).
625 C. H. J. v. d. B R E K E L and J. BLOEM, Philips Res. Repts, 32, 134 (1977).
626 C. H . J. v. d. B R E K E L and A. K. JANSEN, / . Cryst. Growth, 43, 364 (1978).
627 A. K. J A N S E N and C. H . J. v. d. B R E K E L , / . Cryst. Growth, 43, 371 (1978).
628 C. H. J. v. d. B R E K E L and A. K. JANSEN, / . Cryst. Growth, 43, 488 (1978).
629 L. J. GILING, / . Eledrochem. Soc, 129, 634 (1982).
630 M. L. H1TCHMAN, J. KANE, and A. E. W I D M E R , Thin Solid Films, 59, 231 (1979).
631 H . H U P P E R T Z and W. E. ENGL, IEEE Trans. Electron. Dev., 26, 658 (1979).
632 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chim., 25, 315 (1980).
633 F . H O T T I E R and R. CADORET, / . Cryst. Growth, 52, 199 (1981).
634 K. F . J E N S E N and D. B. GRAVES, / . Eledrochem. Soc, 130, 1950 (1983).
635 J. KOREC, D. GRUDMANN, and M. H E Y E N , / . Eledrochem. Soc, 131, 1433 (1984).
636 K. F . R O E N I G K and K. F . J E N S E N , / . Eledrochem. Soc, 132, 448 (1985).
637 R. C. ROSSI, Solid State Technol., 27 (11), 227 (1984).
638 A. E. T. K U I P E R , C. J. H. VAN D E N B R E K E L , J. D E GROOT, and G. W . VELT-
KAMP, / . Eledrochem. Soc, 129, 2288 (1982).
639 R. BAR-GADDA, / . Eledrochem. Soc, 133, 2123 (1986).
640 S. MIDDLEMAN and A. YECKEL, / . Eledrochem. Soc, 133, 1951 (1986).
641 G. NOLET, / . Eledrochem. Soc, 122, 1030 (1975).
642 G. T U R B A N and Y. C A T H E R I N E , Thin Solid Films, 4 8 , 57 (1978).
643 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chim., 24, 105 (1979); 24, 1423 (1979);
25, 181 (1980); Mater. Chem., 7, 79 (1982).
644 J. H A I G H , / . Vac. Sei. Technol., B 3 , 1456 (1985).
645 S. D. ALLEN, R. Y. JAN, S. M. MAZUK, and S. D. VERNON, / . Appl. Phys., 58,
327 (1985).

543
REFERENCES

646 D. J. G I L L E S P I E , ref. [1032], p . 102.


647 W . R. RUNYAN, ref. [801], Ch. 6.
648 P . J. ZANZUCCHI, ref. [44], p . 183.
649 W. A. P L I S K I N and S. J. Zanin, ref. [1], Ch. 11.
650 P . F . K A N E and G. B. L A R R A B E E "Characterization of Semiconductor Materials",
McGraw-Hill, New York, 1970.
651 D. A. B I D D L E , Microelectron. Manuf. Testing, 8 (4), 17 (1985); D. L. F L O W E R S and
H . G. H U G H E S , Semicond. Int., 4 (1), 79 (1981).
652 C. C. ALLEN and E. G. BYLANDER, ref. [49], p . 113.
653 J . R . LIGENZA, / . Electrochem. Soc, 109, 73 (1962).
654 R. E. REASON, in "Modern Workshop Technology", P a r t 2, p p . 1—51, MacMillan,
London, 1970.
655 Technical Bulletin — " The Talystep and the Talysurf — Thin Film and Surface Measuring
Instruments", Rank Taylor Hobson, Leicester, England.
656 Technical Bulletin — "The Dektak— Surface Profile Measuring System", Sloan Techno-
logy Corp., Santa Barbara, CA.
657 Technical Bulletin — "The Alpha-Step — Thin Film and Surface Profile Measurement",
Tencor Instruments, Mountain View, CA.
658 F . C. E V E R S T E Y N and G. J. P . M. VAN D E R H E U V E L , / . Electrochem. Soc, 120,
699 (1973).
659 R. H . D U D L E Y and T. H . B R I G G S , Rev. Sei. Instr., 37, 1041 (1966).
660 W. L. BOND and F . M. SMITS, Bell System Tech. J., 35, 1209 (1956).
661 C. S. F U L L E R and J. A. D I T Z E N B E R G E R , / . Appl. Phys., 27, 544 (1956).
662 D. R. T U R N E R , / . Electrochem. Soc, 106, 701 (1959).
663 P . J. SEVERIN, H . BULLE, G. POODT, and J. D. WASSCHER, / . Electrochem.
Soc, 122, 440 (1975).
664 W . W . H A P P and W. SHOCKLEY, Bull. Am. Phys. Soc, Ser. I I , 1, 382 (1956).
665 B. McDONALD and A. GOETZBERGER, / . Electrochem. Soc, 109, 141 (1962).
666 "The Gaugematic Sectioning System", Philtec Instrument "Co., Philadelphia, PA.
667 I. LAGNADO and S. M. POLCARI, Solid-State Electron., 10, 1219 (1967).
668 S . D . ROSENBAUM, Solid-State Electron., 11, 711 (1968).
669 W. C. DASH, / . Appl. Phys., 33, 2395 (1962).
670 S. MENDELSON, / . Appl. Phys., 35, 1570 (1964).
671 S. TOLANSKY, "Multiple Beam Interferometry of Surfaces and Films", Oxford Univer-
sity Press, London, 1948.
672 S. TOLANSKY, "Interferometry", Wiley, New York, 1954.
673 G. R. BOOKER and C. E. B E N J A M I N , / . Electrochem. Soc, 109, 1206 (1962).
674 M. BLOOM, Semicond. Prod., 6, 26 (1963).
675 J . A . KURTZ, Solid State Technol., 13 (12), 64 (1969).
676 E. PASSAGLIA, R. R. STROMBERG, and J. KRUGER (eds.), "Ellipsometry in the
Measurement of Surfaces and Thin Films", National Bureau of Standards, Misc. P u b . ,
256, 1964.
677 R. J. ARCHER, / . Opt. Soc Amer., 52, 970 (1962).
678 F . L. McCRACKIN, E. PASSAGLIA^ R. R. STROMBERG, and H . L. S T E I N B E R G ,
/ . Res. Natl. Bur. Std., 67A, 363 (1963).
679 A . N . SAXENA, / . Opt. Soc. Amer., 55, 1061 (1965).
680 A . N . SAXENA and O. TKAL, / . Electrochem. Soc, 115, 227 (1968).
681 H . YOKOTA, M.NISHIBORI, and K. KINOSITA, Surface Sei., 16, 275 (1969).
682 L. LUNDKVIST, / . Electrochem. Soc, 120, 1140 (1973).

544
REFERENCES

683 K. H. Z A I N I N G E R and A. G. REVESZ, RCA Rev., 25, 85 (1964).


684 A. R. H I L T O N and C. E. J O N E S , / . Electvochern. Soc, 113, 472 (1966).
685 F . REIZMAN and W. VAN GELDER, Solid-State Electron., 10, 625 (1967).
686 E. A. CORL and H. W I M P F H E I M E R , Solid-State Electron., 7, 755 (1964).
687 F . REIZMAN, / . Appl. Phys., 36, 3804 (1965).
688 L. POPOVA and B. JORDANOV, Solid-State Electron., 13, 957 (1970).
689 B. JORDANOV and L. POPOVA, Solid-State Electron., 14, 753 (1971).
690 R. A. WESSON, R.P. P H I L I L P S , and W. A. P L I S K I N , / . Appl. Phys., 38, 2455
(1967).
691 W. A. P L I S K I N and E, E. CONRAD, / . Phys. (Paris), 25, 17 (964).
692 W. A. P L I S K I N and .E. E. CONRAD, IBM.J. Res. Dev., 8, 43 (1964)
693 W. A. P L I S K I N and R. P. ESCH, Appl. Phys. Lett., 11, 257 (1967).
694 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chim., 25, 47 (1980).
695 J- E. DIAL, R. E. GONG, and J. M. FORDEMWALT, / . Electrochem. Soc, 115,
326 (1968).
696 T. NAKAYAMA and F . C. COLLINS, / . Electrochem. Soc, 113, 706 (1966).
697 C. J. MOGAB / . Electrochem. Soc, 120, 932 (1973).
698 A. S. T E N N E Y and M. GHEZZO, / . Electrochem. Soc, 120, 1276 (1973),
699 L. A. M U R R A Y and N. GOLDSMITH, / . Electrochem. Soc, 113, 1297 (1966).
700 N. GOLDSMITH and L. A. MURRAY, Solid-State Electron., 9, 331 (1966).
701 I. F R A N Z and W. L A N G H E I N R I C H , Solid-State Electron., 10, 625 (1967); 11, 59 (1968) ;
13, 807 (1970).
702 E. A. CORL and K. KOSANKE, Solid-State Electron., 9, 943 (1966).
703 M. J. RAND, / . Appl Phys., 4 1 , 7871 (1970).
704 F . S C H W I D E F S K Y and F . HOLTZ, Thin Solid Films, 17, 93 (1973).
705 M. SANDER A, Tesla Electron., 5, 85 (1972).
706 W. G. S P I T Z E R and H . TANNENBAUM, / . Appl. Phys., 32, 744 (1961).
707 M. P . A L B E R T and J. F . COMBS, / . Electrochem. Soc, 109, 709 (1962).
708 R. J. WALSH, SCP and Solid State Tech., 7 (8), 23 (1964).
709 W . GROVES, Semicond. Prod., 5, 25 (1962).
710 P. J. S E V E R I N , Appl. Opt., 9, 2381 (1970); 11, 691 (1972).
711 P . A . SCHUMANN, JR., / . Electrochem. Soc, 116, 409 (1966).
712 P . A . SCHUMANN, J R . R. P. P H I L L I P S , and P. J. O L S H E F S K I , / . Electrochem.
Soc, 113, 368 (1966).
713 P . A. SCHUMANN, JR., and R. P. P H I L L I P S , IBM Tech. Rept., 22, 182 (1965).
714 T. ABE and T. KATO, Jpn. J. Appl. Phys., 4, 742 (1965).
715 K. SATO, Y. I S H I K A W A , and K. SUGAWARA, Solid-State Electron., % 771 (1966).
716 ASTM, "1973 Annual Book of ASTM Standards", P a r t 8, F95-73, A STM, Philadelphia,
PA, 1973; ASTM, "1969 Annual Book of ASTM Standards", P a r t . 30, F95-68T, ASTM,
Philadelphia, PA, 1969.
717 C. J. D E L L O C A , / . Electrochem. Soc, 119, 108 (1972).
718 P . S . B U R G G R A A F , Semicond. Int., 5 (3), 40 (1982).
719 G. HORLICK, Appl. Spectroscopy, 22, 617 (1968).
720 P . J. S E V E R I N , / . Electrochem. Soc, 121, 150 (1974).
721 P. A. FLOURNOY, R. W. McCLURE, and G. W I N T J E S , Appl. Opt., 11, 1907 (1972).
722 "Digilab Film Thickness Gauge", Digilab, Inc., Cambridge, MA, 1970.
723 P . K. T I E N , R. ULRICH, and R. J. MARTIN, Appl. Phys. Lett., 14, 291 (1969);
P . K. T I E N and R. ULRICH, / . Opt. Soc. Am., 60, 1325 (1970); P . K. T I E N , Appl.
Opt., 10, 2395 (1971).

545
REFERENCES

724 R. T H . K E R S T E N , Opt. Commun., 9, All (1973); Opt. Ada, 22, 503 (1975).
725 A. C. ADAMS, D. P . SCHINKE, and C. D. CAPIO, / . Electrochem. Soc, 126, 1539
(1979).
726 R. ULRICH and R. T O R G E , Appl. Opt., 12, 2901 (1973); R. ULRICH, / . Opt. Soc.
Am., 60, 1337 (1970).
727 P . A . B A R N E S and D. P . SCHINKE, Appl. Phys. Lett., 30, 26 (1977).
728 M. O L I V I E R and J. C. P E U Z I N , Appl. Phys. Lett., 32, 386 (1978).
729 P . J. SEVERIN, F . C. E V E R S T E Y N , and F . HUIZINGA, Phys. Stat. Sol., A28*
205 (1975).
730 G. DiGIACOMO, Thin Solid Films, 38, 35 (1976).
731 G. RIGA and B. H O R B L I T , / . Electrochem. Soc, 131, 1379 (1984).
732 J. P . H I R T H and K. L. MOAZED, Phys. Thin Films, 4, 97 (1967).
733 J. A. VENABLES and G. L. P R I C E , ref. [4], P a r t B, Ch. 4, p . 381.
734 J. P . H I R T H and G. M. POUND, "Condensation and Evaporation — Nucleation and Growth
Processes", Pergamon Press, Oxford, 1963.
735 D. R O B E R T S O N and G. M. POUND, CRC Crit. Rev. Sol. St. Mat. Sei., 4, 163 (1974).
736 J. NISHIZAWA, in "Crystal Growth — Theory and Techniques", (C. H . L. Goodman*
ed.), Vol. 2, p . 57, Plenum Press, New York, 1978.
737 J. P . H I R T H , ref. [11], p . 126.
738 R. D. GRETZ, C. M. JACKSON, and J. P . H I R T H , Surf. Sei., 6, 171 (1967).
739 C. M. JACKSON and J. P . H I R T H , in "Advances in Epitaxy and Endotaxy — Selected
Chemical Problems" (H. C. Schneider, V. Ruth, and T. Kormany, eds.), p . 79, Elsevier*
Amsterdam (1976).
740 D. WALTON, / . Chem. Phys., 37, 2182 (1962).
741 B. L E W I S and D. S. CAMPBELL, / . Vac. Sei. Technol., 4, 209 (1967).
742 B. L E W I S , Surf Sei., 21, 273, 289 (1970); B. L E W I S and J . C. ANDERSON, "Nuclea-
tion and Growth of Thin Films", Academic Press, New York, 1978.
743 M. J. STOWELL, Philos. Mag., 26, 361 (1972); Thin Solid Films, 21, 91 (1974).
744 G. ZINSMEISTER, Thin Solid Films, 7, 51 (1971).
745 K. J. R O U T L E D G E and M. J. STOWELL, Thin Solid Films, 6, 407 (1970).
746 M. J. STOWELL and T. E. HUTCHINSON, Thin Solid Films, 8, 41, 411 (1971).
747 J. A. VENABLES, Philos. Mag., 27, 697 (1973).
748 J. BLOEM, / . Crystal Growth, 38, 364 (1977).
749 W. A. P . CLAASSEN and J. BLOEM, / . Electrochem. Soc, 127, 194 (1980).
750 W . A. P . CLAASSEN and J. BLOEM, / . Electrochem. Soc, 127, 1836 (1980).
751 W . A. P . CLAASSEN and J. BLOEM, / . Electrochem. Soc, 128, 1353 (1981).
752 J. BLOEM, W. A. P . CLAASSEN, and W. G. J. N. V A L K E N B U R G , / . Cryst. Growth,
57, 177 (1982).
753 B. A. JOYCE, J. H . NEAVE, and B . E. WATTS, Sutf. Sei., 15, 1 (1969).
754 J . M . C H A R I G and D. J. S K I N N E R , Surf. Sei., 15, 277 (1969).
755 M. S. ABRAHAMS, C. J. BUIOCCHI, R. T. SMITH, J. F . CORBOY J R . , J. BLANC*
and G. W. CULLEN, / . Appl. Phys., 47, 5139 (1976).
756 J . M . BLANK and V. A. R U S S E L , Trans. Met. Soc. AIME, 236, 291 (1966).
757 J. MERCIER, / . Electrochem., Soc, 118, 962 (1971).
758 R. W . BICKNELL, B. A. JOYCE, J. H . NEAVE and G. V. SMITH, Philos: Mag
14, 31 (1966).
759 G. W. CULLEN, J. F . CORBOY, and J. T. McGINN, ref. [57], p . 235.
760 C. H . J. VAN D E N B R E K E L , / . Cryst. Growth, 9, 132 (1971); 23, 259 (1974).
761 D.IOSIF and G. NIAC , Rev. Roum. Chim., 24, 1261 (1979).

546
REFERENCES

762 R. F . C. F A R R O W , / . Electrochem. Soc, 121, 899 (1974).


763 B. A. JOYCE and C. T. FOXON, / . Cryst. Growth, 31, 122 (1975).
764 G. Le LAY and R. K E R N , / . Cryst. Growth, 44, 197, (1978).
765 H . SCHMEISSER and M. H A R S D O R F F , Thin Solid Films, 14, 321 (1972).
766 H . M. M. POLLOCK, Thin Solid Films, 14, 193 (1972).
767 F . H O T T I E R and R. CADORET, / . Cryst. Growth, 56, 304 (1982).
768 F . H O T T I E R , J. HALLAIS, and F . SIMONDET, / . Appl. Phys., 5 1 , 1599 (1980).
769 F . H O T T I E R and G. LAURENCE, Appl. Phys. Lett., 38, 863 (1981).
770 G. LAURENCE, F. H O T T I E R , and J. HALLAIS, Rev. Phys. Appl., 16, 579(1981).
771 G. LAURENCE, F . H O T T I E R , and J. HALLAIS, / . Cryst. Growth, 55, 198, (1981).
772 J. B. T H E E T E N and F . H O T T I E R , / . Electrochem. Soc, 126, 450 (1979).
773 M. ERMAN and P . M . F R I J L I N K , Appl. Phys. Lett., 43, 285 (1983).
774 M. ERMAN, J. P. ANDRE, and J. L e B R I S , / . Appl. Phys., 59, 2019 (1986).
775 B. DREVILLON, E. B E R T R \ N , P. ALNOT, J. OLIVIER, and M. R A Z E G H I , / .
Appl. Phys., 60, 3512 (1986).
776 F . H O T T I E R and J. B. T H E E T E N , / . Cryst. Growth, 48, 644 (1980).
777 B. DREVILLON, J. P E R R I N , J. M. S I E F E R T , J. HUC, A. L L O R E T , G. De
ROSNY, and J. P. M. SCHMITT, Appl. Phys. Lett., 42, 801 (1983).
778 R. W. COLLINS, / . Vac. Sei. Technol., A4, 514 (1986); Appl. Phys. Lett., 48, 843
(1986); / . Appl. Phys., 60, 1377 (1986).
779 R. W. COLLINS and J. M. CAVESE, Appl. Phys. Lett., 49, 1207 (1986); / . Appl. Phys.,
60, 4169 (1986).
780 R. W. COLLINS and A. P A W L O W S K I , / . Appl. Phys., 59, 1160 (1986).
781 S. KUMAR, B. DREVILLON, and C. GODET, / . Appl. Phys., 60, 1542 (1986).
782 R. W. COLLINS, W. J. B I T E R , A.H. CLARK and H. WINDISCHMANN, Thin
Solid Films, 129, 127 (1985).
783 J. R. BLANCO, P. J. McMARR, K. VEDAM, and R. C. ROSS, / . Appl. Phys., 60,
3724 (1986).
784 J. NISHIZAWA, T. T E R A S A K I , and M. SHIMBO, / . Cryst. Growth, 17, 241 (1972);
1 3 - 1 4 , 297 (1972).
785 J. NISHIZAWA and M. SHIMBO, / . Cryst. Growth, 2 4 - 2 5 , 215 (1974).
786 J. NISHIZAWA, Y. KATO and M. SHIMBO, / . Cryst. Growth, 31, 290 (1975).
787 J. NISHIZAWA, / . Cryst. Growth, 56, 273 (1982).
788 A. S. BROWN, B. A. JOYCE, J. H. NEAVE, and D. J. STIRLAND, / . Appl. Crystal-
logr., 1, 70 (1968).
789 B. A. JOYCE and R. R. B R A D L E Y , Philos. Mag., 14, 289 (1966); G. R. B O O K E R
and B. A. JOYCE, Philos. Mag., 14, 301 (1966); B. A. JOYCE, R. R. B R A D L E Y , and
G. R. BOOKER, Philos. Mag., 15, 1167 (1967).
790 B. E. WATTS, R. R. BRADLEY, B. A. JOYCE, and G. R. BOOKER, Philos. Mag.,
17, 1163 (1968).
791 B. A. JOYCE, R. R. BRADLEY, B. E. WATTS, and G. R. BOOKER, Philos. Mag.,
19, 403 (1969).
792 J . M . CHARIG, B. A. JOYCE, D. J. S T I R L \ N D , and R. W. BICKNELL, Philos.
Mag., 7, 1847 (1962).
793 W. J. P. VAN ENCKEVORT and H. W. M. GRAEF, / . Electrochem. Soc, 128, 154 (1981).
794 T. SAITOH, S. M A T S U B \ R \ , and S. MINAGAWA, Thin Solid Films, 48, 339, (1978).
795 R. C. H E N D E R S O N and R. F . HELM, Surf. Sei., 30, 310 (1972).
796 R. C. H E N D E R S O N , R. B. MARCUS, and W. J. POLITTO, / . Appl. Phys., 42, 1208
(1971).

547
REFEBENCES

797 J. BLOEM and W. A. P . C I A A S S E N , ref. [30], p. 128.


798 R. E. THUN, Phys. Thin Films, 1, 187 (1963).
799 H. F . JOHN, Proc. IEEE, 55, 1249 (1967).
800 D . C . M I L L E R and G. A. ROZGONYI, ref. [98], p . 217.
801 W . R . RUNYAN, "Semiconductor Measurements and Instrumentation", McGraw-Hill,
New "York, 1975.
802 J . M . BLOCHER, JR., / . Vac. Sei. Technol., 1-1, 680 (1974).
803 H . R. H U F F , Solid State Technol., 26 (4), 211 (1983).
804 K. V. RAVI, Thin Solid Films, 31, 171 (1976).
805 G. R. BOOKER, J. M. TITCHMARSH, J. F L E T C H E R , D. B . DARBY, M. HOC-
K L E Y , and M. AL-JASSIM, / . Cryst. Growth, 45, 407 (1978).
806 . G . H . OLSEN, / . Cryst. Growth, 31, 223 (1975).
807 W. K E R N , RCA Rev., 34, 655 (1973); Solid State Technol., 17 (3), 35 (1974); 17 (4),
78 (1974),
808 B. E. W A R R E N , "X-Ray Diffraction", Addison-Wesley, Reading, MA, 1969.
809 T. I. KAMINS and T. R. CASS, Thin Solid Films, 16, 147 (1973).
810 H . W. SCHNOPPER, / . Appl. Phys., 36, 1415, 1423, 3692 (1965).
811 Y. T. L E E , N. HIYAMOTO, and J. NISHIZAWA, / . Electrochem. Soc, 122, 530,
(1975).
812 J. NISHIZAWA, T. T E R A S A K I , K. YAGI, and N. MIYAMOTO, / . Electrochem.
Soc, 122, 664 (1975).
813 W. HA GEN, / . Cryst. Growth, 43, 739 (1978).
814 W.W. W E B B , in "Direct Observation of Imperfections in Crystals" (J.B. Newkirk a n d
J. H. Wernick, eds.), p . 29, Interscience, New York, NY, 1962.
815 B. K. TANNER, "X-Ray Diffraction Topography", Pergamon, New York, NY, 1976.
816 G. A. ROZGONYI and D . C . MILLER, Thin Solid Films, 31, 185 (1976).
817 G . H . SCHWUTTKE, Microelectron. Reliab., 9, 397 (1970). / . Appl. Phys., 33, 2760
(1962); 36, 2712 (1965).
818 W. H A G E N and H. STRUNK, Appl. Phys., 17, 85 (1978).
819 W. H A G E N and H . J. QUEISSER, Appl. Phys. Lett., 32, 269 (1978).
820 E. S. MEIERAN, / . Electrochem. Soc, 114, 292 (1967).
821 A. R. LANG, Ada Met, 5, 358 (1957); / . Appl. Phys., 29, 597 (1958); 30, 1748 (1959);
Ada Crystallogr., 12, 249 (1959).
822 K. KUGIMIYA, / . Electrochem. Soc, 132, 1496 (1985).
823 A. M. GLAUERT, ed., "Practical Methods in Electron Microscopy"', vol. 1, Elsevier,
New York, NY, 1972.
824 R. B. MARCUS and T. T. SHENG, "Transmission Electron Microscopy of Silicon VLSI
Circuits and Structures", Wiley, New York, 1983.
825 R. SINCLAIR, Indust. Res., 15 (10), 62 (1973).
826 G. R. B O O K E R and R. STICKLER, Brit. J. Appl. Phys., 13, 446 (1962).
827 E . B I E D E R M A N N and K. BRACK, / . Electrochem. Soc, 113, 1088 (1966).
828 E. S. MEIERAN, / . Appl. Phys., 36, 2544 (1965).
829 A. G. CULLIS and G. R. BOOKER, Thin Solid Films, 3 1 , 53 (1976).
830 C. C. W A N G and S. H . McFARLANE, I I I , Thin Solid Films, 3 1 , 3 (1976).
831 T. G. R. R A W L I N S and P . E. B R E S S E L A R D , Trans. Met. Soc AIME, 236,280 (1966).
832 P . R. THORNTON, "Scanning Electron Microscopy", Chapman and Hall, London, 1967;
D. E. N E W B U R Y , D. C. JOY, P . ECHLIN, C. E. F I O R I , and J. I. GOLDSTEIN,
"Advanced Scanning Electron Microscopy and X-Ray Microanalysis", Plenum, New York,
1986.

548
REFERENCES

833 T. E. E V E R H A R T and T. L. H A Y E S , Sei. Am., 226, (1), 55 (1972).


834 O. J O H A R I , Research/Development, 22 (7), 12 (1971).
835 O. C. W E L L S , ed., "Scanning Electron Microscopy", McGraw-Hill, New York, NY, 1974.
836 R. K. MATTA, Solid State Technol., 12 (1), 34 (1969); S. THOMAS, Semicond. Int., 5 (4),
209 (1982).
837 V. G. GALSTYAN, S. V. NOSIKOV, F . P. P R E S S , and V. V. PASTUSKKOV,
Phys. Stat. Sol., A12, 381 (1972).
838 P . B. H I R S C H , R. B. NICHOLSON, A. H O W I E , and D. W. P A S H L E Y , "Electron
Microscopy of Thin Crystals", Butterworth, London, 1965.
839 E. BAUER, in "Techniques of Metals Research" (R. F . Bunshah, ed.), Ch. 15 and 16,
Interscience, New York, NY, 1969.
840 C. C. CHANG, in "The Structure and Chemistry cf Solid Surfaces" (G. A. Somoyai, ed.)>
Wiley, New York, NY, 1969; P. M. MARCUS and F . JONA, eds., "Determination of
Surface Structure by LEED", Plenum, New York, 1984.
841 B. A. JOYCE, R. J. B E N N E T T , R. W. BICKNELL, and P. J. E T T E R , Trans. Met.
Soc. AIME, 233, 556 (1965). '
842 W. LANG, American Laboratory, 4, 45 (1970).
843 J. WONG and C. A. ANGELL, "Glass Structure by Spectroscopy", Dekker, New York,
1976.
844 J. WONG, / . Electrochem. Soc, 120, 122 (1973).
845 M. NAKAMURA, Y. MOCHIZUKI, K. USAMI, Y. ITOH, and T. NOZAKI, / . Elec-
trochem. Soc, 132, 482 (1985).
846 P. J. ZANZUCCHI, M. T. DUFFY, and R. C. ALIG, / . Electrochem. Soc, 125, 299
(1978).
847 M. DRUMINSKI, C. K Ü H L , E. P R E U S S , F . S C H W I D E F S K Y , H. SPLITTGER-
BER, and D. TAKACS, Jpn. J. Appl. Phys., 15, 217 (1976).
848 W. J. PATRICK and E. J. PATZNER, ref. [56], p. 482.
849 J. LAGOWSKI, L. J A S T R Z E B S K I , and G. W. CULLEN, / . Electrochem. Soc, 130,
1744 (1983).
850 T. ITOH and H.TAKAI, / . Electrochem. Soc, 130, 2047 (1983).
851 J. LAGOWSKI, L. J A S T R Z E B S K I , M. T. D U F F Y , C. MAGEE, and C. W. CULLEN,
/ . Electrochem. Soc, 131, 634 (1984).
852 J. LAGOWSKI, L. J A S T R Z E B S K I , and G. W. CULLEN, / . Electrochem. Soc, 129,
2609 (1982); 130, 1744 (1983).
853 Z. IQBAL, S. V E P R E K , A. P. W E B B , and P. CAPEZZUTO, Solid State Commun.,
37, 993 1(1981).
854 S. NAKASHIMA, Y. INOUE, M. MIYAUCHI, A. MITSUISHI, T. N I S H I M U R A ,
T. FUKUMOTO, and Y. AKASAKA, / . Appl. Phys., 54, 2611 (1983); C. D. N E E D -
HAM and J. N. RAMSEY, Semicond. Int., 4 (3), 75 (1981).
855 E. F. S T E I G M E I E R and H. ANDERSET, RCA Rev., 44, 5 (1933); / . Electrochsm.
Soc, 131, 1693 (1984).
856 N. K L E I N , / . Electrochem. Soc, 116, 963 (1969).
857 F . L. W O R T H I N G , / . Electrochem. Soc, 115, 83 (1968).
858 C M . O S B U R N and D. W. ORMOND, / . Electrochem. Soc, 119, 591, 597 (1972).
859 C M . OSBURN and N. J. CHOU, / . Electrochem. Soc, 120, 1377 (1973).
860 J . E. CARNES and M. T. D U F F Y , / . Appl. Phys., 42, 4350 (1971).
861 R. B. COMIZZOLI, / . Electrochem. Soc, 124, 1087 (1977).
862 R. B. COMIZZOLI, G. S. LOZIER, and D. A. ROSS, Proc IEEE, 60, 348 (1972)

549
REFERENCES

863 W. K E R N and R. B. COMIZZOLI, / . Vac. Sei. TechnoL, 14, 32 (1977); F . L. GIVENS


and W. J. DAUGHTON, Semicond. Int., 2 (9), 63 (1979).
864 J. M. K E E N , Electron. Lett., 7, 432 (1971).
865 K. T H I E S S E N and L E T R U O N G TUYEN, Phys. Stat. Sol., A13, 73 (1972).
866 P. L. GARBARINO and R. D. SANDISON, / . Electrochem. Soc, 120, 834, (1973).
867 J. L. VOSSEN, Appl. Phys. Lett., 23, 287 (1973).
868 J. P . McCLOSKEY, / . Electrochem. Soc, 114, 643 (1967).
869 P. J. B E S S E R and J. E. M E I N H A R D , in Proc. Symp. Manufacturing, In-Process
Control and Measuring Techniques for Semiconductors, Phoenix AZ, March 1966.
870 Technical Bulletin —"Navonic Dielectric Defect Detector, Model 201", Siltec Corp., Menlo
Park, CA, 1971.
871 W. J. SHANNON, RCA Rev., 31, 431 (1970).
872 A. D. LOPEZ, / . Electrochem. Soc, 113, 89 (1956).
873 T. M. CRISHAL and A. L. H A R R I N G T O N , / . Electrochem. Soc, 111, 202 (1962).
874 I. J. PUGACZ-MURASZKIEWICZ, IBM J. Res. Dev., 16, 523 (1972); I. J. PUGACZ-
MURASZKIEWICZ and B. R. HAMMOND, / . Vac. Sei. TechnoL, 14, 49 (1977).
875 V. Y. DOO and V. M. L. SUN, Metall. Trans., 1, 741 (1970).
876 S. W. ING, JR., R. E. MORRISON, and J. E. SANDOR, / . Electrochem. Soc, 109,
221.(1962).
877 T. MEGURO, H. K U R I T A and T. ITOH, / . Electrochem. Soc, 128, 1379 (1981).
878 R. M. A N D E R S O N and G. W. NEUDECK, / . Vac. Sei. TechnoL, 8, 454 (1971).
879 S. T. PICRAUX, Appl. Phys. Lett., 1 9 , 9 1 (1971); 20, 91(1972); J. Appl/Phys., 44,
587 (1973).
880 S. T. P I C R A U X and G. J. THOMAS, / . Appl. Phys., 44, 594 (1973).
881 S. F U J I T A and A. SASAKI, / . Electrochem. Soc, 132, 398 (1985).
882 T. B. L I G H T , ref. [49], p . 137.
883 M. TAMURA and Y. SUGITA, / . Appl. Phys., 44, 3442 (1973).
884 M. S. ABRAHAMS, C. J. BUIOCCHI, J. F . CORBOY, JR., and G. W. CULLEN,
Appl. Phys. Lett., 28, 275 (1976).
885 C. M. W O L F E , C. J. N U E S E , and N. HOLONYAK, JR., / . Appl. Phys., 36, 3790
(1965).
886 T. KATO, H . KOYAMA, T. MATSUKAWA, and R. SHIMIZU, / . Appl. Phys., 45,
3732 (1974).
887 G. R. B O O K E R and R. STICKLER, / . Appl. Phys., 33, 3281 (1962).
888 C M . DRUM and W. VAN G E L D E R , / . Appl. Phys., 43, 4465 (1972).
889 V. A. P H I L L I P S , Ada Met., 20, 1143 (1972).
890 B. J. BALIGA, / . Electrochem. Soc, 129, 1078 (1982).
891 J. T. McGINN, L. J A S T R Z E B S K I , and J. F . CORBOY, / . Electrochem., Soc 131,
398 (1984).
892 C. J. W E R K H O V E N , C W. T. BULLE-LIEUWMA, B . J. H. L E U N I S S E N , and
M. P. A. V I E G E R S , / . Electrochem. Soc, 131, 1388 (1984).
893 Y. MISAWA and H . YAGI, Jpn. J. Appl. Phys., 16, 1115 (1977).
894 Y. TSUNODA, jpn. J. Appl. Phys., 17, 2085 (1978).
895 P . F. K A N E and G. B . L A R R A B E E , Ann. Rev. Matl., Sei., 2, 33 (1972).
896 P. F. K A N E and G. B. L A R R A B E E , eds., "Characterization of Solid Surfaces", Plenum
Press, New York (1974).
897 R. E. HONIG, Thin Solid Films, 31, 89 (1976); Adv. Mass Spectrom., 6, 337 (1974).
898 J. W. COBURN and E. KAY, Crit. Rev. Solid State Sei., 4, 561 (1974).

550
REFERENCES

899 A. W. CZANDERNA, ed., "Methods of Surface Analysis', Elsevier, Amsterdam, 1st ed.
1975; 3rd ed., 1983.
900 J. A. BUONO, A. W. W I S N I E W S K I , and W. S. A N D R U S , Solid State TechnoL, 25 (2),
95 (1982).
901 P. H . HOLLO WAY and G. E. McGUIRE, Appl. Surf. Sei., 4, 410 (1980).
902 P. H . S I N G E R , Semicond. Int., 9 (7), 46 (1986); 9 (8), 110 (1986).
903 J. F . SMITH and D. C HINSON, Solid State TechnoL, 29 (11), 135 fl986).
904 L. C. FELDMAN and J. W. MAYER, eds., "Fundamentals of Surface and Thin Film
Analysis", North-Holland, Amsterdam, 1986.
905 S. I. R A I D E R , L. V. G R E G O R , and R. FLITSCH, / . Electrochem. Soc, 120, 425
(1973).
906 B. Y U R A S H and B . E. DEAL, / . Electrochem. Soc, 115, 1191 (1968).
907 J. E. B A R R Y , H . M. DONEGA, and T. E. B U R G E S S , / . Electrochem. Soc, 116,
257 (1969).
908 W. R. K N O L L E and T. F . R E T A J C Z Y K , JR., / . Electrochem. Soc.,- 120, 1106 (1973).
909 W. R. KNOLLE, / . Electrochem. Soc, 120, 987 (1983).
910 A. E. W I D M E R and M. L. HITCHMAN, / . Electrochem. Soc, 125, 1723 '(1978).
911 G. B. L A R R A B E E and J. A. K E E N A N , / . Electrochem. Soc, 118, 1351 (1971).
912 L. E. KATZ, P . F . SCHMIDT, and C W. P E A R C E , / . Electrochem. Soc, 128, 630,
(1981).
913 S. P . MURARKA and C. J. MOGAB, / . Electron. Mater., 8, 763 (1969).
914 P. F. SCHMIDT and C W. PEARCE, / . Electrochem. Soc, 128, 630 (1981).
915 G. L U N D E , Solid State TechnoL, 11 (1), 32 (1968).
916 C C. CHANG, B . SCHWARTZ, and S. P. MURARKA, / . Electrochem. Soc, 124,
922 (1977).
917 K. CHOW and L. G. GARRISON, / . Electrochem. Soc, 124, 1133 (1977). _
918 W. K E R N , RCA Rev? 31, 207, 234 (1970); 32, 64 (1971); Solid State TechnoL, 15 (1),
34 (1972); 15 (2), 39 (1972).
919 T. M. BUCK, F . G. ALLEN, J. V. DALTON, and J. D. S T R U T H E R S , / . Electro-
chem. Soc, 114, 862 (1967).
920 I. F R A N Z and W. L A N G H E I N R I C H , Solid-State Electron., 12, 63, 145 (1969).
921 S. NAKANUMA, / . Electrochem. Soc, 111, 1199 (1964).
922 E. P . B E R T I N , "Principles and Practice of X-ray Spectrometric Analysis", 2nd ed.,
Plenum Press, New York, N Y (1975).
923 Technical Bulletin - "Model 7078 XRF Spectrometer', Kevex Corp., Foster City, CA,
1981.
924 C. G R I L E T T O , Solid State TechnoL, 2a (2), 27 (1977).
925 P. S. B U R G G R A A F , Semicond. Int., 5 (3), 40 (1982).
926 R. T U R N E R , Europ. Semicond. Design & Production, 6 (3), 28 (1985).
927 K. S I E G B A H N , C NORDLING, A. FAHLMAN, R. N O R D B E R G , K. HAMRIN»
J . HEDMAN, G. JOHANSSON, T. B E R G M A R K , S. E. KARLSSON, I. L I N D G R E N ,
a,nd B . L I N D B E R G , "ESCA — Atomic, Molecular and Solid State Structure Studied by
Means of Electron Spectroscopy"', Almqvist and Wiksels Boktryckeri AB, Uppsala, 1967.
928 C. C. CHANG, / . Vac Set. TechnoL, 18, 276 (1981).
929 T. A. CARLSON, Physics Today, 25 (1), 30 (1972).
930 S. H . H E R C U L E S and D. M. H E R C U L E S , ref. [897], p . 307.
931 M. A. K E L L Y and C. E. T Y L E R , Hewlett-Packard J., 24 (7), 2 (1973).
932 J. H . THOMAS, I I I a n d A. M. GOODMAN, / . Electrochem. Soc, 126, 1766 (1979).
933 J . H . THOMAS, I I I and D. E. CARLSON, / . Electrochem. Soc, 128, 415 (1981).

551
REFERENCES

934 B. VON R O E D E R N , L. LEY, and M. CARDONA, Phys. Rev. Lett., 39, 1576(1977).
935 W.-Y. L E E , / . Appl. Phys., 51, 3365 (1980).
936 T. N. W I T T B E R G , J. R. HOENIGMAN, W. E. MODDEMAN, C. R. COTHERN, and
M. R. GULETT, / . Vac. Sei. Technol., 15, 348 (1978).
937 R. P . VASQUEZ, M. H . H E C H T , F . J. GRUNTHANER, and M. L. HAIMAN, Appl.
Phys. Lett., 44, 969 (1984).
938 G. P. SCHWARTZ, B. SCHWARTZ, J. E. G R I F F I T H S , and T. SUGANO, / . Electro-
chem. Soc, 127, 2269 (1980).
939 S. I. R A I D E R , R. FLITSCH, J. A. ABOAF, and W. A. P L I S K I N , / . Electrochem.
Soc, 123, 560 (1976).
940 J. A. WURZBACH and F . J. GRUNTHANER, / . Electrochem. Soc, 130, 691 (1983).
941 U. K Ö N I G and E. SASSE, / . Electrochem. Soc, 130, 950 (1983).
942 R. K. WILLARDSON, ref. [1032], p . 58.
943 C. FELDMAN and F . G. SATKIEWICZ, / . Electrochem. Soc, 120, 1111 (1973).
944 J . H . McCREA, ref. [897], Ch. 21.
945 T. YOSHIMI, H . SAKAI and K. TANAKA, / . Electrochem. Soc, 127, 1853 (1980).
946 J. W. COLBY, Acv. X-Ray Anal., 11, 287 (1968).
947 L. S. B I R K S , "Electron Probe Microanalysis", 2nd ed., Wiley, New York, N Y (1971).
948 C. A. A N D E R S E N , ed., "Microprobe Analysis", Wiley, New York, N Y (1973).
949 G. A. HUTCHINS, ref. [897], Ch. 18.
950 W. R E U T E R , Surface Set., 25, 80 (1971).
951 B. SWAROOP, / . Electrochem. Soc, 118, 913 (1971).
952 P . W. PALMBERG, Anal. Chem., 45, 549 A (1973); / . Vac Sei. Technol., 9, 160 (1972).
953 J . M . MORABITO, Thin Solid Films, 19, 21 (1973).
954 P. W. PALMBERG, G. E. RIACH, R. E. W E B E R , and N. C. MacDONALD, "Hand-
book of Auger Electron Spectroscopy", Physical Electronics Industries, Edina, MN (1972).
955 R. E. W E B E R , Research!Development, 23 (10), 22 (1972).
956 C. C. CHANG, ref. [897], p . 509; Surface Sei., 25, 53 (1971).
957 P . M . H A L L and J . M . MORABITO, CRC Crit. Rev. Sol. St. Mat. Set., 8, 53 (1979).
958 J. S. JOHANNESSEN, W. E. SPICER, and Y. E. STRAUSSER, Thin Solid Films,
32, 311 (1976).
959 H . H . MADDEN, / . Electrochem. Soc, 128, 625 (1981); / . Vac. Sei. Technol., 18,
677 (1981).
960 R. H E Z E L and N. L I E S K E , / . Appl. Phys., 51, 2566.(1980).
961 N. L I E S K E and R. H E Z E L , Thin Solid Films, 85, 7 (1971).
962 K. V. VAIDYANATHAN, M. J. H E L I X , D. J. W O L F O R D , B. G. STREETMAN,
R. J. BLATTNER, and C. A. EVANS,* JR., / . Electrochem. Soc, 124, 1781 (1977).
963 P. H. HOLLOWAY and H. J. STEIN, / . Electrochem. Soc, 123, 723 (1976).
964 H. G. MAGUIRE and P. D. AUGUSTUS, / . Electrochem. Soc, 119, 791 (1972).
965 S.THOMAS and R. J. MATTOX, / . Electrochem. Soc, 124, 1942 (1977).
966 C. C. CHANG, R. P. H . CHANG, and S. P. MURARKA, / . Electrochem. Soc, 125,
481 (1978).
967 I. SHIOTA, N. MIYAMOTO, and J. NISHIZAWA, / . Electrochem. Soc, 124, 1405
(1977).
968 T. ODA and T. SUGANO, Jpn. J. Appl. Phys., 15, 1317 (1976).
969 R. BISARO, G. LAURENCIN, A. F R I E D E R I C H , and M. R A Z E G H I , Appl. Phys.,
Lett., 40, 978. (1982).
970 C. C. CHANG, T. T. SHENG, and T. A. SHANKOFF, / . Electrochem. Soc, 130, 1168
(1983).

552
REFERENCES

971 N. C. MacDONALD, in "Electron Microscopy — Physical Aspects" (D. R. B3aman a n d


B. Siegel, eds.), Wiley, New York, 1975.
972 D. P . SMITH, / . Appl. Phys., 38, 340 (1967); Surf. Sei., 25, 171 (1971).
973 E. TAGLAUER and W . H E I L A N D , Appl. Phys., 9, 261 (1976).
974 R. F . GOFF, / . Vac. Sei. Teehnol. 9, 154 (1972).
975 R. F . GOFF a n d D. P . SMITH, / . Vac. Sei. TechnoL, 7, 72 (1970).
976 R. E. H O N I G a n d W. L. H A R R I N G T O N , Thin Solid Films, 19, 43 (1973).
977 M. CROSET, J . DIAZ, D. DIEUMEGARD, and L. M. MERCANDALLI, Rev. Techniq.
Thomson CSF, 10, 427 (1978).
978 C. A. EVANS, J R . , Anal. Chem., 44 (13), 67A (1972).
979 R. CASTAING and G. SLODZIAN, / . Microsc. (Paris), 1, 395 (1962).
980 J. M. MORABITO and R. K. L E W I S , Anal. Chem., 45, 869 (1973).
981 H . LIEBL, / . Appl. Phys., 38, 5277 (1967).
982 C. A. A N D E R S E N and J. R. H I N T H O R N E , Science, 175, 853 (1972).
983 H . W . W E R N E R , Surf. Sei., 47, 301 (1975).
984 H . L I E B L , / . Phys., E 8, 797 (1975).
985 A. B E N N I N G H O V E N , Appl. Phys., 1, 3 (1973).
986 W . R E U T E R and J. E. E. BAGLIN, / . Vac. Sei. TechnoL, 18, 282 (1981).
987 A. B E N N I N G H O V E N and E. LOEBACH, Rev. Sei. Instrum., 43, 49 (1971).
988 J. MERCIER, / . Electrochem. Soc, 118, 962 (1971).
989 C. E. CARLSON, C. W. MAGEE, and A. R. TRIANO, / . Electrochem.. Soc., 126,
688 (1979).
990 D. L. MALM and J. E. R I L E Y , J R . , / . Electrochem. Soc, 129, 1819 (1982).
991 B . E. DEAL, A. H U R R L E , and M. J. SCHULTZ, / . Electrochem. Soc, 125, 2024
(1978).
992 A. B E N N I N G H O V E N , W. SICHTERMANN, and S. STORP, Thin Solid Films, 28,
59 (1975).
993 P . V. FONTANA, J . P . DECOSTERD, and L. WEGMANN, / . Electrochem. Soc, 121,
146 (1974).
994 K. YAMASAKI and T. SUGANO, / . Vac Sei. TechnoL, 17, 959 (1980).
995 P . J. GODDARD and M. T. MacPHERSON, Europ. Semicond. Design & Production,
6(3), 33 (1985).
996 J . W . COBURN, E. W. ECKSTEIN, and E. KAY, / . Appl. Phys., 46,2828 (1975).
997 J . W . COBURN, E. TAGLAUER, and E. KAY, / . Appl. Phys., 45, 1779 (1974).
998 J . W . COBURN and E. KAY, Appl Phys. Lett., 19, 350 (1971).
999 J. E. G R E E N E and J. M. W H E L A N , / . Appl. Phys., 44, 2509 (1973).
1000 J. E. G R E E N E a n d F . SEQUEDA-OSORIO, / . Vac. Sei. TechnoL, 10, 1144 (1973).
1001 G. T. MARCYK and B . G. STREETMAN, / . Vac. Sei. TechnoL, 14, 1165 (1977);
/ . Electrochem. Soc 123, 1388 (1976).
1002 J. E. G R E E N E , F . SEQUEDA-OSORIO, and B. R. NATARAJAN, / . Vac. Sei.
Teehnol, 12, 366 (1975); / . Appl. Phys. 46, 2701 (1975).
1003 J . W . MAYER, L . E R I K S S O N , and J. A. DAVIES, "Ion Implantation in Semicon-
ductors", Academic Pi ess, New York, NY, 1970.
1004 W. K. CHU, J . W . M A Y E R , and M.-A. NICOLET, "Backscattering Spectrometry",
Academic Press, New York, NY (1978).
1005 W. K. CHU, J . W . MAYER, M.-A. NICOLET, T. M. BUCK, G. AMSEL, and F .
E I S E N , Thin Solid Films, 17, 1 (1973).
1006 R. L. M E E K , / . Electrochem. Soc, 120, 308 (1973).

553
REFERENCES

1007 J. GYULAI, O. MEYER, J. W. MAYER, and V. R O D R I G U E Z , Appl. Phys., Lett.,


16, 232 (1970).
1008 C. J. MOGAB and E. L U G U J J O , / . Appl. Phys., 47, 1302 (1976).
1009 M. KAMOSHIDA and J. W. MAYER, / . Electrochem., 119, 1084 (1972).
1010 K. NAKAMURA, S. S. LAU, M.-A. NICOLET, and J . W . M A Y E R , Appl. Phys.
Lett., 28, 277 (1976).
1011 W. K. CHU, B . L. CROWDER, J . W . MAYER, and J. F . Z I E G L E R , Appl. Phys.
Lett., 22, 490 (1973).
1012 M. H. BRODSKY, D. KAPLAN, and J. F . Z I E G L E R , Appl. Phys., Lett., 21, 3643 (1973).
1013 E. A. WOLICKI, J. W. .BUTLER, and P . A . TREADO, eds., "Ion Beam Analysis",
North-Holland, Amsterdam, 1978.
1014 P . S . P E E R C Y , H . J. STEIN, B . L. DOYLE, and S. T. PICRAUX, / . Electron.
Mater., 8, 11 (1979).
1015 W. A. LANFORD and M. J. RAND, / . Appl. Phys., 49, 2473 (1978).
1016 W. K E R N , RCA Rev., 32, 429 (1971).
1017 E. A. TAFT, / . Electrochem. Soc, 118, 1985 (1971).
1018 A. E. ADAMS and S. P . MURARKA, / . Electrochem. Soc, 126, 334 (1979).
1019 A. S. T E N N E R and M. GHEZZO, / . Electochem. Soc, 120, 1276 (1973).
1020 J. W O N G and M. GHEZZO, / . Electrochem. Soc, 118, 1540 (1971).
1021 M. J. RAND and D. R. W O N S I D L E R , / . Electrochem. Soc, 125, 99 (1978).
1022 H . J . S T E I N and H . A. R. W E G E N E R , / . Electrochem. Soc, 124, 908 (1977).
1023 H . J. STEIN, / . Electron. Mater. 5, 161 (1976).
1024 G. W. ANDERSON, W. A. SCHMIDT, and J. COMAS, / . Electrochem. Soc, 125,
424 (1978).
1025 E. ZINNER, / . Electrochem. Soc, 130, 199c (1963).
1026 J. W. COBURN and E. KAY, CRC Crit. Rev. Sol. St. Mat. Sei., 4, 561 (1974).
1027 J . W . COBURN, / . Vac Sei. Technol., 13, 1037 (1976).
1028 J. M. POATE, K. N. TU, and J. W. MAYER, eds., "Thin Films - Interdiffusions and
Reactions", Wiley, New York, 1978.
1029 J. E. BAGLIN and J. M. POATE, eds., "Thin Film Interfaces and Interactions", Electro-
chemical Society, Princeton, N J , 1980.
1030 H . K R E S S E L , ed., "Characterisation of Epitaxial Semiconductor Films", Elsevier,
Amsterdam, 1976.
1031 P . BLOOD and J. W. ORTON, Rep. Prog. Phys., 41, 157 (1978).
1032 B. SCHWARTZ and N. SCHWARTZ, eds., "Measurement Techniques for Thin Films",
Electrochemical Society, New York, 1967.
1033 D . M . MATTOX, Thin Solid Films, 18, 173 (1973); in "Adhesion Measurement of Thin
Films, Thick Films, and Bulk Coatings" (K. L. Mittal, ed.), ASTM S T P 640, p. 54,
American Society for Testing and Materials, Philadelphia, PA, 1978.
1034 W. A. BRYANT and G. H . M E I E R , / . Vac. Sei. Technol., 11, 719 (1974).
1035 K. N I I H A R A and T. H I R A I , / . Mater. Sei., 12, 1243 (1977).
1036 D. MOLLIMARD, H . MELLOTTEE and R. DELBOURGO, Bull. Soc Fr. Ceram.,
105, 11 (1974).
1037 R. BROWN, ref. [1033], p . 178.
1038 J . BLANC, ref. [44]', p . 282.
1039 R . W. HOFFMAN, ref. [1032], p . 312; Phys. Thin Films, 3, 211 (1966).
1040 D. S. CAMPBELL, ref. [1], p . 1 2 - 3 .
1041 R. GLANG, R. HOLMWOOD, and R. R O S E N F E L D , Rev. Sei. Instr., 36, 7 (1965).
1042 T. J. LONZON and T. H . SPENCER, Solid State Technol., 18 (7), 25 (1975).

554
REFERENCES

1043 C M . DRUM and M. J. R A N D , / . Appl. Phys., 39, 4458 (1968).


1044 C M . DRUM, Rev. Sei. Instrum., 40, 853 (1969).
1045 A. G. BLACHMAN, Metal. Trans., 2, 699 (1971).
1046 E. A. I R E N E , / . Electron. Mater., 5, 287 (1976).
1047 R. L A T H L A E N and D. A. D I E H L , / . Electrochem. Soc, 116, 620 (1969).
1048 A. G. VAN N I E , Solid State Technol., 23 (1), 81 (1980).
1049 A. K. SINHA, H . J. L E V I N S T E I N , and T. E. SMITH, / . Appl. Phys., 49, 2423 (1978).
1050 C B L A A U W , / . Appl. Phys., 54, 5064 (1983).
1051 G. A. ROZGONYI and D. C MILLER, Thin Solid Films, 3 1 , 185 (1976).
1052 C Y. ANG and H . M. MANASEVIT, Solid State Electron., 8, 994 (1965).
1053 S. R. J. BRUECK, B. Y. TSAUR, J. C C FAN, D. V. M U R P H Y , T. F . DEUTSCH,
and D. J. SILVERSMITH, Appl. Phys., Lett. ,~409 895 (1982).
1054 S. N. G. CHU, A.T. MACRANDER, K. E. S T R E G E , and W. D. JOHNSTON, J R . ,
J. Appl. Phys., 57, 249 (1985).
1055 T . I . KAMINS, / . Electrochem. Soc, 121, 681 (1974).
1056 S. P . M U R A R K A and T. F . RETAJCZYK, JR., / . Appl. Phys., 54, 2069 (1083).
1057 J . A . ABOAF, / . Electrochem. Soc, 116, 1732 (1969).
1058 H. SUNAMI, Y. I T O H , and K. SATO, / . Appl. Phys., 4 1 , 5115 (1970).
1059 P . B . GHATE and L. H . HALL, / . Electrochem. Soc, 119, 491 (1972).
1060 M. SHIMBO and T. MATSUO, / . Electrochem. Soc, 130, 135 (1983).
1061 G. SMOLINSKY and T. P . H . F . W E N D I N G , / . Electrochem. Soc, 132, 950 (1985).
1062 M. NAKAMURA , R. KANZAWA, and K. SAKAI, / . Electrochem. Soc, 133, 1167
(1986).
1063 E. P. EerNISSE, / . Appl. Phys., 48, 3337 (1977).
1064 R. H E Z E L and E. W. H E A R N , / . Electrochem. Soc, 125, 1848 (1978).
1065 S. ISOMAE, / . Appl. Phys., 52, 2782 (1981); 57, 216 (1985).
1066 W. A. P. CLAASSEN, W. G. J. N. V A L K E N B U R G , M. F . C W I L L E M S E N , and W, M.
v. d. W I J G E R T , / . Electrochem. Soc, 132, 893 (1985).
1067 W. A. P. CLAASSEN, W. G. J. N. V A L K E N B U R G , W. M. v. d. W I J G E R T , and
M. F . C W I L L E M S E N , Thin Solid Films, 129, 239 (1985).
1068 Y. S. C H E N and H . FATEMI, / . Vac. Sei. Technol., A4, 645 (1986).
1069 J. De K L E R K , ref. [1032], p . 334.
1070 F . A. PIZZARELLO and J. E. COKER, / . Electron. Mater., 4, 25 (1975).
1071 T.TOKUYAMA, Y. F U J I I , Y. SUGITA, and S. K I S H I N O , Jpn. J. Appl. Phys., 6,
1252 (1967).
1072 T. MIZUTANI, J. OHSAWA, T. N I S H I N A G A , and S. UCHIYAMA, Jpn. J. Appl.
Phys., 15, 1305, (1976).
1073 P. J. B U R K H A R D T and R. F . MARVEL, / . Electrochem. Soc, 116, 864 (1969).
1074 T. SUZUKI, A. MIMURA, and T. OGAWA, / . Electrochem. Soc, 124, 1776 (1977).
1075 T. F . R E T A J C Z Y K and A. K. SINHA, Appl. Phys. Lett., 36, 161 (1980); Thin Solid
Films, 70, 241 (1980).
1076 A. E. BELL, RCA Rev., 40, 295 (1979); J. F . R E A D Y , B . T. McCLURE, and W. L.
LARSEN, Semicond. Int., 4 (11), 93 (1981).
1077 T. O. SEDGWICK, / . Electrochem. Soc, 130, 484 (1983).
1078 T. O. SEDGWICK, T. E. S E I D E L , and B.-Y. TSAUR, eds., "Rapid Thermal Proces-
sing", Materials Research Society, Pittsburgh, PA, 1986.
1079 S. R. W I L S O N , W. M. PAULSON, and R. B. G R E G O R Y , Solid State Technol., 28 (6),
185 (1985).

555
REFERENCES

1080 I. GOLECKI, G. KINOSHITA, A. GAT, and B. M. P A I N E , Appl. Phys. Lett., 36,


919 (1980).
1081 Y. KOBAYASHI, T. SUZUKI, and M. TAMURA, Jpn. J. Appl. Phys., 20, L249
(1981).
1082 L. J A S T R Z E B S K I , R. K. SMELTZER, G. W. CULLEN, and J. I A G O W S K I , / .
Electrochem. Soc, 131, 1375 (1984).
1083 S. P. MURARKA, / . Appl. Phys., 56, 2225 (1984).
1084 O. K . T . W U and A . N . SAXENA, / . Electrochem. Soc, 132, 932 (1985).
1085 A. NAUMAAN and J. T. BOYD, / . Vac Sei. Technol., 18, 821 (1981).
1086 R. A. LEVY and K. NASSAU, Solid State Technol., 29 (10), 123 (1986).
1987 J. S. MERCIER, I. D. CALDER, R. P. B E E R K E N S , and H . M. NAGUIB, / . Elec-
trochem. Soc, 132, 2432 (1985).
1088 E. C. BELL, B. J. SEALY, and R. K. S U R R I D G E , Thin Solid Films, 5 1 , 77 (1978).
1089 N.-S. ZHOU, S. F U J I T A , and A. SASAKI, / . Electron. Mater., 14, 55 (1985).
1090 T. I. KAMINS, K. F . L E E , and J. F . GIBBONS, Solid State Electron., 23, 1037 (1980).
1091 C. Y. LU and N. S. TSAI, / . Electrochem. Soc, 133, 847 (1986).
1092 N. NAGASIMA and N. KUBOTA, / . Vac. Sei. Technol., 14, 54 (1977).
1093 J. I. PANKOVE, C. P. WU, C. W. MAGEE and J. T. McGINN, / . Electron. Mater,,
9, 905 (1980).
1094 D. L. STAEBLER and J. I. PANKOVE, Appl. Phys. Lett., 37, 609 (1980).
1095 H, ARAI, K. NAKAZAWA, and S. KOHDA, Appl. Phys. Lett., 48, 938 (1986).
1096 T . I . KAMINS and A. C. GREENWALD, Appl. Phys., Lett., 36, 550 (1980).
1097 K. SHIBATA, T. INOUE, T. TAKIGAWA, and S. YOSHII, Appl. Phys. Lett.,
39, 645 (1981).
1098 H . W . LAM, R. F . PINIZZOTTO, and A. F . TASCH, JR., / . Electrochem. Soc, 128,
1981 (1981).
1099 T. O. SEDGWICK, R. H . GEISS, S. W. D E P P , V. E. HANCHETT, B. G. H U T H ,
V. GRAF, and V. J. SILVESTRI, / . Electrochem. Soc, 129, 2802 (1982).
1100 T. I. KAMINS, Hewlett-Packard J., 33 (8), 10 (1982).
1101 J. R. DAVIS, R. A. McMAHON, and H . AHMED, / . Electrochem. Soc, 132, 1919
(1985).
1102T. I. K A M I N S / . Electrochem. Soc, 128, 1824 (1981); Y. AKASAKA and T. NISHIMURA,
Solid State Technol., 24 (6), 88 (1981).
1103 A. GAT and J. F . GIBBONS, Appl. Phys. Lett., 32, 142 (1978).
1104 T. I. KAMINS, K. F . L E E , and J. F . GIBBONS, Appl. Phys. Lett., 36, 550 (1980).
1105 T. I. KAMINS, T. R. CASS, C. J. D E L L O C A , K. F . L E E , R. F . W. PEASE, and
J. F . GIBBONS, / . Electrochem. Soc, 128, 1151 (1981).
1106 J. F . GIBBONS, K. F . L E E , T. J. MAGEE, J. P E N G , and R. ORMOND, Appl. Phys.
Lett., 34, 831 (1979).
1107 N . M . JOHNSON, D. K. B I E G E L S E N , and M. D. MOYER, Appl. Phys. Lett., 38,
900 (1981).
1108 S. MINAGAWA, K. F . L E E , J. F . GIBBONS, T. J. MAGEE, and R. ORMOND.
/ . Electrochem. Soc, 128, 848 (1981).
1109 S. SOLMI, M. S E V E R I , R. ANGELUCCI, L. BALDI, and R. BILENCHI, / . Electro-
chem. Soc, 129, 1811 (1982).
1110 R. MUKAI, N. SASAKI, T. IWAI, S. KAWAMURA E, and M. NAKANO, Appl. Phys
Lett., 44, 994 (1984).
1111 J. S H A P P I R and R. ADAR, / . Electrochem. Soc, 131, 902 (1984).

556
REFERENCES

1112 D. P . VU, A. CHANTRE, H . MINGAM, and G. VINCENT, / . Appl. Phys., 56, 1682
(1984).
1113 G. K. CELLER, McD. ROBINSON, and D. J. L I S C H N E R , Appl. Phys. Lett., 38,
365 (1981).
1114 O. P. VU, M. HAOND, D. B E N S A H E L and, M. DUPUY, / . Appl. Phys., 54, 437
(1983).
1115 G. K. CELLER, McD. ROBINSON, L. E. T R I M B L E , and D. J. L I S C H N E R , / .
Electrochem. Soc, 132, 211 (1985).
1116 L. O. W I L S O N and G. K. C E L L E R , / . Electrochem. Soc, 132, 2748 (1985).
1117 J. SAKURAI, / . Electrochem. Soc, 133, 1485 (1986).
1118 M. W. GEIS, A . C . F L A N D E R S , and H . I. SMITH, Appl. Phys. Lett., 35, 71 (1979).
1119 M. W. GEIS, D. A. ANTONIADIS, D. J. SILVERSMITH, R. W. MOUNTAIN, and
H . I. SMITH, Appl. Phys. Lett., 37, 454 (1980); / . Vac. Sei. Technol., 18, 229 (1981).
1120 J. C. C. FAN, M. W. G E I S , and B.-Y. TSAUR, Appl. Phys. Lett., 38, 365 (1981).
1121 B. Y. TSAUR, J. C. C. FAN, M. W. GEIS, D. SILVERMAN, and R. W. MOUNTAIN,
Appl. Phys. Lett., 39, 5611 (1981).
1122 M. W. GEIS, H . I. SMITH, B.-Y. TSAUR, J. C. C. FAN, D. J. SILVERSMITH,
and R. W. MOUNTAIN, / . Electrochem. Soc, 129, 2812 (1982).
1123 H . I . SMITH, C. V. THOMPSON, M. W. G E I S , R. A. LEMONS, and M. A. BOSCH,
/ . Electrochem. Soc, 130, 2050 (1983).
1124 K. TAKEBAYASHI, T. YOKOYAMA, M. YOSHIDA, and M. INOUE, / . Electro-
chem. Soc, 130, 2271 (1983).
1125 C. K. CHEN, M. W. GEIS, B.-Y. TSAUR, R. L. CHAPMAN, and J. C. C. FAN,
/ . Electrochem. Soc, 131, 1707 (1984).
1126 Y. KOBAYASHI, A. FUKAMI, and T. SUZUKI, J. Electrochem. Soc, 131, 1188
(1984).
1127 H . J. LEAMY and C. J. D O H E R T Y , Appl. Phys. Lett, 37, 1028 (1980).
1128 M. TAMURA, H . TAMURA, and T. TOKUYAMA, Jpn. J. Appl. Phys., 19, L23
(1980).
1129 R. R E I F and J. E. KNOTT, Electron Lett., 17, 586 (1981).
1130 N. T. QUACH and R. R E I F , Mater. Lett., 2, 362 (1984); Appl. Phis Lett., 45, 910
(1984).
1131 C. P. WU, G. L. SCHNÄBLE, B . W . L E S , and R. STRICKER, / . Electrochem. Soc,
131, 216 (1984).
1132 S. K. B A N E R J E E , B. L E E , J. E. B A K E R , D. A. R E E D , and B. G. STREETMAN,
Thin Solid Films, 115, 19 (1984).
1133 S. K. B A N E R J E E , R. Y. TONG, B. L E E , R. Y. D e J U L E , B. G. STREETMAN,
and H . W. Lam, / . Electrochem, Soc, 131, 1409 (1984).
1134 R. A. P O W E L L and R. CHOW, / . Electrochem. Soc, 132, 194 (1985).
1135 H . J. STEIN, / . Electrochem Soc, 132, 668 (1985).
1136 S. R. WILSON, R. B . GREGORY, W . M. PAULSON, S. J. K R A U S E , J. D. G R E S -
SETT, A. H . HAMDI, F . D. McDANIEL, and R. G. DOWNING, / . Electrochem.
Soc, 132, 922 (1985).
1137 R. KWOR, D. L. KWONG, C. C. HO, B. Y. TSAUR, and S. BAUMANN, / . Electro-
chem. Soc, 132, 1201 (1985).
1138 J. H U A N G and R. J. JACCODINE, / . Electrochem. Soc, 133, 993 (1986).
1139 O. S. H E A V E N S , "Optical Properties of Thin Solid Films", Dover Publications, New
York, 1965; Phys. Thin Films, 2, 193 (1964).
1140 A. E. L E W I S , / . Electrochem. Soc, 111, 1007 (1964).

557
REFERENCES

1141 C. E. TRACY, / . Eledrochem. Soc, 126, 103 (1979).


1142 E. K U P H A L and H . W. D I N G E S , / . Appl. Phys., 50, 4196 (1979).
1143 W. A. P L I S K I N , ref. [56], p. 506.
1144 J. WONG, / . Electron. Mater., 5, 113 (1976).
1145 B. G. BAGLEY, D. E. A S P N E S , A. C. ADAMS, and C. J. MOGAB, Appl. Phys.
Lett., 38, 56 (1981).
1146 F . DEMICHELIS, E. MINETTI-MEZETTI, A. T A G L I A F E R R O , E. TRESSO, R
RAVA, and N. M. RAVINDRA, ■/. Appl. Phys., 59, 611 (1986).
1174 K. MUI, D. K. BASA, and F . W. SMITH, / . Appl. Phys., 59, 582 (1986).
1148 M. G. CRAFORD and W. O. GROVES, Proc. IEEE, 61, 862 (1973).
1149 J. I. PANKOVE and D. E. CARLSON , Appl. Phys., Lett., 29, 620 (1976).
1150 J. I. PANKOVE, ed., "Electroluminescence", Springer, New York, 1977.
1151 B. MONEMAR, H. P. GISLASON, and O. L A G E R S T E D T , / . Appl. Phys., 5 1 , 640
(1980).
1152 M. TAJIMA and H . NAMURA, Jpn. J. Appl. Phys., 20, L697 (1981).
1153 M. L. W. T H E W A L T , A. G. STEELE, and J. E. HUFFMAN, Appl. Phys. Lett.,
49, 1444 (1986).
1154 R. H E R Z O G , G. SCHRÄM, and T. FLADE, Phys. Stat. Sol., A96, 629 (1986).
1155 J. K. HSU, S. H. J O N E S , and K. M. LAU, / . Appl. Phys., 60, 3781 (1986).
1156 C. E. E. STEWART, / . Cryst. Growth, 8, 259 (1971).
1157 D. R. H E A T H and C. E. E. STEWART, Solid-State Electron., 15, 21 (1972).
1158 H. K R E S S E L , H. F . LOCKWOOD, I. LADANY, and M. E T T E N B E R G , Optical
Eng., 13, 416 (1974).
1159 E. E. GARDNER, ref. [1032], p . 240.
1160 W. E. HAM, ref. [44], p . 216.
1161 H. F . MATARE, CRC Crit. Rev. Sol. St. Mat Sei., 5, 499 (1975).
1162 G. E. STILLMAN and C. M. W O L F E , Thin Solid Films, 3 1 , 69 (1976).
1163 L. B. VALDES, Proc. IRE, 42, 420 (1954).
1164 F . M. SM1TS, Bell Syst. Tech. J., 37, 711 (1958).
1165 E. E. GARDNER, J. F . HALLENBACK, JR., and P . A . SCHUMANN, JR., Solid-
State Electron., 6, 311 (1963).
1166 P . A . SCHUMANN, JR., and J. F . HALLENBACK, JR., / . Eledrochem. Soc, 110,
538 (1963).
1167 W. J. PATRICK, Solid-State Electron., 9, 203 (1966).
1168 P. J. S E V E R I N and H . BULLE, / . Eledrochem. Soc, 122, 133 (1975).
1169 T. ARIZUMI, T. NISHINAGA, and M. MARUYAMA, Jpn. J. Appl. Phys., 7, 468
(1968).
1170 J. BROWNSON, / . Eledrochem. Soc, 111, 919 (1964).
1171 E. E. GARDNER and P . A . SCHUMANN, JR., Solid-State Electron., 8, 165 (1965),
1172 C. C. ALLEN, L. H . CLEVENGER, and D. C. GUPTA, / . Eledrochem. Soc, 113,
508 (1966).
1173 M. H . NORWOOD, / . Eledrochem. Soc, 112, 875 (1965).
1174 R. G. MAZUR and D . H . DICKEY, / . Eledrochem. Soc, 113, 255 (1966); R. G.
MAZUR and G. A. GRUBER, Solid State Technol., 24 (11), 64 (1981).
1175 E. E. GARDNER, P . A . SCHUMANN, JR., and E. F . GOREY, ref. [1032], p . 258.
1176 D. C. GUPTA and J. Y. CHAN, Rev. Sei. Instr., 4 1 , 176 (1970).
1177 P. J. SEVERIN, Solid-State Electron., 14, 247 (1971).
1178 B. L. MORRIS, / . Eledrochem. Soc, 121, 422 (1974).
1179 P. J. S E V E R I N and H. BULLE, / . Electrochem. Soc, 122, 137 (1975).

558
REFERENCES

1180 Y. IIDA, H . ABE, and M. KONDO, / . Electrochem. Soc, 124, 1118(1977).


1181 P. J. SVERIN, Philips Res. Repts., 26, 359 (1971).
1182 G. QUEIROLO, / . Electrochem. Soc, 125, 1672 (1978).
1183 J. H I L I B R A N D , and R. D. GOLD, RCA Rev., 2 1 , 245 (1960).
1184 C. O. THOMAS, D. K A H N G , and R. C. MANZ, / . Electrochem. Soc, 109, 1055 (1962).
1185 D. C. GUPTA, Solid State Technol., 11 (3), 31 (1968).
1186 M. KONAKA, T. ABE, and K. SATO, Jpn. J. Appl. Phys., 7, 790 (1968).
1187 P. J. S E V E R I N and G. POODT, / . Electrochem. Soc, 119, 1384 (1972).
1188 D. L. R E H R I G and C. W. PEARCE, Semicond. Int., 3, 151 (1980); G. S H I R L E Y ,
Semicond. Int., 5 (7), 81 (1982).
1189 A. LEDERMAN, Solid State Technol·., 24 (8), 123 (1981).
1190 H . K R E S S E L and M. A. K L E I N , Solid-State Electron., 6, 309 (1963).
1191 V. SILS, R. W. B E R S T R O S S E R , P. WANG, and T. A. LONGO, Eletrochem. Tech.,
2, 138 (1964).
1192 S. B. W A T E L S K I , W. R. RUNYAN, and R. C. WACKWITZ, / . Electrochem. Soc,
112, 1051 (1965).
1193 G. W. R E U T L I N G E R , S. J. R E G A S , D. J. SIDOR, and B . SCHWARTZ, Solid-State
Electron., 12, 31 (1969).
1194 P . A . SCHUMANN, JR., C. P. SCHNEIDER, and L. A. PIETROGALLO, Solid State
Technol., 16 (9), 54 (1973).
1195 P . S. SCHAFFER and T. R. LALLY, Solid State Technol., 26 (4), 229 (1983).
1196 A. YAMASHITA, T. AOKI, and M. YAMAGUCHI, Jpn. J. Appl. Phys., 14, 991 (1975).
1197 A. YAMASHITA, M. YAMAGUCHI, and T. AOKI, Jpn. J. Appl. Phys., 12, 1267
(1973).
1198 C D . S H A R P and P . L I L L E Y , / . Electrochem. Soc, 127, 1918 (1980).
1199 C D . SHARP, P . LILLEY, C R. ELLIOTT, and T. AMBRIDGE, Electron. Lett., 15,
622 (1979).
1200 I . C MA YES, "Bio-Rad Profile Plotter PN4200, Notes No. 201-202", Bio-Rad Polaron
Equipment Ltd., Watford, Hertfordshire, England (1985).
1201 T. AMBRIDGE and M. M. FAKTOR, / . Appl. Electrochem., 5, 319 (1975); Electron.
Lett., 10, 204 (1974).
1202 T. AMBRIDGE and D J . ASHEN, Electron. Lett., 15, 647 (1979).
1203 M. M. FAKTOR, T. AMBRIDGE, C R. ELLIOTT, and J. C REGNAULT, in "Current
Topics in Materials Science" (E. Kaldis, ed.), Vol. 6,p. 1, North-Holland, Amsterdam,
1980.
1204 T. AMBRIDGE, J. L. STEVENSON, and R. M. REDSTALL, / . Electrochem. Soc,
127, 222 (1980).
1205 H. MULLER, F . H . E I S E N , and J. W. MAYER, / . Electrochem. Soc, 122, 651 (1975).
1206 J. P. LORENZO, D . E . DAVIES, and T. G. RYAN, / . Electrochem. Soc, 126, 118
(1979).
1207 L. J. VAN D E R P A U W ; Philips Res. Rep., 13, 1 (1958).
1208 R. A. SMITH, "Semiconductors", Cambridge University Press, Cambridge, 1959.
1209 E. H. P U T L E Y , "The Hall Effect and Related Phenomena", Butterworths, London, 1960.
1210 J. E S T E V E , F . P O N S E , and K.-H. BACHEM, Thin Solid Films, 82, 287 (1981).
1211 T. G. R. R A W L I N S , / . Electrochem. Soc, 111, 810 (1964).
1212 E. E. GARDNER, W. KAPPALLO, and C R. GORDON, Appl·. Phys. Lett. 9, 324
(1966).
1213 P. A. SCHUMANN, JR., Solid State Technol., 13 (1), 50 (1970).
1214 L. A. MURRAY, J. J. R I V E R A , and P . A . H O S S , / . Appl. Phys., 37, 4743 (1966).

55&
KEFERENCES

1215 B. R H E I N L Ä N D E R , Phys. Stat. Sol., 55, K69 (1973).


1216 H . D. RICCUIS and G. ULBRICHT, Z. f. Angew. Physik, 19, 203 (1965).
1217 C. E. J O N E S and A. R. HILTON, / . Electrochem. Soc, 112, 908 (1965).
1218 K. T. OKADA and T. OKU, Jpn. J. Appl. Phys., 6, 276 (1967).
1219 J. F . B L ^ C K , E. LANNING, and S. P E R K O W I T Z , Infrared Phys., 10, 125 (1970).
1220 D. C. GUPTA, Solid-State Electron., 13, 543 (1970).
1221 M. GEDDO, D. MAGHINI, A. STELLA, and M. COTTINI, / . Appl. Phys., 5 8 ,
4733 (1985); / . Electrochem. Soc, 133, 1414 (1986).
1222 Y. MISHIMA, M. H I R O S E , and Y. OSAKA, / . Appl. Phys., 51, 1157 (1980).
1223 D. LANG, / . Appl. Phys., 45, 3023 (1974).
1224 Technical Bulletin — "Deep Level Transient Spectrometer DL 4600", Bio-Rad Polaron
Equipment Ltd., Watford, Hsrtfordshire, England.
1225 J. C. LOU, M. S. LIN and W. S. WANG, / . Appl. Phys, 54, 6773 (1984).
1226 H . Z. ZHU, Y. ADACHI, and T. IKOMA, / . Cryst. Growth, 51, 154 (1881).
1227 K. YAMASAKI and T. SUGANO, Appl. Phys. Lett., 35, 932 (1979).
1228 B. W. WESSELS, / . Appl. Phys., 48, 1656 (1977).
1229 M. OGURA, M. MIZUTA, N . HASE, and H . KUKIMOTO, Jpn. J. Appl. Phys.,
22, 658 (1983).
1230 S . W . SUN and B. W. W E S S E L S , / . Appl. Phys., 57, 4616 (1985).
1231 A. T. MACRANDER and W. D. JOHNSTON, JR., / . Appl. Phys., 54, 806 (1983).
1332 P . A. MARTIN, K. H E S S , M. EMANUEL, and J. J. COLEMAN, / . Appl. Phys.,
60,2882 (1986).
1233 P . C . A R N E T T and B. H. YUN, Appl. Phys. Lett., 26, 94 (1975).
1234 P. TOMANEK, Solid-State Electron., 12, 301 (1969).
1235 P. RAI-CHOUDHURY and D. K. SCHRODER, / . Electrochem. Soc, 119, 1580
(1972).
1236 H . R E I C H L and H . B E R N T , Solid-State Electron., 18, 453 (1975).
1237 L. V. GREGOR, Solid State Technol., 14 (4), 37 (1971).
1238 W. A. P L I S K I N and R. A. GDULA, ref. [98], p . 641.
1239 E. H . NICOLLIAN and J. R. B R E W S , "MOS (Metal - Oxide - Semiconductor)
Physics and Technology", Wiley, New York, 1982.
1240 B . SWAROOP, in Proc. 4th Interamerican Conference on Materials Technology, p . 224,
Caracas, Venezuela, 1975.
1241 A. GOETZBERGER, E. KLAUSMANN, and M. J. SCHULTZ, CRC. Crit. Rev. Sol.
St. Mat. Sei., 6, 1 (1976).
1242 A. G. R E V E S Z and K. H. ZAININGER, RCA Rev., 29, 22 (1968).
1243 C. W. WILMSEN, CRC Crit. Rev. Sol. St. Mat. Sei., 5, 313 (1975); C. W. WILMSEN,
"Physics and Chemistry of III—V Compound Semiconductor Interfaces", Plenum, New
York, 1985.
1244 A. S. GROVE, B. E. DEAL, E. H. SNOW, and C. T. SAH, Solid-State Electron,. 8,
145 (1965).
1245 K. H . Z A I N I N G E R and F . P. HEIMAN, Solid State Technol., 13 (5),.
1246 W. K E R N , RCA Rev., 3 1 , 771 (1970).
1247 C. R. VISWANATHAN, R. H O W E S , and V. O. H I N K L E , Solid State Technol., 17 (3),
43 (1974).
1248 B. E. DEAL, M. SKLAR, A. S. GROVE, and E. H . SNOW, / . Electrochem, Soc, 114,
226 (1967).
1249 B. E. DEAL, P. J. FLEMING, and P . L. CASTRO, / , Electrochem. Soc, 115, 300
(1968).

560
REFERENCES

1250 B. E. DEAL, ref. [57], p. 276; / . Electrochem. Soc ., 121, 188C (1974).
1251 R. R. RAZOUK and B . E. DEAL, / . Electrochem. Soc, 126, 1573 (1979).
1252 B. E. DEAL, IEEE Trans. Electron. Dev., 27, 606 (1980).
1253 L. A. KASPRZAK and A. K. GAIND, IBM J. Res. Dev., 24, 348 (1980).
1254 M. K U H N , Solid-State Electron., 13, 873 (1970).
1255 M. YAMIN, IEEE Trans. Electron. Dev., 13, 79 (1966).
1256 N. J. CHOU, / . Electrochem. Soc, 118, 601 (1971).
1257 E . H . SNOW and B. E. DEAL, / . Electrochem. Soc, 113, 263 (1966).
1258 L. KASPRZAK and A. H O R N U N G , IBM J. Res. Dev., 19, 127 (1975).
1259 J . M . E L D R I D G E and D. R. K E R R , / . Electrochem. Soc, 118, 986 (1971).
1260 L. H. KAPLAN and M. E. LOWE, / . Electrochem. Soc, 118, 1649 (1971).
1261 P. S. SCHAFFER and B. SWAROOP, Amer. Ceram. Soc. Bull., 49, 536 (1970).
1262 T. ITO, H. ARAKAWA, T. NOZAKI, and H . I S H I K A W A , / . Electrochem. Soc, 127,
2248 (1980).
1263 J . L E E , I.-C. CHEN, and C. H U , IEEE Electron. Dev. Lett., 7, 506(1986).
1264 G. R. POLLACK, ref. [1032] p . 222.
1265 R. B. COMIZZOLI, / . Electrochem. Soc, 123, 386 (1976); RCA Rev., 37, 473 (1976).
1266 D. J. DiMARIA, in "The Physics of MOS Interfaces" (G. Lucovsky, S. T. Pantelides,
and F. L. Galeener, eds.), p . 160, Pergamon, New York, 1980; in „The Physics of
Si02 and its Interfaces" (S. T. Pantelides, ed.), p . 160, Pergamon, New York, 1978; in
"Insulating Films on Semiconductors" (M. Schulz and G. Pensler, eds.), p . 88, Springer,
Berlin, 1981.
1267 R. A. GDULA and P . C . LI, / . Electrochem. Soc, 124, 1927 (1977).
1268 Special Issues, IEEE Trans. Nucl. Sei., 1 5 - 3 3 (6) (1968-1986).
1269 Special Issue, Proc. IEEE, 62 (9) (1974).
1270 A. G. HOLMES-SIEDLE, Proc IEEE, 62, 1196 (1974); K. F . GALLOWAY, Semicond.
Int., 2 (4), 65(1979).
1271 R. J. GUTMANN, J . M . B O R R E G O , and S. K. GHANDHI, Proc. IEEE, 62, 1256
(1974).
1272 B. L. G R E G O R Y and C. W. GWYN, Proc IEEE, 62, 1264 (1974).
1273 R. A. GDULA, IEEE Trans. Electron. Dev., 26, 644 1(979).
1274 A. REISMAN, J. M. A I T K E N , A. K. RAY, M. B E R K E N B L I T , C. J. MERZ, and
R. P. H A V R E L U K , / . Electrochem. Soc, 128, 1616 (1981).
1275 R. A. COLCLASER, H . D. SOUTHWARD, and J. P. BACA, Proc. IEEE, 62, 1232
(1974).
1276 J . M . B O R R E G O and R. J. GUTMANN, AppL Phys. Lett., 28, 280 (1976).
1277 A. GUPTA, Y. M. CHI, J. B. VALDEZ, G. L. OLSON, and L. D. H E S S , IEEE Trans.
Nucl. Sei., 28, 4080 (1980).
1278 G. E. DA V I E S , H . L. H U G H E S , and I. I. KAMINS, IEEE Trans. Nucl. Sci.t 29,
1685 (1982).
1279 C. P . CHANG, IEEE Trans. Nucl. Sei., 29, 1702 (1982).
1280 T. R. OLDHAM and J . M . McGARRITY, IEEE Trans. Nucl. Sei., 28, 3975(1981).
1281 S. S H A R E and J. W A S I L I K , IEEE Trans. Nucl. Sei., 26, 4802 (1979).
1282 C. W. P E R K I N S , K. G. AUBUCHON, and H . G. DILL, AppL Phys. Lett., 12, 835
(1968).
1283 P. A. NEWMAN and H . A. R. W E G E N E R , IEEE Trans. Nucl. Sei., 14 (6), 293 (1967).
1284 R. E. ANDERSON, IEEE Trans. Nucl. Sei., 25, 1459 (1978); 26, 5180 (1979).
1285 T. P . MA, B . N. YUN, D. J. DiMARIA, and G. A. SCOGGAN, / . AppL Phys., 47,
1599 (1976).

661
REFERENCES

1286 F . N. COPPAGE and E. D. GRAHAM, JR., IEEE Trans. Nucl. Sei., 19, 320 (1972).
1287 J. D. A S H N E R , IEEE Trans. Electron. Dev., 18, 384 (1971).
1288 S. C. CHEN and J. R. SROUR, IEEE Trans. Nucl. Sei., 26, 4824 (1979).
1289 K. H. Z A I N I N G E R and A. S. WAXMAN, IEEE Trans. Electron. Dev., 16, 333 (1969).
1290 K. M. SCHLESIER, J. M. SHAW, and C. W. BENYON, JR., RCA Rev., 37, 358 (1976).
1291 G. W. H U G H E S and G. J. BRUCKER, Solid State Technol., 22 (7), 70 (1979).
1292 P . J. VAIL, IEEE Trans. Nucl. Sei., 25, 1196 (1978).
1293 D . M . LONG, IEEE Trans. Nucl. Sei., 27, 1674 (1980).
1294 H . L. H U G H E S , IEEE Trans. Nucl. Sei., 26, 5053 (1979).
1295 J. E. SCHROEDER, R. L. LICHTEL, and B. L. G I N G E R I C H , IEEE Trans. Nucl.
. Set., 28, 4033 (1981).
1296 R. K. SMELTZER, IEEE Trans. Nucl. Sei., 27, 1745 (1980).
1297 K. S T E E P L E S , I. J. SAUNDERS, and J. G. SMITH, IEEE Electron. Dev. Lett., 1,
72 (1980).
1298 A. T. MACRANDER, B. SCHWARTZ, and M. W. FOCHT, / . Appl. Phys., 55, 3595
(1984).
1299 A. HOLMES-SIEDLE and L. ADAMS, IEEE Trans. Nucl. Sei., 29, 1975 (1982).
1300 R. G. FRAASS and R. W. TALLON, IEEE Trans. Nucl. Sei., 25, 1613 (1978)
1301 H . A . H E R R M A N N and H . H E R Z E R , / . Electrochem. Soc, 122, 1568 (1975).
1302 A. H . BOBECK and H. E. D. SCOVIL, Sei. Am., 224 (6), 78 (1971).
1303 W. K E R N and C. A. D E C K E R T , ref. [2], Ch. V-l, p . 401.
1304 H. C. GATOS, ed., "The Surface Chemistry of Metals and Semiconductors", Wiley, New
York, 1960.
1305 P. J. HOMES, ed., "The Electrochemistry of Semiconductors", Academic Press, New
York, 1962.
1306 S. K. GHANDHI, ref. [4826], Ch. 7.
1307 R. GLANG and L. V. GREGOR, ref. [1], Ch. 7.
1308 A. K. V I J H , "Electrochemistry of Metals and Semiconductors", Dekker, New York, 197X
1309 W. R. RUNYAN, ref. [801], Chs. 1, 2, 7 and 9.
1310 H . G. H U G H E S and M. J. RAND, eds., "Etching for Pattern Definition", Electrochem.
S o c , Princeton, N J , 1976.
1311 M. J. P R Y O R and R. W. STAEHLE, in "Treatise on Solid State Chemistry" (N. B .
HANNAY, ed.), Vol. 4, Ch. 9, Plenum, New York, 1976.
1312 R. J. RYAN, E. B. DAVIDSON, and H. O. HOOK in "Handbook of Materials and
Processes for Electronics" (C. A. Harper, ed.), Ch. 14, McGraw-Hill, New York, 1970.
1313 W. T E G E R T , "The Electrolytic and Chemical Polishing of Metals", 2nd ed., Pergamon
Press, Oxford, 1959.
1314 H . C. GATOS and M. C. LA VINE, Prog. Semicond., 9, 1 (1965).
1315 T. C. HARMAN and I. MELNGAILIS, Appl. Solid State Sei., 4, 1 (1974).
1316 B . TUCK, / . Mater. Sei., 10, 321 (1975).
1317 D. J. STIRLAND and B. W. STRAUGHAN, Thin Solid Films, 3 1 , 139 (1976).
1318 W\ K E R N , RCA Rev., 39, 278 (1978).
1319 A. H . AGAJANIAN, Solid State Technol., 16 (12), 73 (1973); 18 (4), 61 (1975); 20 (1),
36 (1977).
1320 P. BURGGRAAF, Semicond. Int., 6 (2), 48 (1983).
1321 C . W . WILMSEN and D. SZPAK, Thin Solid Films, 47, 17 (1977).
1322 P. VAN D E R P U T T E , L. J. GILLING, and J. BLOEM, / . Cryst. Growth, 4 1 , 133
(1977); P. VAN D E R P U T T E , W. J. P. VAN ENCKEVORT, L. J. GILING, and
J. BLOEM, Thin Solid Films, 43, 659 (1978).

562
REFERENCES

1323 R. J. WALSH and A. H . H E R Z O G , U. S. Pat. 3,170,273 (1963).


1324 E. MENDEL, Semicond. Prod. Solid State Technol., 10 (8), 27 (1967).
1325 J. T. LAW, Solid State Technol., 14 (1), 25 (1971).
1326 L. H . BLAKE and E. MENDEL, Solid State Technol., 13 (1), 42 (1970).
1327 E. M E N D E L and K. YANG, Proc IEEE, 57, 1476 (1969).
1328 P. RAI-CHOUDHURY, / . Electrochem. Soc, 118, 1183 (1971).
1329 K. E. BEAN, IEEE Trans. Electron. Dev., 25, 1185 (1978).
1330 E. BASSOUS, IEEE Trans. Electron. Dev., 25, 1178 (1978).
1331 H . A. W A G G E N E R , R. C. K R A G N E S S , and A. L. T Y L E R , Electronics, 40 (23),
274 (1967).
1332 D. B. L E E , / . Appl. Phys., 40, 4569 (1969).
1333 D. F . W E I R R A U C H , / . Appl. Phys., 46, 1478 (1975).
1334 H . R O B B I N S and B. SCHWARTZ, / . Electrochem. Soc. 106, 505, 1020 (1959); 107,
108 (1960).
1335 B. SCHWARTZ and H. R O B B I N S , / . Electrochem. Soc, 108, 365 (1961); 123, 1903
(1976).
1336 D. L. K L E I N and D. J. D* STEFAN, / . Electrochem. Soc , 109, 37 (1962).
1337 P . W A N G , Sylvania Tech., 11, 50 (1958).
1338 R. R. STEAD, U. S. P a t . 2,973,253 (1961).
1339 S. M. H U and D. R. K E R R , / . Electrochem. Soc, 114, 414 (1967).
1340 B. A. UNVALA, D. B. HOLT, and A. SAN, / . Electrochem. Soc, 119, 318 (1972).
1341 P. J. HOLMES, Proc Inst. Elect. Eng., P a r t B, Suppl. 17, 861 (1969).
1342 Telefunken AG, Brit. Pat. 962,335 (1964).
1343 A. I. STOLLER, R. F . S P E E R S , and S. O P R E S K O , RCA Rev., 3 1 , 265 (1970).
1344 J. F R E Y E R , / . Electrochem. Soc, 122, 1238 (1975).
1345 C. J. SCHMIDT, P . V. LENZO, and E. G. SPENCER, / . Appl. Phys., 48,4080 (1975),
1346 R. M. F I N N E and D. L. K L E I N , / . Electrochem. Soc, 114, 965 (1967).
1347 R. J. JACODINE," / . Appl. Phys., 36, 2811 (1965).
1348 B. A. I R V I N G , Br. J. Appl. Phys., 12, 92 (1961).
1349 C. RAETZEL, S. SCHILD, and H. SCHLÖTTERER, Electrochem. Soc. Extend. Abstr.,
74-2, 336 (1974).
1350 M. C H A P P E Y and P. M E R I T E T , Fr. Pat. 1,266,612, (1961).
1351 D. G. SCHIMMEL, / . Electrochem. Soc, 123, 734 (1976); 126, 479 (1979).
1352 E. S I R T L and A. ADLER, Z. Metallk., 52, 529 (1961).
1353 F . SECCO-D'ARAGONA, / . Electrochem. Soc, 119, 948 (1972).
1354 M. W R I G H T J E N K I N S , / . Electrochem. Soc, 124, 757 (1977).
1355 Allegheny Elect IC Chemical Co., Tech. Bull., 6, 6 (1958).
1356 W. C. DASH, / . Appl. Phys., 27, 1193 (1956); 29, 705 (1958).
1357 C. S. F U L L E R and J. A. D I T Z E N B E R G E R , / . Appl. Phys., 27, 544 (1956).
1358 J. F R A N K S , G. A. GEACH, and A. T. CHURCHMAN, Proc. Phys. Soc Lond., B68,
111 (1955).
1359 I. F . NICOLAU, Solid-State Electron., 12, 446 (1969).
1360 B. L. SOPORI, / . Electrochem. Soc, 131, 667 (1984).
1361 M. J. J. T H E U N I S S E N , J. A. A P P E L S , and W. H. C. G. V E R K U Y L E N , / . Electro-
chem. Soc, 117, 959 (1970).
1362 W. H O F F M E I S T E R , Int. J. Appl. Radiat. Isot., 2, 139 (1969).
1363 D. P. CLEMENS, Electrochem. Soc. Extend. Abstr., 73-2, 407 (1973).
136t4 E. BASSOUS, H. N. YU, and V. MANISCALCO, / . Electrochem. Soc, 123, 1729
(1976).

563
REFERENCES

1365 E. BASSOUS and E. F. BARAN, / . Electrochem. Soc, 125, 1321 (1978).


1366 C. R. B \ R A O N A and H. W. BRANDHORST, I E E E Photovoltaic Spec. Conf. P r o c ,
Scottsdale, AZ, p . 44, 1975.
1367 D. L. KENDALL, Appl. Phys., Lett., 26, 195 (1975).
1368 W. W. H A R V E Y and H. C. GATOS, / . Electrochem. Soc, 105, 654 (1958).
1369 M. J. DECLERCQ, L. G E R Z B E R G , and J. D. M E I N D L , / . Electrochem. Soc, 122,
545 (1975).
1370 A. REISMAN, M. B E R K E N B L I T , S. A. CHAN., F. B. KAUFMAN, and D. C. G R E E N ;
/ . Electrochem. Soc, 126, 1406 (1979).
1371 A. REISMAN, M. B E R K E N B L I T , C. J. MERZ, A. K. RAY, and D. C. GREEN,
/ . Electrochem. Soc, 127, 1208 (1980).
1372 M. ASANO, T. CHO, and H. M U R \ O K A , Electrochem. Soc. Extend. Abstr., 76-2,
911 (1976).
1373 W. K. ZWICKER and S. K. KURTZ, ref. [56], p . 315.
1374 A . I . STOLLER, RCA Rev. 31, 271 (1970).
1375 J. B. PRICE, ref. [56], p. 339.
1376 K. H. YANG, / . Electrochem. Soc, 131, 1140 (1984).
1377 D. J. DAY, G. W. R. MIDDLETON, T. W. J A N E S , J. C. W H I T E , and V. J. MIF-
SUD, / . Electrochem. Soc, 131, 407 (1984).
1378 N. F . RALEY, Y. SUGIYAMA, and T. VAN DUZER, / . Electrochem. Soc, 131, 161,
(1984).
1379 K. A. B E Z J I A N , H . I . SMITH, J. M. CARTER, and M. W. GEIS, / . Electrochem.
Soc, 129, 1848 (1982).
1380 O. J. GLEMBOCKI, R. E. STAHLBUSH, and M. TOMKIEWICZ, / . Electrochem. Soc,
132, 145 (1985).
1381 B. P E T I T , J. P E L L E T I E R , and R. MOLINS, / . Electrochem. Soc, 132, 982 (1985).
1382 J. W. FAUST, JR., and E. D. P A L I K , / . Electrochem. Soc, 130, 1413 (1983).
1383 E. D. PALIK, V . M . B E R M U D E Z , and O. J. GLEMBOCKI, / . Electrochem. Soc,
132, 135, 871 (1985).
1384 M. J. J. T H E U N I S S E N , / . Electrochem. Soc, 119, 351 (1972).
1385 H. J. A. VAN D I J K and J. De J O N G E , / . Electrochem. Soc, 117, 553 (1970).
1386 R. L. MEEK, / . Electrochem. Soc, 118, 437 (1971).
1387 C. P. W E N and K . P . W E L L E R , / . Electrochem. Soc, 119, 547 (1972).
1388 H . A . WAGGENER, Bell Syst. Tech. J., 49, 473 (1970).
1389 H. D. BARBER, H. B.LO, and J. E. J O N E S , / . Electrochem. Soc, 123, 1404 (1976).
1390 G. L. K U H N and C. J. R H E E , / . Electrochem. Soc, 120, 1563 (1973).
1391 A. BOHG, / . Electrochem. Soc, 118, 401 (1971).
1392 H . MURAOKA, T. O H H A S H I , and Y. SUMITOMO, ref. [56], p . 327.
1393 R. E. CHAPPELOW and P. T. LIN, / . Electrochem. Soc, 123, 913 (1976).
1394 E. D. PALIK, J. W. FAUST, JR., H . F . GRAY, and R. F . G R E E N E , / . Electrochem.
Soc, 129, 2051 (1982).
1395 V. D. ARCHER, / . Electrochem. Soc, 129, 2074 (1982).
1396 P. RAI-CHOUDHURY, / . Electrochem. Soc, 118, 266 (1971).
1397 L. J. STINSON, J. A. HOWARD, and R. C. NEVILLE, / . Electrochem. Soc, 123,
551 (1976).
1398 G. A. LANG and T. STAVISH, RCA Rev., 24, 488 (1963).
1399 K. SUGAW r ARA, / . Electrochem. Soc, 118, 110 (1971).
1400 T. J. M. K U I J E R , L. J. GILING, and J. BLOEM, / . Cryst. Growth, 22, 29 (1974).
1401 M. DRUMINSKI and R. GESSNER, / . Cryst. Growth, 3 1 , 312 (1975).

564
.REFERENCES

1402 L. V. GREGOR, P. BALK, and F . J. CAMPAGNA, IBM J. Res. Dev., 9, 327 (1965).
1403 E. R. L E V I N , J. P. D I S M U K E S , and M. D. COUTTS, / . Electrochem. Soc, 118,
1171 (1971).
1404 J. P. D I S M U K E S and R. ULMER, / . Electrochem. Soc, 118, 634 (1971).
1405 P. R A I - C H O U D H U R Y and A. J. N O R E I K A , / . Electrochem. Soc, 116, 539 (1969);
118, 634 (1971).
1406 T, L. CHU, G. A. GRUBER, and R. STICKLER, / . Electrochem. Soc, 113, 156 (1966).
1407 W. G. OLDHAM and R. HOLMSTROM, / . Electrochem. Soc, 114, 381 (1967).
1408 M. CHEN, V. J. MINKIEWICZ, and K. L E E , / . Electrochem. Soc, 126, 1946 (1979).
1409 D. F . W E S T O N and R. J. MATTOX, / . Vac Sei. Technol, 17, 466 (1980).
1410 H. F . W I N T E R S and J. W. COBURN, Appl. Phys. Lett., 34, 70 (1979).
1411 C. W. PEARCE, / . Electrochem. Soc, 128, 920 (1981).
1412 T. L. CHU and S. S. CHU, Appl. Phys., Lett., 48, 1783 (1986).
1413 M. F . EHMAN, J. W. FAUST, JR., and W. B. W H I T E , / . Electrochem. Soc, 118,
1443 (1971).
1414 P . R. CAMP, / . Electrochem. Soc. 102, 586 (1955).
1415 J . P . McKELVEY and R. L. LONGINI, / . Appl. Phys., 25, 634 (1954).
1416 B. W. BATTERMAN, / . Appl. Phys., 28, 1236 (1957).
1417 F . L. VOGEL, W. G. PFANN, H . E. COREY, and E. E. THOMAS, Phys. Rev., 90,
489 (1953).
1418 R. D. H E I D E N R E I C H , U.S. Pat. 2,619,414 (1952).
1419 B. SCHWARTZ, / . Electrochem. Soc, 114, 285 (1967).
1420 G. A. GEACH., B. A. IRVING, and R. P H I L L I P S , Research {London), 10, 411 (1957).
1421 S. KAWAGA, T. MIKAWA, and T. KANEDA, Jpn. J. Appl. Phys., 2 1 , 1616 (1982).
1422 G. R. B O O K E R and R. STICKLER, / . Electrochem. Soc, 109, 1167 (1962).
1423 J. A. AMICK, E. A. ROTH, and H . G O S S E N B E R G E R , RCA Rev., 24, 473 (1963).
1424 A. R E I S M A N and M. B E R K E N B L I T , / . Electrochem. Soc, 112, 812 (1965).
1425 T. L. CHU and R. W. KELM, / . Electrochem. Soc, 116, 1261 (1969).
1426 M. INOUE, Jpn. J. Appl. Phys., 11, 1147 (1972).
1427 E. ÖILLIG, Proc Roy. Soc. London, A235, 37 (1956).
1428 R. H. W Y N N and C. GOLDBERG, / . Metals, 5, 436 (1955).
1429 W. C. ERDMAN and P. F . SCHMIDT, U.S. Pat. 3,738, 881 (1973).
1430 J . W . FAUST, JR., in "Silicon Carbide" (J.R.O'Connor and J. Smiltens, eds.) p. 403,
Pergamon Press, Oxford, 1960.
1431 J . M . H A R R I S , H . C. GATOS, and A. F . W I T T , / . Electrochem. Soc, 116, 380 (1969).
1432 T. L. CHU and D. R. CAMPBELL, / . Electrochem. Soc, 112, 955 (1965).
1433 R. W. B A R T L E T T and M. BARLOW, / . Electrochem. Soc, 117, 1436 (1970).
1434 J . M . H A R R I S , H. C. GATOS, and A. F . W I T T , / . Electrochem. Soc, 116, 679(1969).
1435 J. A. EDMOND, J. W. PALMOUR, and R. F . DAVIS, / . Electrochem. Soc, 133,
650 (1983).
1436 M. TAKIGAWA, T. SATOH, and K. SHOHNO, / . Electrochem. Soc, 122, 324(1975).
1437 T. L. CHU, M. GILL, and S. S. CHU, / . Electrochem. Soc. 123, 259 (1976).
1438 T. MIZUTANI, H . ASANO, T. NISHINAGA, and S. UCHIYAMA, Jpn. J. Appl.
Phys., 16, 1629 (1977).
1439 H. C. GATOS and M. C. LAVINE, / . Electrochem. Soc, 107, 427 (1960).
1440 J . I . PANKOVE, / . Electrochem. Soc, 119, 1118 (1972).
1441 A. SHINTANI and S. MINAGAWA, / . Electrochem. Soc, 123, 706 (1976).
1442 Y. MOROMOTO, / . Electrochem. Soc, 121, 1383 (1974).

565
REFERENCES

1443 C. S. F U L L E R and H . W. ALLISON, / . Electrochem. Soc, 190, 880 (1962).


1444 M. I. VAL'KOVSKAYA and Y. S. BOYARSKAYA, Sov. Phys.-Solid State, 8, 1976
(1967).
1445 R. H. SAUL, / . Electrochem. Soc, 115, 1185 (1968).
1446 T. URAGAKI, H . YAMANAKA, and M. INOUE, / . Electrochem. Soc. 123, 580 (1976).
1447 N. E. SCHUMAKER, M. K U H N , and R. A. F U R N A G E , IEEE Trans. Electron. Dev.,
18, 627 (1972).
1448 E. H A J K O V A and R. TREMONT, Phys. Stat. Sol., A10, K35 (1972).
1449 W. H. HACKETT, JR., T. E. McGAHAN, E. W. DIXON, and G. W. KAMMLOTT,
J. Electrochem. Soc, 119, 973 (1972).
1450 N. E. SCHUMAKER and G. A. ROZGONYI, / . Electrochem. Soc, 119, 1233 (1972).
1451 W. G. OLDHAM, Electrochem. Technol., 3, 57 (1965).
1452 A. G. SIGAI, C. J. N U E S E , R. E. ENSTOM, and T. ZAMEROWSKI, / . Electrochem.
Soc, 120, 947 (1973).
1453 L. R A N K E L P L A U G E R , / . Electrochem. Soc, 121, 455 (1974).
1454 A. MILCH, / . Electrochem. Soc, 123, 1256 (1976).
1455 B. D. CHASE, D. B. HOLT, and B. A. UNVALA, / . Electrochem. Soc, 119, 310
(1972).
1456 B. D. CHASE and D. B. HOLT, / . Electrochem. Soc, 119, 314 (1972).
1457 R. L. M E E K and N. E. SCHUMAKER, / . Electrochem. Soc, 119, 1148 (1972).
1458 B. SCHWARTZ, F . ERMANIS, and B. H. BRA.STAD, / . Electrochem. Soc, 123,
1089 (1976).
1459 M. I S H I I , R. H I R A N O , H . KAN, and A. ITO, Jpn. J. Appl. Phys., 15, 645 (1976).
1460 M. S. ABRAHAMS and C. J. BUIOCCHI, / . Appl. Phys., 36, 2855 (1965).
1461 T. SAITOH, S. MATSUBARA, and S. MINAGAWA, / . Electrochem, Soc, 122, 670
(1975).
1462 P. J. DEASLEY, S. J. T. OWEN, and P. W. W E B B , / . Mater. Sei., 5, 1054 (1970).
1463 T. IIZUKA, / . Electrochem. Soc, 121, 1085 (1974).
1464 C. W E R K H O V E N , / . Electrochem. Soc, 125, 671 (1978).
1465 T. J. H A Y E S , A. RASUL, and S. M. DAVIDSON, / . Electron. Mater., 5, 351 (1976).
1466 D. C. DOBBS, T. MIYAZAKI, and Y. S. PARK, / . Electrochem. Soc, 125, 347 (1978).
1467 I. SHIOTA, K. MOTOYA, T. OHMI, N. MIYAMOTO, and J. NISHIZAWA, / . Electro-
chem. Soc, 124, 155 (1977).
1468 D . W . S H A W , / . Electrochem. Soc, 113, 958 (1966).
1469 J. C. DYMENT and G. A. ROZGONYI, / . Electrochem. Soc, 118, 1346 (1971).
1470 V . L . RIDEOUT, / . Electrochem. Soc, 119, 1778 (1972).
1471 E. W. J E N S E N , Solid State Technol., 16 (8), 49 (1973).
1472 J. G. W H I T E and W. C. R O T H , / . Appl. Phys., 30, 946 (1959).
1473 T. H. Y E H and A. E. B L A K E S L E E , / . Electrochem. Soc, 110, 1018 (1963).
1474 H . K. K U I K E N and R. P. T I J B U R G , / . Electrochem. Soc, 130, 1722 (1983).
1475 S. ADACHI and K. OE, / . Electrochem. Soc, 131, 126 (1984).
1476 S. P . YENIGALLA and C. L. GHOSH, / . Electrochem. Soc, 130, 1377 (1983).
1477 Y. M O R I a n d N . WATANABE, / . Electrochem. Soc, 125, 1510(1978).
1478 J. VAN D E VEN, J. L. W E Y H E R , J. E. A. M. VAN D E N M E E R A K K E R , and J. J.
KELLY, / . Electrochem. Soc, 133, 799 (1986).
1479 J. VAN D E VEN, J. E. A. M. VAN D E N M E E R A K K E R , and J. J. KELLY, / . Elec-
trochem. Soc, 132, 3020 (1985).
1480 R. D. PACKARD, / . Electrochem. Soc, 112, 871 (1965).
1481 J. L. R I C H A R D S and A. J. CROCKER, / . Appl. Phys., 3 1 , 611 (1960).

566
REFERENCES

1482 J. G. W H I T E and W. C. R O T H , / . Appl. Phys., 3 1 , 611 (1960).


1483 D. N. NASLEDOV, A. Y. PATRAJKOVA, and B. V. TSATVENKOV, Zh. Tech. Fiz.t
28, 779 (1958).
1484 E. B I E D E R M A N N and K. BRACK, / . Electrochem. Soc, 113, 1088 (1966).
1485 Y. T A R U I , Y. KOMIYA, and Y. HARADA, / . Electrochem. Soc, 118, 118 (1971).
1486 J. G. GRABMAIER and C. B. WATSON, Phys. Stat. Sol., 32, K13 (1969).
1487 J. J. GANNON and C. J. N U E S E , / . Electrochem. Soc, 121, 1215 (1974).
1488 M. OTSUBO, T. ODA, H. KUMABE, and H . M I K I , / . Electrochem. Soc, 123, 676
(1976).
1489 J. L. MERZ and R. A. LOGAN, / . Appl. Phys., 47, 3503 (1976).
1490 L. A. KOSZI. and D. L. RODE, / . Electrochem. Soc, 122, 1676 (1975).
1491 D. N. MacFAYDEN, / . Electrochem. Soc, 130, 1934 (1983).
1492 S. ADACHI and K. OE, / . Electrochem. Soc, 130, 2427 (1983).
1493 C. LIN, L. CHOW, and K. MILLER, / . Electrochem. Soc, 117, 407, (1970); 123, 687
(1976).
1494 R. BHAT, D. J. BALIGA, and S. K. GHANDHI, / . Electrochem. Soc, 121, 1378
(1975).
1495 R. B H A T and S. K. GHANDHI, / . Electrochem. Soc, 124, 1447 (1977); 125, 771 (1978).
1496 S. I I D A and S. H I R O S E , Jpn. J. Appl. Phys., 4, 1025 (1965).
1497 T. NOZAKI and T. SAITO, Jpn. J. Appl. Phys., 11, 110 (1972).
1498 R. SANKARAN, S. B. H Y D E R , and S. G. BANDY, / . Electrochem. Soc, 126,
1241 (1979).
1499 M. H E YEN and P . BALK, / . Cryst. Growth, 53, 558 (1981).
1500 E. S. M E I E R A N , / . Appl. Phys., 36, 2544 (1965).
1501 J. P. SALERNO, / . C. C. FAN, and R. P . G A L E , / . Electrochem. Soc, 128, 1162(1981).
1502 Y. V. PLESKOV, Dokl. Akad. Nauk SSSR, 143, 1399 (1962).
1503 T. AMBRIDGE, C. R. ELLIOT, and M. M. FAKTOR, / . Appl. Electrochem., 3, 1
(1973); 4, 135 (1974).
1504 C. J. N U E S E and J. J. GANNON, / . Electrochem. Soc, 117, 1094 (1970).
1505 A. YAMAMOTO and S. YANO, / . Electrochem. Soc, 122, 260 (1975).
1506 D. L. RODE, B. SCHWARTZ, and J. V. DILORENZO, Solid-State Electron., 17, 1119
(1974).
1507 P . D . G R E E N E , ref. [74], Ser. 33a, Ch. 3, p . 141.
1508 R. P . T I J B U R G and T. VAN DONGEN, / . Electrochem. Soc. 123, 687 (1976).
1509 K. K E N E F I C K , / . Electrochem. Soc, 129, 1380 (1982).
1510 S. I I D A and K. ITO, / . Electrochem. Soc, 118, 768 (1971).
1511 G. H . OLSEN and V. S. BAN, Appl. Phys. Lett., 28, 734 (1976).
1512 W. T. TSANG and S. WANG, Appl. Phys. Lett., 28, 44 (1976).
1513 M. V. SULLIVAN and G. A. KOLB, / . Electrochem. Soc, 110, 585 (1963).
1514 L. HOLLAN, J. C. TRANCHART, and R. MEMMING, / . Electrochem. Soc, 125,
(1975). 855 (1979).
1515 D . W . SHAW, / . Electrochem. Soc, 128, 874 (1981).
1516 W. F . TSENG, H . L E S S O F F , and R. GORMAN, / . Electrochem. Soc, 132, 3067 (1985).
1517 J . W . F A U S T and A. SAGAR, / . Appl. Phys., 3 1 , 331 (1960).
1518 B . TUCK and A. J. B A K E R , / . Mater. Sei., 8, 1559 (1973).
1519 T. INADA, S. TAKA, and K. KODAMA, / . Electrochem. Soc, 131, 1401 (1984).
1520 P . H . L. NOTTEN, / . Electrochem. Soc, 131, 2641 (1984).
1521 S. N . G. CHU, C. M. JODLAUK, and A . A . BALLMAN, / . Electrochem. Soc, 129,
352 (1982).

567
REFERENCES

1522 J. A. LOURENCO, / . Electrochem. Soc, 131, 1914 (1984).


1523 L. W. STULZ and L. A. COLDREN, / . Electrochem. Soc, 130, 1628 (1983).
1524 S. ADACHI and H . KAWAGUCHI, / . Electrochem. Soc, 128, 1342 (1981).
1525 S. UEKUSA, K. OIGAWA, and M. TACANO, / . Electrochem. Soc, 132, 671 (1985).
1526 S. N. G. CHU and T. T. S H E N G , / . Electrochem. Soc, 131, 2663 (1984).
1527 S. ADACHI, / . Electrochem. Soc, 129, 609 (1982).
1528 S. ADACHI, Y. NOGUCHI, and H . KAWAGUCHI, / . Electrochem. Soc, 129, 1524
(1982).
1529 S. ADACHI, Y. NOGUCHI, and M. KAWAGUCHI, / . Electrochem. Soc, 129, 1053
(1982).
1530 S. ADACHI, H. KAWAGUCHI, and G. IWANE, / . Electrochem. Soc, 129, 883 (1982).
1531 G. A. F E R R A N T E , J. P. DONNELLY, and C. A. ARMIENTO, / . Electrochem. Soc,
130, 1222 (1983).
1532 Y. N I S H I T A N I and T. KOTANI, / . Electrochem. Soc, 126, 2269 (1979).
1533 J. C H E V R I E R , A. M. H U B E R , and N . T . L I N H , / . Cryst. Growth, 54, 369 (1981).
1534 K. PAK, Y. K O I D E , K. IMAI, A. YOSHIDA, T. NAKAMURA, Y. YASUDA, and
T. NISHINAGA, / . Electrochem. Soc, 133, 2204 (1986).
1535 A. R. CLAWSON, / . Vac Sei. Technol., A3, 1040 (1985).
1536 H. L. CHANG and L. G. M E I N E R S , / . Vac. Sei. Technol., B 3 , 1625 (1985).
1537 E. P . W A R E K O I S and P . H . METZGER, / . Appl. Phys., 30, 960 (1959).
1538 L. B E R N S T E I N , / . Electrochem. Soc, 109, 270 (1962).
1539 B. L. SHARMA, Solid-State Electron., 9, 728 (1966).
1540 J. D. VENABLES and R. M. BROUDY, / . Appl.Phys., 29, 1025 (1958).
1541 J . W . ALLEN, Philos. Mag., 2, 1455 (1957).
1542 J. F . DEWALD, / . Electrochem. Soc, 104, 244 (1957).
1543 A. F . W I T T , / . Electrochem. Soc, 114, 298 (1967).
1544 T. KOBAYASHI and K. SUGIYAMA, Jpn. J. Appl. Phys., 12, 619 (1973).
1545 E. P . W A R E K O I S , M. C. LAVINE, A. N. MARIANO, and H . C. GATOS, J. Appl.
Phys., 33, 690 (1962).
1546 A. SAGAR, W. LEHMAN, and J. W. FAUST, / . Appl. Phys., 39, 5336 (1968).
1547 H . IWANAGA, N. SHIBATA, and K. MOCHIZUKI, / . Cryst. Growth, 67, 97 (1984).
1548 J. WOODS, Br. J. Appl. Phys., 11, 296 (1960).
1549 V. Y. P I C K H A R D T and D. L. SMITH, / . Electrochem. Soc, 121, 1064 (1974).
1550 M. V. SULLIVAN and W. R. BRACHT, / . Electrochem. Soc, 114, 295 (1967).
1551 A . A . P R I T C H A R D and S. WAGNER, / . Electrochem. Soc, 124, 961 (1977).
1552 H. J. H O V E L and J. J. U R G E L L , / . Appl. Phys., 42, 5076 (1971).
1553 M. INOUE, I. TERAMOTO, and S. TAKAYANAGI, / . Appl. Phys., 33, 2578, (1962).
1554 P. GAUGASH and A. G. MILNES, / . Electrochem. Soc, 128, 924 (1981).
1555 Y.-C. LU, R. K. ROUTE, D. E L W E L L , and R. S. F E I G E L S O N , / . Vac Sei. Technol.,
A3, 264 (1985).
1556 S. G. P A R K E R and J. E. P I N N E L L , / . Electrochem. Soc, 118, 1868 (1971).
1557 R. T. TALASEK and A. J. SYLLAIOS, / . Electrochem. Soc, 132, 656 (1985).
1558 R. F . B R E B R I C K and W. W. SCANLON, J. Chem. Phys., 27, 607 (1957).
1559 G. A. F E R R A N T E , M. C. LAVINE, T. C. HARMAN, and J. P. DONNELLY, J.
Electrochem. Soc, 120, 310 (1973).
1560 H. P R E I E R and H . P F E I F F E R , / . Electrochem. Soc, 121, 1085 (1974).
1561 H . ABRAMS and R. N. TAUBER, / . Electrochem. Soc, 116, 103 (1969).
1562 M. K. NORR, / . Electrochem. Soc, 109, 433 (1962).
1563 E. L E V I N E and R. N. TAUBER, / . Electrochem. Soc, 115, 107 (1968).

568
REFERENCES

1564 A. R. CALAWA, T. C. HARMAN, M. F I N N , and P„ YOUTZ, Trans. Met. Soc. AI ME*


242, 374 (1968).
1565 J. S. J U D G E , / . Electrochem. Soc, 118, 1772 (1971).
1566 C. C. MAI and J. C. LOONEY, Semicond. Prod. Solid State Technol., 9 (1), 19 (1966).
1567 W. A. P L I S K I N , Thin Solid Films 2, 1 (1968).
1568 W. K E R N , RCA Rev. 29, 557 (1968); 37, 55, 78 (1976).
1569 L. E. KATZ and W. C. ERDMAN, / . Electrochem. Soc, 123, 1249 (1976).
1570 I. J. PUGACZ-MURASZKIEWICZ and B. R. HAMMOND, / . Vac. Sei. Technol., 14,
49 (1977).
1571 P . J. HOLMES and J. E. SNELL, Microelectron, Reliab., 5, 337 (1966).
1572 R. L. B E R S I N and R. F . R E I C H E L D E R F E R , Solid State Technol., 20 (4), 78 (1977).
1573 G. I. P A R I S I , S. E. HASZKO, and G. A. ROZGONYI, J. Electrochem. Soc, 124,
917 (1977).
1574 H . N I E L S E N and D. HACKLEMAN, / . Electrochem. Soc, 130, 708 (1983).
1575 W. K E R N , ref. [1310], p . 1.
1576 L. R A N K E L P L A U G E R , / . Electrochem. Soc, 120, 1428 (1973).
1577 A. S. T E N N E Y and M. GHEZZO, / . Electrochem. Soc, 120, 1091 (1973).
1578 L. HALL, / . Electrochem. Soc, 118, 1506 (1971).
1579 P. J. TSANG, R. M. ANDERSON, and S. CVIKEVICH, / . Electrochem. Soc, 123,
57 (1976).
1580 K. M. SCHLESIER, J. M. SHAW, and C. W. BENYON, JR., RCA Rev., 37, 358 (1976).
1581 G. C. SCHWARTZ and V. PLATTER, / . Electrochem. Soc, 122, 1508 (1975).
1582 J. GROSSMAN and D. S. HERMAN, / . Electrochem. Soc, 116, 674 (1969).
1583 H . M. DAY, A. CHRISTOU, W. H . W E I S E N B E R G E R , and J. K. H E R V O N E N ,
/ , Electrochem. Soc, 122, 769 (1975).
1584 C. A. D E C K E R T , / . Electrochem. Soc, 124, 320 (1978); 127, 2433 (1980).
1585 W. VAN G E L D E R and V. E. H Ä U S E R , / . Electrochem. Soc, 144, 869 (1967).
1586 N. C. TOMBS and F . A. SEWELL, JR., / . Electrochem. Soc, 115, 101 (1968).
1587 F . WOITSCH, Solid State Technol., 11 (1), 29 (1968).
1588 W. L A N G H E I N R I C H and D. E I S B R E N N E R , Metallober., 25, 16 (1971).
1589 A. REISMAN, M. B E R K E N B L I T , S. Z I R I N S K Y , and S. A. CHAN, / . Electrochem.
Soc, 126, 1004 (1979).
1590 A. REISMAN, M. B E R K E N B L I T , J. CUOMO, and S. A. CHAN, / . Electrochem. Soc,
118, 1653 (1971).
1591 M. F . EHMAN, / . Electrochem. Soc, 121, 1240 (1974).
1592 M. SAFDAR, G. H . FRISCHAT, and H. SALGE, / . Am. Ceram. Soc, 57, 106(1974).
1593 B. SIESMAYER, R. HEIMANN, and W. F R A N K E , / . Cryst. Growth, 28, 157(1975).
1594 P. H. ROBINSON and R. O. WANCE, RCA Rev., 34, 616 (1973).
1595 J. BLOEM and B . BOSMAN, Philips Res. Repts., 30, 206 (1975).
1596 H . M. MANASEVIT, / . Electrochem. Soc, 121, 293 (1974); 115, 434 (1968).
1597 J . M . G R E E N , / . Electrochem. Soc, 119, 1765 (1972).
1598 R. G. VARDIMAN, / . Electrocheyn. Soc, 118, 1804 (1971).
1599 "Materials for Research*', Catalogue No. 1035-AMD, p . 138, Materials Research Corpora-
tion, Orangeburg, N Y (1977).
1600 I. H A L L E R , M. HATZAKIS, and R. SRINIVASAN, IBM J. Res. Dev., 12, 251(1968).
1601 J. J. K E L L Y and C. H. De M I N J E R , / . Electrochem. Soc, 122, 931 (1975).
1602 T. AGATSUMA, A. K I K U C H I , K. NABADA, and A. TOMOZAWA, / . Electro-
chem. Soc, 122, 825 (1975).
1603 J . B . MOONEY and J. O. McCALDIN, / . Electrochem. Soc, 124, 625 (1977).

569
REFEIRENCES

1604 D. M. BROWN, W. R. CADY, J. W. SPRAGUE, and P . J. SALVAGNY, IEEE Trans.


Electron. Dev., 18, 931 (1971).
1605 M. ZAMIN, P . MAYER, and M. K. MURTHY, / . Electrochem. Soc, 124, 1558, (1977).
1606 H. K. JOHNSTON and T. L. LARSON, U.S. Pat. 3, 702, 273 (1972).
1607 M . S . SHIVARAMAN and C M . SVENSSON, / . Electrochem. Soc, 123, 1258 (1976).
1608 M. J. RAND and J. F . R O B E R T S , Am. Phys. Lett, 24, 49 (1974).
1609 R. F . F R A N K E N T H A L and D . H . EATON, / . Electrochem. Soc, 123, 703 (1976).
1610 F.OKAMOTO, Jpn. J. Appl. Phys., 13, 383 (1973).
1611 J. GROSSMAN and D. S. HERMAN, / . Electrochem. Soc, 116, 674 (1969).
1612 H . M. DAY, A. CHRISTOU, W . H . W E I S E N B E R G E R , and J. K. H E R V O N E N ,
/ . Electrochem. Soc, 122, 769 (1975).
1613 Y. H. CHOO and O. F . D E V E R E U X , / . Electrochem. Soc, 123, 1868 (1976).
1614 B. J. BALIGA and S. K. GHANDHI, / . Electrochem. Soc, 124, 1059 (1977).
1615 H . B . B U L L I N G E R , U . S . Pat. 3,615,465 (1971).
1616 J . C . C. FAN and F . BACHNER, / . Electrochem. Soc, 122, 1719 (1975).
1617 J. A. THORNTON and V . L . HEDGCOTH, / . Vac. Sei. Technol., 13, 117 (1976).
1618 G. BRADSHAW and A. J. H U G H E S , Thin Solid Films, 33, L5 (1976).
1619 J. J. K E L L Y and G. J. KOEL, / . Electrochem. Soc, 125, 860 (1978).
1620 A. R. JANUS, / . Electrochem. Soc, 119, 392 (1972).
1621 P. H. HOLLOWAY and R. L. LONG, JR., IEEE Trans. Parts', Hybrids, Package
11, 83 (1975).
1622 S. J. LICHT, / . Electron. Mater., 4, 757 (1975).
1623 T. KASAI, Jpn. J. Appl. Phys., 14, 1421 (1975).
1624 D. C. MILLER, / . Electrochem. Soc, 120, 678, 1771 (1973).
1625 F . K U H N - K U H N E N F E L D , / . Electrochem. Soc, 119, 1063 (1972).
1626 R. W. H A Y N E S , G. M. METZE, V. G. K R E I S M A N I S , and L. F . EASTMAN, Appl.
Phys. Lett., 37, 344 (1980).
1627 R. J. VON GUTFELD and R. T. HODGSON, Appl. Phys. Lett., 40, 352 (1982).
1628 R. M. OSGOOD, JR., A. SANCHEZ-RUBIO, D. J. E H R L I C H , and V. DANEU,
Appl. Phys. Lett., 40, 391 (1982).
1629 G. C. TISONE and A. W. JOHNSON, Appl. Phys. Lett.,'42, 530 (1983).
1630 J. E. B J O R H O L M and A. A. BALLMAN, Appl. Phys. Lett., 43, 574 (1983).
1631 J. L. W E Y H E R and L. J. GILING, / . Appl. Phys., 58, 219 (1985).
v
1632 D. V. P O D L E S N I K , H . H . GILGEN, and R. M. OSGOOD, JR., Appl. Phys. Lett.,
43, 1083 (1983); 45, 563 (1984); 48, 496 (1986).
1633 A. YAMAMOTO a n d S. YANO, / . Electrochem. Soc, 122, 260 (1975).
1634 M. M. F A K T O R and J. L. STEVENSON, / . Electrochem. Soc, 125, 621 (1978).
1635 C. R. E L L I O T T and J. C. R E G N A U L T , / . Electrochem. Soc, 127, 1557 (1980).
1636 C. R. ELLIOTT and J. C. REGNAULT, / . Electrochem. Soc, 128, 113 (1981).
1637 F . W. OSTERMAYER, JR., and P . A . KOHL, Appl. Phys. Lett., 39, 76 (1981).
1638 P . A. KOHL, C. WOLOWODIUK, and F . W. OSTERMAYER, JR., / . Electrochem.
Soc, 130, 2288 (1983).
1639 R. BHAT, / . Electrochem. Soc, 132, 2284 (1985).
1640 R. D. R A U H and R. A. L e L I E V R E , / . Electrochem. Soc, 132, 2811 (1985).
1641 J. E. B O W E R S , B. R. HEMENWAY, and D. P . W I L T , Appl. Phys. Lett., 46, 453
(1985).
1642 R . M . LUM, F . W . OSTERMAYER, JR., P. A. KOHL, A. M. GLASS, and A. A .
BALLMAN, Appl. Phys. Lett., 47, 269 (1985).
1643 L. M. E P H R A T H , / . Electrochem. Soc, 129, 62C (1982).

570
REFERENCES

1644 P. J. MARCOUX, Hewlett-Packard J„ 33 (8), 19 (1982).


1645 Y. YASUDA, Thin Solid Films, 90, 259 (1982).
1646 J. W. COBURN and H . F . W I N T E R S , Annu. Rev. Mater. Sei., 13, 91 (1983).
1647 C. J. MOGAB, ref. [10], Ch. 8, p . 303.
1648 S. J. FONASH, Solid State TechnoL, 28 (1), 150 (1985).
1649 S. SOMEKH, / . Vac. Sei. TechnoL, 13, 1003 (1976).
1650 J. A. BONDUR, / . Vac. Sei. TechnoL, 13, 1023 (1976).
1651 C M . MELLIAR-SMITH and C. J. MOGAB, ref. [2], Ch. V-2, p . 497.
1652 J . W . COBURN and H. F . W I N T E R S , / . Vac. Sei. TechnoL, 16, 391 (1979).
1653 G. S. MATHAD, G. C. SCHWARTZ, and G. SMOLINSKY, eds.,, "Plasma Processing
1983" and "Plasma Processing 1984", Electrochemical Society, Pennington, N J , 1983
and 1984.
1654 J. DIELEMAN, R. G. F R I E S E R , and G. S. MATHAD, eds., "Plasma Processing
1982", Electrochemical Society, Pennington, N J , 1982.
1655 J. E. CURRAN, Thin Solid Films, 86, 101 (1981); T. G. O'NEILL, Semicond. Int.,
4 (4), 67 (1981).
1656 R. L. B E R S I N , Solid State TechnoL, 19 (5), 31 (1976), Microeledron. Manuf. Testing
7 (4), 40 (1984).
1657 A. R. R E I N B E R G , ref. [1653], p . 9 1 ; ref. [5353], Vol. 2, p . 1.
1658 J. A. BONDUR, / . Vac. Sei. TechnoL, 13, 1023 (1976).
1659 R. G. POULSEN, / . Vac. Sei. TechnoL, 14, 266 (1977).
1660 L. HOLLAND, / . Vac. Sei. TechnoL, 14, 5 (1977).
1661 A. JACOB, Solid State TechnoL, 19 (9), 70 (1976); 20 (6), 31 (1977); 21 (4), 95 (1978).
1662 J. DIELEMAN, Thin Solid Films, 86, 147 (1981).
1663 D. L. FLAMM and V. M. DONNELLY, Plasma Chem. Plasma Process., 1, 317 (1981).
1664 J . W . COBURN, Plasma Chem. Plasma Process., 2, 1 (1982).
1665 B. CHAPMAN, "Glow Discharge Processes—Sputtering and Plasma Etching", Wiley,
New York, 1980.
1666 R. H . SAWIN, Solid State TechnoL, 28 (4), 211 (1985).
1667 J. A. MUCHA, Solid State TechnoL, 28 (3), 123 (1985).
1668 R. A. MORGAN, "Plasma Etching in Semiconductor Fabrication", Elsevier, Amsterdam,
1985.
1669 R. A. POWELL, ed., "Dry Etching for Microelectronics", North-Holland, Amsterdam,
1984.
1670 T. SUGANO and H. KIM, eds., "Applications of Plasma Processes to VLSI Technology,
Wiley, New York, 1986.
1671 A. T. BELL, Solid State TechnoL, 21 (4), 89 (1978); ref. [208], p . 1.
1672 J. W. COBURN and E. KAY, IBM J. Res. Develop., 23, 33 (1979).
1673 J . W . COBURN and H . F . W I N T E R S , / . Vac. Sei. TechnoL, 16, 391 (1979).
1674 J . W . COBURN and H . F . W I N T E R S , / . Appl. Phys., 50, 3189 (1979).
1675 J . W . COBURN and M. CHEN, / . Vac. Sei. TechnoL, 18, 3531 (1981).
1676 J. W. COBURN and H . F . W I N T E R S , CRC Crit. Rev. Sol. St. Mat. Sei., 10, 119 (1981).
1677 H . F . W I N T E R S , J. W. COBURN, and T. J. CHUANG, / . Vac. Sei. TechnoL, B l ,
479 (1983).
1678 C. J. MOGAB, A. C. ADAMS, and D. L. FLAMM, / . Appl. Phys., 49, 3796 (1978).
1679 D. L. FLAMM, C. J. MOGAB, and E. R. SKLAVER, / . Appl. Phys., 50, 6211 (1979).
1680 G. SMOLINSKY and D. L. FLAMM, / . Appl. Phys., 50, 4982 (1979).
1681 D. L. FLAMM, Solid State TechnoL, 22 (4), 109 (1979).
1682 D. L. FLAMM, / . Appl. Phys., 5 1 , 5688 (1980).

571
REFERENCES

1683 D. L. FLAMM, P . L. COWAN, and J. A. GOLOVCHENKO, / . Vac. Sei. Technol.,


Yl, 1341 (1980).
1684 D. L. FLAMM, Plasma Chem. Plasma Process., 1, 37 (1981).
1685 D. L. FLAMM, V. M. DONNELLY, and D. E. IBBOTSON, / . Vac. Sei. Technot.,
B l , 23 (1983); Semicond. Int., 6 (4), 136 (1983); ref. [5353], Vol. 8, p . 190.
1686 E. A. T R U E S D A L E and G. SMOLINSKY, / . Appl. Phys., 50, 6594 (1979).
1687 E. A. T R U E S D A L E , G. SMOLINSKY, and T. M. MAYER, / . Appl. Phys., 5 1 ,
2909 (1980).
1688 C. J. MOGAB, / . Electrochem. Soc, 124, 1262 (1977).
1689 M. BARON and J. ZELEZ, Solid State Technol., 21 (9), 61 (1978).
1690 J. L. VOSSEN, / . Electrochem. Soc, 126, 319 (1979).
1691 R. F . R E I C H E L D E R F E R , Solid State Technol., 25 (4), 160 (1982).
1692 C. MULLINS, Solid State Technol., 25 (8), 88 (1982).
1693 G. S. MATHAD, Solid State Technol, 28 (4), 221 (1985).
1694 M. L. H I L L , and D. C. HINSON, Solid State Technol., 28 (4), 243 (1985).
1695 D. MANTEI and T. E. W I C K E R , Solid State Technol., 28 (4), 263 (1985).
1696 H. ITAKURA, H . KOMIYA, and K. UKAI, Solid State Technol., 25 (4), 209(1982).
1697 Y. H O R I I K E and M. SHIBAGAKI, ref. [57], p . 1071.
1698 K. SUZUKI, S. OKUDAIRA, N. SAKUDO, and I. KANOMATA, Jpn. J. Appl. Phys.y
16, 1979 (1977).
1699 K. SUZUKI, S. OKUDAIRA, S. NISHIMATSU, K. USAMI, and I. KANOMATA
/ . Electrochem. Soc, 129, 2764 (1982).
1700 C. B. ZAROWIN, / . Vac. Sei. Technol., A2, 1537 (1984); / . Appl Phys., 57, 929
(1985).
1701 J. P. ROLAND, P. J. MARCOUX, G. W. RAY, and G. H. R A N K I N , / . Vac. Sei.
Technol., A3, 631 (1985).
1702 E. O. D E G E N K O L B , C . J. MOGAB, M. R. GOLDRICK, and J. E. G R I F F I T H S , Appl.
Spectrosc, 3 1 , 134 (1977).
1703 R. G. P O U L S E N and G. M. SMITH, ref. [57], p . 1058.
1704 W. R. H A R S H B A R G E R and R. A. P O R T E R , Solid State Technol., 21 (4), 99 (1978).
1705 W. R. H A R S H B A R G E R , R. A. P O R T E R , and P. NORTON, / . Electron. Mater.,
7, 429 (1978).
1706 B. J. CURTIS and H. J. B R U N N E R , / . Electrochem. Soc, 125, 829 (1978).
1707 K. H I R O B E and T. TSUCHIMOTO, / . Electrochem. Soc, 127, 234 (1980).
1708 P. J. MARCOUX and P. D. FOO, Solid State Technol., 24 (4), 115 (1981).
1709 C. S. KORMAN, Solid State Technol., 25 (4), 115 (1982).
1710 H . KAWATA, T. SHIBANO, K. MURATA, and K. NAGAMI, / . Electrochem. Soc,
129, 1325 (1982).
1711 D. A. DANNER, D. L. FLAMM, and J. A. MUCHA, / . Electrochem. Soc, 130, 905
(1983).
1712 K. O. DARK and F . C. COCK, / . Electrochem. Soc, 131, 124 (1984).
1713 J. NISHIZAWA and N. HAYASAKA, Thin Solid Films, 92, 189 (1982).
1714 B. J. CURTIS, Solid State Technol., 23 (4), 129 (1980).
1715 P . A . HEIMANN and R. J. SCHUTZ, / . Electrochem. Soc, 131, 881 (1984).
1716 G. B. BUNYARD and B. A. RABY, Solid State Technol., 20 (12), 53 (1977); B. A.
RABY, / . Vac. Sei. Technol., 15, 205 (1978).
1717 H.L. BROWN, G. B. BUNYARD, and K. C. LIN, Solid State Technol., 21 (7), 35 (1978).
1718 K. C. L I N and J. D. BURDEN, J. Vac Sei. Technol., 15, 373 (1978).
1719 H . W. LEHMANN, E. H E E B , and K. FRICK, Solid State Technol., 24 (10), 69 (1981).

572
REFERENCES

1720 M. OSHIMA, Jpn. J. Appl. Phys., 20, 1255 (1978).


1721 D. L. SMITH and R. H. BRUCE, / . Electrochem. Soc, 129, 2045 (1982).
1722 H. P. K L E I N K N E C H T and H . MAIER, / . Electrochem. Soc, 125, 798 (1978).
1723 H . H . BUSTA, R. E. L A J O S , and D. A. K I E W I T , Solid State TechnoL, 22 (2), 61
(1979).
1724 M. STERNHEIM, W. VAN GELDER, and A. W. HARTMAN, / . Electrochem. Soc,
130, 655 (1983).
1725 P. A. HEIMANN, / . Electrochem. Soc, 132, 2003 (1985).
1726 G. F . MENDES, L. COSCATO, J. F R E J L I C H , E. S. BRAGA, and A. P. MAMMANA,
/ . Electrochem. Soc, 132, 190 (1985).
1727 K. UKAI and K. HANAZAWA, / . Vac Sei. TechnoL, 16, 385 (1979).
1728 M. L. HITCHMAN and V. E I C H E N B E R G E R , / . Vac. Sei. TechnoL, 17, 1378 (1980);
E. S. BULAT, G. F . DOYLE, and B. G E L E R N T , Semicond. Int., 5 (11), 113 (1982).
1729 Y. KAWAMOTO and N. HASHIMOTO, Jpn. J. Appl. Phys., Suppl., 18-1, 277 (1979).
1730 C. S T E I N B R U C H E L , J. Electrochem. S o c , 130, 648 (1983).
1731 D. L. FLAMM, V. M. DONNELLY, and J. A. MUCHA, / . Appl. Phys., 52, 3833
(1981).
1732 H. KAWATA, K. MURATA, and K. NAGAMI, / . Electrochem. Soc, 132,206 (1985).
1733 G. C. SCHWARTZ, L. B. ROTHMAN, and T. J. SCHOPEN, / . Electrochem. Soc,
126, 464 (1979).
1734 Y. T Z E N G and T. H. LIN, / . Electrochem. Soc, 133, 1443 (1986).
1735 G. SMOLINSKY, T. M. MAYER, and E. A. T R U E S D A L E , / . Electrochem. Soc, 129,
1770 (1982).
1736 K . M . E I S E L E , / . Electrochem. Soc, 128, 123 (1981).
1737 R. D'AGOSTINO and D. L. FLAMM, / . Appl. Phys., 52, 162 (1981).
1738 H. BOYD and M. S. TANG, Solid State TechnoL, 22 (4), 133 (1979).
1739 T. HONDA and W. W. BRANDT, / . Electrochem. Soc, 131, 2667 (1984).
1740 N. J. IANNO, K. E. G R E E N B E R G , and J. T. V E R D E Y E N , / . Electrochem. Soc,
128, 2174 (1981).
1741 I. I S H I I and W. W. BRANDT, / . Electrochem. Soc, 133, 1240 (1986).
1742 K. J. N O R D H E D E N and J. T. V E R D E Y E N , / . Electrochem. Soc, 133, 2168 (1986).
1743 A. J. WOYTEK, J. T. LILECK, and J. A. BARKANIC, Solid State TechnoL, 27 (3),
172 (1984).
1744 D. L. FLAMM, D. N. K. WANG, and D. MAYDAN, / . Electrochem. Soc, 129, 2755
(1982).
1745 D . H . BOWER, / . Electrochem. Soc, 129, 795 (1982).
1746 V. M. DONNELLY and D. L. FLAMM, Solid State TechnoL, 24 (4), 161 (1981).
1747 R. H. BRUCE, Solid State TechnoL, 24 (10), 64 (1981); / . Appl. Phys., 52, 7064(1981).
1748 R. H. BRUCE and A. R. R E I N B E R G , / . Electrochem. Soc, 129, 393 (1982).
1749 S. MATSUO, Appl. Phys. Lett., 36, 768 (1980).
1750 S. V E P R E K and V. MARECEK, Solid State Electron., 11, 683 (1968).
1751 A. P. W E B B and S. V E P R E K , Chem. Phys. Lett., 62, 173 (1979).
1752 R. P . H. CHANG and S. DARACK, Appl. Phys., Lett., 38, 898 (1981).
1753 R. P. H . CHANG, C. C. CHANG, and S. DARACK, / . Vac. Sei. TechnoL, 20, 45
(1982).
1754 R. D'AGOSTINO, F . CRAMAROSSA, S. DeBENETICTIS, and G. F E R R A R O , / .
Appl. Phys., 52, 1259 (1981).
1755 R. A. H . H E I N E C K E , Solid-State Electron., 18, 1146 (1975); 19, 1039 (1976).
1756 T. C. P E N N , IEEE Trans. Electron. Dev., 26, 640 (1979).

573
REFERENCES

1757 V. J. MINKIEWICZ and B. N. CHAPMAN, Appl. Phys. Lett, 34, 192 (1979).
1758 T. M. MAYER and J. H. McCONVILLE, / . Electron, Mater., 9, 513 (1980).
1759 H . TOYODA, H . KOMIYA, and H . ITAKURA, / . Electron. Mater., 9, 569 (1980).
1760 S. MATSUO, / . Vac. Sei. TechnoL, YJ9 587 (1980).
1761 T. YAMAZAKI, Y. SUZUKI, and H. NAKATA, / . Vac. Sei. TechnoL, 17, 1348 (1980).
1762 F . H . M . SANDERS, J. DIELEMAN, H. J. B . P E T E R S , a n d J. A. M. S A N D E R S ,
J. Electrochem. Soc, 129, 2559 (1982).
1763 V. M. DONNELLY, D. L. FLAMM, W. C. DAUTREMONT-SMITH, and D. J.
W E R D E R , / . Appl. Phys., 55, 242 (1984).
1764 R. N. CASTELLANO, Solid State TechnoL, 27 (5), 135 (1984).
1 7 6 5 ' p . H . S I N G E R , Semicond. Int., 9 ( 5 ) , 98 (1986).
1766 A . D . W E I S S , Semicond. Int., 6 (2), 56 (1983).
1767 H, ABE, Y. SONOBiE, and T. ENOMOTO, Jpn. J. Appl. Phys., 12, 154 (1973).
1768 H. ABE, / . Jap. Soc. Appl. Phys., Suppl., 44, 287 (1975).
1769 R. KUMAR, C. LADAS, and G. HUDSON, Solid State TechnoL, 19 (10). 54 (1976).
1770 R. L. MADDOX and H . L. P A R K E R , Solid State TechnoL, 21 (4), 107 (1978).
1771 K. J I N N O , H . K I N O S H I T A , and Y. MATSUMOTO, / . Electrochem. Soc, 124, 1258
(1977).
1772 C. J. MOGAB and H . J. L E V I N S T E I N , / . Vac. Sei. TechnoL, 17, 721 (1980).
1773 J. H A Y E S and T. PANDHUMSOPORN, Solid State Technot., 23 (11), 71 (1980).
1774 A . C . ADAMS and C. D. CAPIO, / . Electrochem. Soc, 128, 366 (1981); A . C . ADAMS,
Solid State TechnoL, 24 (4), 178 (1981).
1775 W. BEINVOGL, H . R. D E P P E , R. STOKAN, and B. H A S L E R , IEEE Trans. Electron.
Dev., 28, 1332 (1981).
1776 S. F . B E R G E R O N and B. F . DUNCAN, Solid State TechnoL, 25 (8), 98 (1982).
1777 M. W. J E N K I N S , M. T. MOCELLA, K. D. ALLEN, and H . H . SAWIN, Solid State
TechnoL, 28 175 (1985).
1778 K. D. ALLEN, H . H . SAWIN, M. T. MOCELLA, and M. W. J E N K I N S , / . Electro-
chem. Soc, ^133, 2315 (1986).
1779 K. D. ALLEN and H . H . SAWIN, / . Electrochem. Soc, 133, 2326 (1986).
1780 K. D. ALLEN, H. H . SAWIN, and A. YOKOZEKI, / . Electrochem. Soc, 133, 2331
(1986)..
1781 C. S. KORMAN, T. P. CHOW, and D. H . BOWER, Solid State TechnoL, 26 (1), 115
(1983).
1782 C. B. ZAROWIN, / . Electrochem. Soc, 130, 1144 (1983).
1783 B. E. THOMPSON and H. H. SAWIN, / . Electrochem. Soc, 133, 1887 (1986).
1784 R. W. L I G H T and H. B. BELL, / . Electrochem. Soc, 130, 1567 (1983).
1785 S. E. BERNACKI and B. B. KOSICKI, / . Electrochem. Soc, 131, 1926 (1984).
1786 M. NGUYEN, Semicond. Int., 9 (5), 110 (1986).
1787 P. C. CHANG and S. HSIA, Solid State TechnoL, 27 (4), 225 (1984).
1788 A. S. B E R G E N D A H L , D. L. HARMON, and N . T. PASCOE, Solid Slate TechnoL,
27 (11), 107 (1984).
1789 J. W. PALMOUR, R. F . DAVIS, T. M. WALLETT, and K. B. BHASIN, / . Vac
Sei. TechnoL, A4, 590 (1986).
1790 G. SMOLINSKY, R. P. CHANG, and T. M. MAYER, / . Vac. Set. TechnoL, 18, 12
(1981).
1791 D . E . OBBOTSON, D. L. FLAMM, and V. M. DONNELLY, / . Appl. Phys., 54, 5974
(1983).
1792 R. H . BURTON and G. SMOLINSKY, / . Electrochem. Soc, 129, 1599 (1982).

574
REFERENCES

1793 V. M. DONNELLY, D. L. FLAMM, C. W. TU, and D. E. IBBOTSON, / . Electro-


chem. Soc, 129, 2533 (1982).
1794 R. H . BURTON, H . TEMKIN, and V. G. K E R A M I D A S , Appl Phys. Lett., 37,
411 (1980).
1795 V. M. DONNELLY, D. L. FLAMM, and D. E. IBBOTSON, / . Vac. Sei. Technol., 1A,
626(1983).
1796 R. H. BURTON, C. L. H O L L I E N , L. MARCHUT, S. M. ABYS, G. SMOLISKY,
and R. A. GOTTSCHO, / . Appl. Phys., 54, 6663 (1983).
1797 J. F . BATTEY, / . Electrochem. Soc, 124, 147, 437 (1977); IEEE Trans. Electron.Dev.,
24, 140 (1977).
1798 M. A K T I K , Y. SEGUI, and A. BUI, / . Appl. Phys., 50, 6567 (1979).
1799 R. A. H . H E I N E C K E , Solid State Technol., 21 (4), 104 ("1978).
1800 K. TOKUNAGA and D. W. H E S S , / . Electrochem. Soc, 127, 928 (1980).
1801 D . W . H E S S , Solid State Technol., 24 (4), 189 (1981).
1802 K. TOKUNAGA, F . C. R E D E K E R , D. A. DANNER, and D. W. H E S S , / . Electro-
chem. Soc, 125, 851 (1981).
1803 D . W . H E S S , Plasma Chem. Plasma Process., 2, 141 (1982).
1804 R. H . BRUCE and G. P . MALAFSKY, / . Electrochem. Soc, 130, 1369 (1983).
1805 J. E. SPENCER, Solid State Technol., 27 (4), 203 (1984); L. KAMMERDINER, Solid
State Technol., 24 (10), 79 (1981); A. W E I S S , Semicond. Int., 5 (10), 69 (1982).
1806 A. LANDAUER KEATON, and D . W . H E S S , / . Vac Sei. Technol., A3, 962 (1985)
1807 H. NAKATA, K. N I S H I O K A and H. ABE, / . Vac. Sei. Technol., 17, 1351 (1980)
1808 Y. SUZUKI, T. YAMAZAKI, and H . NAKATA, Jpn. J. Appl. Phys., 21, 1328 (1982)
1809 T. YAMAZAKI, Y. SUZUKI, J. UNO, and H. NAKATA, / . Electrochem. Soc, 126
1794 (1979); Jpn. J. Appl. Phys., 19, 1371 (1980).
1810 T. P. CHOW and A. J. STECKL, / . Electrochem. Soc, 131, 2325 (1984).
1811 T. P. CHOW, and A. J. STECKL, / . Appl. Phys., 53, 553 (1982).
1812 T. P. CHOW, and A. J. STECKL, Appl. Phys. Lett., 37, 466 (1980).
1813 S. T A K A H A S H I , F . MURAI, and H. KODERA, IEEE Trans. Electron. Dev. 25,
1213 (1978).
1814 Y. K U R O G I and K. KAMIMURA, Jpn. J. Appl. Phys., 2 1 , 168 (1982).
1815 C. J. MOGAB and T. A. S H A N K O F F , / . Electrochem. Soc, 124, 1766 (1977).
1816 S. SOMEKH and H. C. CASEY, JR., Appl. Opt., 16, 126 (1977).
1817 S. SOMEKH, H. C. CASEY, JR., and M. LLEGEMS, Appl. Opt., 15, 1905 (1976).
1818 T. HARADA, K. GAMO, and S. NAMBA, Jpn. J. Appl. Phys., 20, 259 (1981).
1819 C. C. TANG and D. W. H E S S , / . Electrochem. Soc, 131, 115 (1984).
1820 S. P. MURARKA, / . Vac. Sei. Technol., 17, 775 (1980).
1821 F . R. W H I T E , C. W. K O B U R G E R , D. L. HARMON, and H. J. G E I P E L , / . Electro-
chem. Soc. ,129, 1330 (1982).
1822 A. K. SINHA, W. S. L I N D E N B E R G E R , D. B. F R Ä S E R , S. P. MURARKA and E. N.
F U L S , IEEE Trans. Electron. Dev., 27 1425 (1980).
1823 B. L. C R O W D E R and S. Z I R I N S K Y , IEEE Trans. Electron. Dev., 26, 369 (1979).
1824 R. S. B E N N E T T and T. P. CHOW, Solid State Technol., 28 (4), 193 (1985).
1825 M. E. COE and S. H. R O G E R S , Solid State Technol., 25 (8), 79 (1982).
1826 J . W . COBURN, Solid State Technol., 28 (4), 117 (1985); H . W. LEHMANN and R.
W I D M E R , Semicond. Int., 4 (4), 93 (1981).
1827 G. M. R E K S T E N , W. H O L B E R , and R. M. OSGOOD, JR., Appl. Phys., Lett., 48,
551 (1986).

575
REFERENCES

1828 W. H O L B E R , G. M. R E K S T E N , and R. M. OSGOOD, JR., Appl. Phys. Lett., 46


201 (1985).
1829 C. I. H . ASHBY, Appl. Phys. Lett., 45, 892 (1984).
1830 C. I. H. A S H B Y and R. M. B I E F E L D , Appl. Phys. Lett., 47, 62 (1985).
1831 N. HAYASAKA, H. OKANO, M. SEKINO, and Y. H O R I I K E , Appl. Phys. Lett., 48,
1165 (1986).
1832 P . J. H A R G I S , JR., and J. M. GEE, Solid State TechnoL, 27 (11), 127 (1984).
1833 R. T. C. TSUI, Solid State TechnoL, 10 (12), 33 (1967).
1834 R. H E I L , S. H U R W I T T , and W. H U S S , Solid State TechnoL, 11 (12), 42 (1968).
1835 J. L. VOSSEN and J. J. O'NEILL, RCA Rev., 29, 149 (1968).
1936 C. N. JACKSON, Thin Solid Films, 5, 209 (1970).
1837 L. L. F R I T Z , Solid State TechnoL, 14 (12), 43 (1971).
1838 L. I. MAISSEL, C. L. STANDLEY, and L. V. GREGOR, IBM. J. Res. Dev., 16
67 (1972).
1839 J. L. VOSSEN, and E. B. DAVIDSON, / . Electrochem. Soc, 119, 1708 (1972).
1840 D. C. HINSON, I. LIN, W. H. CLASS, and S. H U R W I T T , Semicond. Int., 6 (10),
(1983).
1841 T. H A Y A S H I and H . TSUKAMATO, Jpn. J. Appl. Phys., 12, 1457 (1973).
1842 K. YAMASAKI, K. ASAI, K. SHIMADA, and T. MAKIMURA, / . Electrochem. Soc,
129, 2760 (1982).
1843 H . KOTANI, H. Y A K U S H I J I , H . HARADA, K. TSUKAMOTO, and T. NISHIOKA,
/ . Electrochem. Soc, 130, 645 (1983).
1844 H . W. LEHMANN, L. KRAUSBAUER, and R. WIDMER, / . Vac Sei. TechnoL,
14, 281 (1977).
1845 H . OKANE, Y. H O R I K E , T. YAMAZAKI, and T. TOKURA, Jpn. J. Appl. Phys.,
23, 482 (1984).
1846 H . W. LEHMANN and R. WIDMER, / . Vac. Sei. TechnoL, 15,319 (1978); 17, 1177
(1980).
1847 P.M. SCHAIBLE, W.C. METZGER, and J . P . ANDERSON. / . Vac. Sei. TechnoL,
15, 334 (1978).
1848 G. C. SCHWARTZ and P. M. SCHAIBLE, / . Vac Sei. TechnoL, 16, 410 (1979).
1849 D. N. K. WANG, D. MAYDAN, and H. J. L E V I N S T E I N , Solid State TechnoL, 23 (8),
122 (1980); D. N. K. W A N G and D. MAYDAN, Solid State TechnoL, 24 (5), 121 (1981).
1850 L. M. E P H R A T H , IEEE Trans. Electron. Dev., 28, 1315 (1981); Solid State TechnoL,
25 (7), 87 (1982).
1851 C M . HORWITZ, IEEE Trans. Electron. Dev., 28, 1320 (1981).
1852 D. BOLLINGER, S. IIDA, and D. MATSUMOTO, Solid State TechnoL, 27 (5), 111
(1984); 27 (6), 167 (1984).
1853 H . OKANO, T. YAMAZAKI, and Y. H O R I I K E , Solid State TechnoL, 25 (4), 166 (1982).
1854 S. SCHULTHEIS, Solid State TechnoL, 28, (4), 233 (1985).
1855 E. BOGLE-ROWHER, D. GATES, L. H A Y L E R , H. K U R A S A K I , and B. RICHARD-
SON, Solid State TechnoL, 28 (4), 251 (1985).
1856 M. S H I B A G A K I and Y. H O R I I K E , Jpn. J. Appl. Phys., 19, 1579 (1980).
1857 N. ENDO and Y. K U R O G I , IEEE Trans. Electron. Dev., 27, 1346 (1980).
1858 R. G. F R I E S E R , F . J. MONTILLO, N. B. ZINGERMAN, W. K. CHU, and S. R.
MADER, / . Electrochem. Soc, 136, 2237 (1983).
1859 H. MATSUMOTO and T. SUGANO, Jpn. J. Appl. Phys., 22, 963 (1983).
1860 H. B. POGGE, J. A. BONDUR, and P. J. B U R K H A R D T , / . Electrochem. Soc, 130,
1592 (1983).

576
REFERENCES

1861 T. P. CHOW, S. ASHOK, B. J. BALTGA, and W. KATZ, / . Electrochem. Soc, 131,


156 (1984).
1862 K. H I R O B E and H. AZUMA, / . Electrochem. Soc, 132, 938 (1985).
1863 G. S. O E H R L E I N , R. M. TROMP, J. C. TSANG, Y. H. L E E , and E. J. P E T R I L L O ,
/ . Electrochem. Soc, 132, 1441 (1985).
1864 A. ROHATGI, P. RAI-CHOUDHURY, S. J. FONASH, P. L E S T E R , R. S I N G H ,
P. J. CAPLAN, and E. H. P O I N D E X T E R , / . Electrochem. Soc, 133, 408 (1986).
1865 J. A. MAHER, Semicond. Int., 6 (5), 110 (1983).
1866 S. MATSUO and Y. TAKEHARA, Jpn. J. AppL Phys., 16, 175 (1977).
1867 S. MATSUO, Jpn. J. AppL Phys., 17, 235 (1978).
1868 L. M. E P H R A T H , / . Electrochem. Soc, 126, 1419 (1979); L. M. E P H R A T H and
D. J. DiMARIA, Solid State TechnoL, 24 (4), 182 (1981).
1869 K. HIRATA, Y. OZAKI, M. ODA, and M. KIMIZUKA, IEEE Trans. Electron. Dev.,
28, 1323 (1981).
1870 R. W. L I G H T and F . C. SEE, / . Electrochem. Soc, 129, 1152 (1982).
1871 G. SMOLINSKY, E. A. T R U E S D A L E , D. N. K. WANG, and D. MA YD AN, / . Electro-
chem. Soc, 129, 1036 (1982).
1872 L. M. E P H R A T H and E. J. P E T R I L L O , / . Electrochem. Soc, 129, 2282 (1982).
1873 M. T. DUFFY, J. F . CORBOY, and R. A. SOLTIS, RCA Rev., 44, 157 (1983).
1874 J. S. CHANG, Solid State TechnoL, 27 (4), 214 (1984).
1875 D. H G . CHOE, C. K N A P P , and A. JACOB, Solid State TechnoL, 27 (4), 177 (1984); 28
(3), 105 (1985).
1876 C. S T E I N B R Ü C H E N H . W. LEHMANN, and K. F R I C H , / . Electrochem. Soc, 132,
180 (1985).
1877 A. G. NAGY, / . Electrochem. Soc, 132, 689 (1985).
1878 J. S. MERCIER, H. M. NAGUIB, V. Q. HO, and H. NENTWICH, / . Electrochem,
Soc, 132, 1219 (1985).
1879 E. D E G E N K O L B , K. O. PARK, J. B. SHORTER, and M. TABASKY, / . Electro-
chem. Soc, 132, 2027 (1985).
1880 K. O. P A R K and F . C. ROCK, / . Electrochem. Soc, 131, 214 (1984).
1881 K. UKAI and K. HANAZAWA, / . Vac. Sei. TechnoL, 16, 385 (1979).
1882 M. SATO and H. NAKAMURA, / . Electrochem. Soc, 129, 2522 (1982).
1883 E. O. D E G E N K O L B , / . Electrochem. Soc, 129, 1150 (1982).
1884 J . W . RANDALL and J. C. W O L F E , AppL Phys. Lett., 39, 742 (1981).
1885 E. L. H U and R. E. HOWARD, AppL Phys. Lett., 37, 1022 (1980).
1886 R. E. K L I N G E R and J. E. G R E E N E , AppL Phys. Lett., 38, 620 (1981); / . AppL
Phys., 54, 1595 (1983).
1887 J. Z. LI, I. ADESIDA, and E. D. WOLF, AppL Phys. Lett., 45, 897 (1984).
1888 S. SEMURA, H. SAITOH, and K. ASAKAWA, / . AppL Phys., 55, 3131 (1984).
1889 E. L. H U and R. E. HOWARD, / . Vac Sei. TechnoL, B2, 85 (1984).
1890 N. SUSA, J. Electrochem. Soc, 132, 2762 (1985).
1891 K. HIRATA, O. MIKAMI, and T. SAITOH, / . Vac Sei. TechnoL, B2, 45 (1984).
1892 T. MOCHIZUKI, T. TSUJIMARU, M. K A S H I W A G I , and Y. N I S H I , IEEE Trans.
Electron. Dev., 27, 1431 (1980).
1893 W. BEINVÖGL, and B. H A S L E R , ref. [58], p. 648.
1894 H. J. MATTAUSCH, B. H A S L E R , and W. BEINVOGL, / . Vac Sei. TechnoL, B l ,
15 (1983).
1895 R. W. L I G H T and H . B. BELL, / . Electrochem. Soc, 131, 459 (1984).
1896 F . Y. ROBB, / . Electrochem. Soc, 131, 2906 (1984).

577
REFERENCES

1897 E. C. WHITCOMB and A . B . J O N E S , Solid State TechnoL, 25 (4), 121 (1982).


1898 S. E. CLARK, J.-K., TSANG, and J. W. MAROLF, Solid State TechnoL, 27 (4), 235
(1984).
1899 M. ZHANG, J. Z. LI, I. ADESIDA, and E. D. W O L F , / . Vac. Sei. TechnoL, B l ,
1037 (1983).
1900 E. R. LORY, Solid State TechnoL, 27 (11), 117 (1984).
1901 R. J. CONTOLINI and L. A. D'ASARO, / . Vac. Sei. TechnoL, B4, 706 (1986).
1902 N. TSUKADA, S. SEMURA, H . SAITO, S. SUGATA, K. AKASAWA, and Y. MITA,
/ . AppL Phys., 55, 3417 (1984).
1903 T. ONO, M. ODA, C. T A K A H A S H I , and S. MATSUO, / . Vac. Sei. TechnoL, B4,
696 (1986).
1904 E. G. SPENCER and P . H . SCHMIDT, / . Vac. Sei. TechnoL, 8, S52 (1971).
1905 P . G. GLOERSEN, / . Vac. Sei. TechnoL, 12, 28 (1975); Solid State TechnoL, 19 (4),
68 (1976).
1906 H . DIMIGEN and H . L U T H J I , Philips Tech. Rev., 35, 199 (1975).
1907 D. T. H A W K I N S , / . Vac. Sei. TechnoL, 12, 1389 (1975); 16, 1051 (1979).
1908 H . I . SMITH, ref. [1653], p . 133; Proc. IEEE, 62, 1361 (1974).
1909 C M . MELLIAR-SMITH, / . Vac. Sei. TechnoL, 13, 1008 (1976).
1910 L. D. B O L L I N G E R , Solid State TechnoL, 20 (11), 66 (1977).
1911 D . D . ROBERTSON, Solid State TechnoL, 21 (12), 57 (1978).
1912 D. B O L L I N G E R and R. F I N K , Solid State TechnoL, 23 (11), 79 (1980); 23 (12), 97
(1980).
1913 A. R. BAYLY, / . Mater. Sei., 7, 404 (1972).
1914 M. CANTAGREL and M. MARCHAL, / . Mater. Sei., 8, 1711 (1973).
1915 M. CANTAGREL, / . Vac. Sei. TechnoL, 12, 1340 (1975),; IEEE Trans. Electron. Dev.,
22, 483 (1975).
1916 L. MADER and J. H O E P F N E R , / . Electrochem. Soc, 123, 1893 (1976).
1917»R. W. DENNISON, Solid State TechnoL, 23 (9), 117 (1980).
1918 S. HOSAKA, Y. KAWAMOTO, and S. HASHIMOTO, / . Vac. Sei. TechnoL, 18, 17
(1981).
1919 Y. YUBA, K. GAMO, H. TOBA, X. G. H E , and S. NAMBA, Jpn. J. AppL Phys.,
22, 1206 (1983).
1920 W . KATZSCHNER, A. STECKENBORN, R. L Ö F F L E R , and N. GROTE, AppL Phys.
Lett., 44, 352 (1984).
1921 O. WADA, / . Electrochem. Soc, 131, 2373 (1984).
1922 N. BOUDMA, P. D E V O L D E R E , B. J U S S E R A N D , and P. OSSART, AppL Phys.
Lett., 48, 1285 (1986).
1923 W.-X. CHEN, L. M. WALPITA, C. C. SUN, and W. S. C. CHANG, / . Vac. Sei.
TechnoL, B4, 701 (1986).
1924 D. F . DOWNEY, W. R. BOTTOMS, and P. R. HANLEY, Solid State TechnoL, 24 (2),
121 (1981).
1925 L. D. BOLLINGER, Solid State TechnoL, 26 (1), 99 (1983).
1926 J. M. E. H A R P E R , J. J. CUOMO, P . A. L E A R Y , G. M. SUMMA, H. R. KAUFMAN,
and F . J. BRESNOCK, / . Electrochem. Soc, 128, 1077 (1982).
1927 D. M. BROWN, B. A. H E A T H , T. COUTUMAS, and G. R. THOMPSON, AppL Phys.
Lett., 37, 159 (1980).
1928 Y. H O R I I K E , M. SHIBAGAKI, and K. KADONO, Jpn. J. AppL Phys., 18, 2309
(1979).
1929 B. MEUSEMANN, / . Vac. Sei. TechnoL, 16, 1886 (1979).

578
KEFERENCES

1930 H. OKANO and Y. H O R I I K E , Jpn. J. Appl. Phys., 21, 696 (1982).


1931 B. A. H E A T H , / . Electrochem. Soc, 129, 396 (1982); Solid-State TechnoL, 24 (10), 75 (1981).
1932 T. M. MAYER and R. A. B A R K E R , / . Electrochem. Soc, 129, 585 (1982).
1933 J. D.CHINN, W. P H I L L I P S , I. ADESIDA, and E. D. W O L F , / . Electrochem. Soc,
131, 375 (1984).
1934 S. SUGATA and K. ASAKAWA, Jpn. J. Appl. Phys., 22, L813 (1983).
1935 G. GILDENBLAT, B. A. H E A T H , and W. KATZ, / . Appl. Phys., 54, 1855 (1983).
1936 L. Y. TSOU, / . Electrochem. Soc, 132, 2010, 1985).
1937 J. D. CHINN, I. ADESIDA, and E. D. W O L F , Solid State TechnoL, 27 (5), 123 (1984);
Appl. Phys. Lett., 43, 185 (1983); / . Vac. Sei. TechnoL, B l , 1083 (1983).
1938 W. KATZSCHNER, V. N I G G E R B R Ü G G E , R. L Ö F F L E R , and H. SCHRÖTTER-
J A N S S E N , Appl. Phys. Lett., 48, 230 (1986).
1939 H. F . W I N T E R S , / . Vac. Sei. TechnoL, A3, 700 (1985); B3, 9 (1985).
1940 R. M. OSGOOD, JR., Ann. Rev. Phys. Chem., 34, 77 (1983).
1941 P . D . B R E W E R , G. M. R E K S T O N , and R. M. OSGOOD, JR., Solid. State TechnoL,
28 (4), 273 (1985).
1942 T. J. CHUANG, / . Vac Sei. TechnoL, 18, 638 (1981); 19, 798 (1982); / . Chem. Phys.,
72, 6303 (1980); 74, 1461 (1981).
1943 D. J. E H R L I C H and J. Y. TSAO, / . Vac. Sei. TechnoL, B l , 969 (1983).
1944 D. J. E H R L I C H , R. M. OSGOOD, J R . and T. F . DEUTSCH, Appl. Phys. Lett., 36,
698 (1980); 38, 1018 (1981).
1945 D. J. E H R L I C H and R. M. OSGOOD, JR., Appl. Phys. Lett., 38, 1018 (1981).
•1946 F . A. H O U L E , / . Chem. Phys., 79, 4237 (1983); 80, 4851 (1984); Chem. Phys. Lett.,
95, 5 (1983).
1947 G. L. L O P E R and M. D. TABAT, Appl. Phys. Lett., 46, 654 (1985).
1948 T. BALLER, D. J. OOSTRA, A. E. De V R I E S , and G. N. A. VAN VEEN, / . Appl.
Phys., 60, 2321 (1986).
1949 R. J. H O L L A N D and S. L. B E R N A S E K , / . Appl. Phys., 60, 2553 (1986).
1950 M. T A K A I , J. TOKUDA, H . NAKAI, K. GAMO, and S. NAMBA, Jpn. J. AppL
Phys., 22, L757 (1983).
1951 C. I. H. ASHBY, Appl. Phys. Lett., 46, 752 (1985).
1952 P . D . B R E W E R , S . H A L L E , and R. M. OSHOOD, JR., Appl. Phys., Lett., 45, 475
(1984).
1953 M. H I R O S E , S. YOKOYAMA, and S. YAMAKAGE, / . Vac. Sei. TechnoL, B3, 1445
(1985).
1954 P . D. B R E W E R , D. McCLURE, and R. M. OSGOOD, JR., AppL Phys. Lett., 47, 310
(1985); 49, 803 (1986).
1955 L. A. D'ASARO, T. V. DiLORENZO, and H . F U K I , IEEE Trans. Electron .Dev., 25,
1218 (1978).
1956 M. R O T H S C H I L D , J. H. C. SEDLACEK, and D. J. E H R L I C H , Appl. Phys. Lett.,
49, 1554 (1984).
1957 A. M. SMITH, in "Fundamentals of Silicon Integrated Device Technology" (R. M.Burger
and R. P. Donovan, eds.), Vol. 1, p. 181, Prentice-Hall, Englewood Cliffs, NJ,1967.
1958 D. SHAW, ed., "Diffusion in Semiconductors", Plenum, New York, 1973.
1959 J. C. C. TSAI, ref. [10], Ch. 5, p . 169.
1960 F . F . Y. WANG, ed., "Impurity Doping Processes in Silicon,", North-Holland,Amsterdam,
1981.
1961 J.R. MONKOWSKI, J. BLOEM, L. J. GILING, and M. W.M. GRAEF, Appl. Phys.
Lett., 35, 410 (1979).

579
REFEKENCES

1962 S. P R U S S I N and J. W. CLELAND, / . Electrochem. Soc, 125, 350 (1978).


1963 J . W . CLELAND, / . Electrochem. Soc, 130, 227 (1983).
1964 M. CROSET and L. MERCANDALLT, Rev. Techniq. Thomson CSF, 3, 37 (1971).
1965 N. GOLDSMITH, J. OLMSTEAD, and J. SCOTT, RCA Rev., 28, 344 (1967).
1966 J. STACH and A. T U R L E Y , / . Electrochem. Soc, 121, 722 (1974).
1967 K. SHOHNO, T. KIM, and C. KIM, / . Electrochem. Soc, 127, 1546 (1980).
1968 C. KIM, B. K. SOHN, and K. SHOHNO, / . Electrochem. Soc, 131, 1384 (1984).
1969 F . GAISEANU, / . Electrochem. Soc, 132, 2287 (1985); Rev. Roum. Phys., 28, 631
(1983); 29, 489 (1984).
1970 A. GOETZBERGER, Solid-State Electron., 5, 61 (1962).
1971 F . L. GITTLER and R. A. P O R T E R , / . Electrochem Soc, 117, 1551 (1970).
1972 M. NANBA, / . Electrochem. Soc, 128, 420 (1981).
1973 M. NANBA, H. KOZUKA, and K. USAMI, Appl. Phys. Lett., 39, 235 (1981).
1974 M. NANBA, H. KOZUKA, and S. NAKAMURA, / . Electrochem. Soc, 131, 190 (1984).
1975 R. E. TRESSLER, H. J. BOEGLIN, J. MONKOWSKI, J. STACH, G. DeMUNDA,
and C VOLK, Solid State Technol., 27 (10), 165 (1984).
1976 F . GAISEANU, Phys. Stat. Sol., A77, K59 (1983).
1977 R. A. McDONALD, G. G. E H L E N B E R G E R , and T. R. HUFFMAN, Solid-State Elec-
tron., 9, 808 (1966).
1978 M. S. R. H E Y N E S , Electrochem. Technol., 5, 25 (1967).
1979 M. C. DUFFY, D. W. FOY, and W. J. ARMSTRONG, Electrochem. Technol., 5, 29 (1967).
1980 H. NAKAMURA, Jpn. J. Appl. Phys., 11, 761 (1972).
1981 K. C. SARASWAT and J. D. MEINDL, / . Electrochem. Soc, 124, 471 (1977).
1982 M. S. R. H E Y N E S and P . G. G. VAN LOON, / . Electrochem. Soc, 116, 890 (1969).
1983 Y. W. H S U E H , / . Electrochem. Soc, 117, 807 (1970).
1984 Y. W. H S U E H , Electrochem. Technol., 6, 361 (1968).
1985 W. G. ALLEN and K. V. ANAND, Solid-State Electron., 14, 397 (1971).
1986 G. MASETTI, S. SOLMI, and G. SONCINI, Philos. Mag., 33, 613 (1976).
1987 S.M. HU, / . Appl. Phys., 45, 1567 (1974).
1988 U. GÖSELE and H . STRUNK, Appl. Phys., 20, 265 (1979).
1989 K. TANIGUCHI, K. KUROSAWA, and M. K A S H I W A G I , / . Electrochem. Soc, 127,
2243 (1980).
1990 S. MIZUO and H. H I G U C H I , / . Electrochem. Soc, 129, 2292 (1982).
1991 S. MIZUO and H. H I G U C H I , / . Electrochem. Soc, 130, 1942 1(983).
1992 E. S. M E I E R A N and T. I. KAMINS, Solid-State Electron., 16, 545 (1973).
1993 T. T. S H E N G and R. B. MARCUS, / . Electrochem. Soc, 127, 737 (1980); 128, 881 (1981).
1994 S. P R U S S I N , / . Electrochem. Soc, 130, 184 (1983).
1995 J . C . IRVIN, Bell Syst. Tech. J., 4 1 , 387 (1962).
1996 D. M. CAUGHEY and R. E. THOMAS, Proc IEEE, 55, 2192 (1967).
1997 W. R. T H U R B E R , R. L. MATTIS, Y. M. LIU, and J. J. F I L L I B E N , / . Electrochem.
Soc, \Z1, 1807, 2291 (1980).
1998 M. GHEZZO and D. M. BROWN, / . Electrochem. Soc, 120, 146 (1973).
1999 R. N. GHOSHTAGORE, Solid-State Electron., 18, 399 (1975).
2000 V. Y. DOO, IEEE Trans. Electron. Dev., 13, 561 (1966).
2001 F . K. HEUMANN, D . M . BROWN, and E. METS, / . Electrochem. Soc, 115, 99 (1968).
2002 I. FRANZ and W. L A N G H E I N R I C H , Solid-State Electron., 12, 63, 145, 955 (1969).
2003 B. J. BALIGA and D. K. GHANDHI, IEEE Trans. Electron. Dev., 19, 76 (1972);
21, 410 (1974).
2004 A. R. ALVAREZ and F . PINTCHOVSKI, / . Electrochem. Soc, 131, 1438 (1984).

580
REFERENCES

2005 K. G. B R E I T S C H W E R D T , IEEE Trans. Electron. Dev.,12,tt (1965).


2006 E. D. WOLLEY, R. STICKLER, and T. L. CHU, / . Electrochem. Soc, 115, 409 (1968).
2007 D. J. DUMIN, / . Electrochem. Soc, 116, 133 (1969).
2008 T . I . KAMINS, / . Electrochem. Soc, 121, 286 (1974).
2009 B. SWAMINATHAN, K. C. SARASWAT, R. W. DUTTON, and T. I. KAMINS, Appl.
Phys. Lett., 40, 795 (1982).
2010 M. ARIENZO, Y. KOMEM, and A. E. MICHEL, / . Appl. Phys., 55, 365 (1984).
2011 M. L. B A R R Y and P. OLOFSEN, Solid State Technol., 11 (10), 39 (1968);
/ . Electrochem. Soc, 116, 854 (1969).
2012 M. L. BARRY, / . Electrochem. Soc, 117, 1405 (1970).
2013 R. N. GHOSHTAGORE, Solid-State Electron., 17, 1065 (1974).
2014 J. SCOTT and J. OLMSTEAD, RCA Rev., 26, 357 (1965).
2015 P. F . SCHMIDT and E. OWEN, / . Electrochem. Soc, 111, 682 (1964); P. F . SCHMIDT,
T. W. O ' K E E F E , J. OROSHNIK, and A. E. OWEN, / . Electrochem. Soc, 112,
800 (1965).
2016 A. E. OWEN and P. F . SCHMIDT, / . Electrochem. Soc, 115, 548 (1968).
2017 T. C. CHANDLER, JR., R. B. H I L B O R N , JR., and J. W. FAUST, JR., / Electro-
chem. Soc, 124, 1409 (1977).
2018 J. KATO and Y. ONO, / . Electrochem. Soc, 132, 1730 (1985).
2019 D . M . BROWN, M. G A R F I N K E L , M. GHEZZO, E. A. TAFT, A. T E N N E Y , and
J. W O N G , / . Cryst. Growth, 17, 276 (1972).
2020 A . W . F I S C H E R , J. A. AMICK, H. HYMAN, and J. H. SCOTT, JR., RCA Rev., 29,
533 (1968).
2021 A. W . F I S C H E R and J. A. AMICK, RCA Rev., 29, 549 (1968).
2022 M. GHEZZO, / . Electrochem. Soc, 119, 1428 (1972); 120, 1123 (1973).
2023 J. M I D D E L H O E K and J. HOLLEMAN, / . Electrochem. Soc, 121, 132 (1974).
2024 J. W O N G and M. GHEZZO, / . Electrochem. Soc, 118, 1540 (1971).
2025 R. B. FAIR, / . Electrochem. Soc, 119, 1389 (1972).
2026 M. GHEZZO and D . M . BROWN, / . Electrochem. Soc, 120, 110 (1973).
2027 F . PINTCHOVSKI, P. J. TOBIN, M. K O T T K E , and J. B. P R I C E , / . Electrochem.
Soc, 131, 1875 (1984).
2028 J . W . H O L M - K E N N E D Y and T. P. C. KU, / . Electrochem. Soc, 120, 1602 (1973).
2029 S. K. G H A N D H I and R. J. F I E L D Appl. Phys. Lett., 38, 267 (1981).
2030 R. J. F I E L D and S. K. GHANDHI, / . Electrochem. Soc, 129, 1567 (1982).
2031 W. VON MUENCH, IBM J. Res. Dev., 10, 438 (1966); Solid-State Electron., 9, 619 (1966).
2032 W. VON MUENCH and H. STATZ, Solid-State Electron., 9, 939 (1966).
2033 C. F . GIBBON and D. R. KETCHOW, / . Electrochem. Soc, 118, 975 (1971).
2034 H. YAMAZAKI, Y. KAWASAKI, M. F U J I M O T O , and K. KUDO, Jpn. J. Appl.
Phys., 14, 717 (1975).
2035 B. J. BALIGA and S. K. GHANDHI, / . Appl. Phys., 44, 990 (1973); / . Electrochem.
Soc, 123, 941 (1976); 126, 135 (1979).
2036 B. J. BALIGA, R. BHAT, and S. K. GHANDHI, Solid-State Electron., 20, 773 (1977).
2037 R. E. C H A P P E L O W and P. T. LIN, / . Electrochem. Soc, 123, 913 (1976).
2038 B. GARBEN, W. A. ORR-ARIENZO, and R. F . L E V E R , / . Electrochem. Soc, 133,
2152 (1986).
2039 K. SHIBATA and T. MORIYA, / . Appl. Phys., 54, 1086 (1983).
2040 K. SHIMAKURA, T. SUZUKI, and Y. YADOIWA, Solid-State Electron., 18, 991 (1975)
2041 D. IOSIF, Ph. D. Thesis, University of Craiova, Craiova, 1983.

581
REFERENCES

2042 W. A. RAUSCH, R. F . LEVER, and R. H. KASTL, / . AppL Phys., 54, 4405(1983).


2043 J. R. SHEALY, B. J. BALIGA, and S. K. GHANDHI, IEEE Electron. Dev. Lett.,
1, 119 (1980).
2044 D . H . L E E and J. W. MAYER, Proc. IEEE, 62, 1241 (1974).
2045 J. L. STONE and j . C. P L U N K E T T , Solid State Technol., 19 (6), 35 (1976).
2046 T. E. SEIDEL, ref. [10], Ch. 6, p . 219.
2047 A. H . AGAJANIAN, "Ion Implantation in Microelectronics: A Comprehensive Biblio-
graphy", Plenum, New York, 1981; H. RYSSEL and R. INGOLF, "Ion Implantation",
Wiley, New York, 1985.
2048 G, J. VAN GURP, J. W. SLOTBOOM, F . J. B. SMOLDERS, W. T. STACY, and Y.
T A M M I N G A , / . Electrochem. Soc, 127, 1813(1980).
2049 P . S. B U R G G R A A F , Semicond. Int., 4 (11), 39 (1981).
2050 J. KASAHARA, Y. KATO, M. ARAI, and N. WATANABE, J. Electrochem. Soc, 130,
2275 (1983).
2051 R. H E Z E L and N. L I E S K E , / . Electrochem. Soc, 129, 379 (1982).
2052 T. Y. CHIU, H . BERNT, and I. R U G E , / . Electrochem. Soc, 129, 408 (1982).
2053 T. Y. CHIU, W. G. OLDHAM, and C. HOVLAND, / . Electrochem. Soc, 131, 2110
(1984).
2054 M. J. KIM and M. GHEZZO, / . Electrochem. Soc, 131, 1934 (1984).
2055 L. N E S B I T , S. SXIFFLER, G. SLUSSER, and H . VINTON, / . Electrochem. Soc,
132, 2713 (1985).
2056 K. H . ECKLUND, G. HOLMEN, and S. P E T E R S T R Ö M , AppL Phys. Lett., 24,
283 (1974).
2057 A. BHATTACHARYYA and K. N. RITZ, / . Electrochem. Soc, 131, 2143 (1984).
2058 C. H. L E E , / . Electrochem. Soc, 129, 1604 (1982).
2059 S. H O R I U C H I , Solid-State Electron., 18, 659 (1975);
2060 S. H O R I U C H I and R. BLANCHARD, Solid-State Electron., 18, 529 (1975).
2061 M. M. MANDURAH, K. C. SARASWAT, and T. I. KAMINS, / . Electrochem. Soc, 126,
1019 (1979).
2062 H . J. STEIN, / . Electrochem. Soc, 129, 1786 (1982).
2063 A. H . VAN OMMEN, M. F . C. WILLEMSEN, A. E. T. K U I P E R , and F. H. P. M.
H A B R A K E N , / . Electrochem. Soc, 133, 2140 (1986).
2064 T. HARA, H. TAKAHASHI, and S.-C. CHEN, / . Vac Sei. Technol.; B 3 , 1664 (1985).
2065 T. C. ARNOLDUSSEN and E. G R E E N S T E I N , J. Electrochem. Soc, 124, 1102
(1977).
2066 J. M. F A I R F I E L D and G. H. SCHWUTTKE, Solid-State Electron., 11, 1175 (1968).
2067 F . E. H A R P E R and M. I. COHEN, Solid-State Electron., 13, 1103 (1970).
2068 K. AFFOLTER, W. LUTHY, and M. VON ALLMEN, AppL Phys. Lett., 33, 185 (1978).
2069 T. F . DEUTSCH, J. C. C. FAN, G. W. T U R N E R , R. L. CHAPMAN, D. J. E H R L I C H ,
and R. M. OSGOOD, JR., AppL Phys. Lett., 38, 144 (1981).
2070 T. F . DEUTSCH, D. I. E H R L I C H , D. D. RATHMAN, D. J. SILVERSMITH, and
R. M. OSGOOD, JR., AppL Phys. Lett., 39, 825 (1981).
2071 E. FOGARASSY, R. STUCK, J. J. GROB, and P. S I F F E R T , / . AppL Phys.,, 52,
1076 (1981).
2072 D. J. E H R L I C H and J. Y. TSAO, AppL Phys. Lett., 41, 297 (1982).
2073 S. WU, / . Electrochem. Soc, 130, 199 (1983).
2074 T. F . DEUTSCH, J. C. C. FAN, D. J. E H R L I C H , G. W. T U R N E R , R. L. CHAPMAN»
and R. P . GALE, AppL Phys., Lett., 40, 722 (1982).
2075 J. A. A K I N T U N D E , Thin Solid Films, 113, 73 (1984).

582
REFEBENCES

2076 H. T E W S , M. S C H N E I D E R , and R. L E G R O S , / . Appl. Phys., 54, 677 (1983).


2077 H . T E W S , M. S C H N E I D E R , and C. AN, Appl. Phys. Lett., 40, 41 (1982).
2078 R. P. DONOVAN, in "Fundamentals of Silicon Integrated Device Technology" (R. M.
Burger and R. "P. Donovan, eds.), Vol. 1, p . 1, Prentice-Hall, Englewood Cliffs, N J , 1967.
2079 K. E. KATZ, ref. [10], Ch. 4, p . 131.
2080 A. G. REVESZ, / . Non-Cryst. Solids, 11, 309 (1973).
2081 M. M.ATALLA, E. TANNENBAUM, and E. J. SCHEIBNER, Bell Syst. Tech. / . , 38,
749 (1959).
2082 B. E. DEAL, / . Electrochem. Soc, 110, 527 (1963).
2083 G. BARBOTTIN and A. VAPAILLE, eds., "Instabilities in Silicon Devices", Vols. 1 & 2,
North-Holland, Amsterdam, 1986. and 1989.
2084 E. A. I R E N E , Semicond. Int., 6 (4), 99 (1983).
2085 E . H . NICOLLIAN, / . Vac. Sei. Technol., 8, 539 (1971).
2086 H. F . WOLF, "Semiconductors", Wiley-Interscience, New York (1971).
2087 R. B. F A I R and J. C. C. TSAI, / . Electrochem. Soc, 125, 2050 (1978).
2088 R. B. FAIR, / . Electrochem. Soc, 128, 1360 (1981).
2089 ÄjfcD. ROBINSON, G. A. ROZGONYI, T. E. S E I D E L , and M. H . R E A D , / . Electro-
chem. Soc, 128, 926 (1981).
2090 B. E. DEAL and A. S. GROVE, / . Appl. Phys., 35, 3770 (1965).
2091 B. E. DEAL, D. W. H E S S , J. D. PLUMMER, and C. P. HO, / . Electrochem. Soc'
125, 339 (1978).
2092 D. W. H E S S and B. E. DEAL, / . Electrochem. Soc, 122, 519 (1975); 124, 735 (1977)
2093 B. E. DEAL, / . Electrochem. Soc, 125, 576 (1978).
2094 C. P . HO, J. D. PLUMMER, J. D. M E I N D L , and B. E. DEAL, / . Electrochem. Soc,
125, 665 (1978).
2095 C. P. H O and J. D. PLUMMER, / . Electrochem. Soc, 126, 1516, 1523 (1979).
2096 D. R. W O L T E R S , / . Electrochem., Soc, 127, 2072 (1980).
2097 W. A. T I L L E R , / . Electrochem. Soc, 127, 619, 625 (1980); 128, 689 (1981); 130, 501
(1983).
2098 J. BLANC, Appl. Phys. Lett., 33, 424 (1978).
2099 E. A. I R E N E , / . Electrochem. Soc, 125, 1708 (1978).
2100 E. A. I R E N E and D. W. DONG, / . Electrochem. Soc, 125, 1146 (1978).
2101 H . Z. MASSOUD, J. D. PLUMMER, and E. A. I R E N E , / . Electrochem. Soc, 132,
1745, 2685, 2693 (1985).
2102 R. B. MARCUS and T. T. S H E N G , / . Electrochem. Soc, 129, 1278 (1982).
2103 M. ITSUMI and F . KIYOSUMI, / . Electrochem. Soc, 129, 800 (1982).
2104 C. A. GOODWIN and J. W. BROSSMANN, / . Electrochem. Soc, 129, 1066 (1982).
2105 M. ITSUMI, O. NAKAJIMA, and K. M I N E G I S H I , / . Electrochem. Soc, 130, 1160
(1983).
2106 T. C. WU, W. T. STACY, and K. N. RITZ, / . Electrochem. Soc, 130, 1563 (1983).
2107 Y. TAMAKI, S. ISOMAE, S. MIZUO, and H . H I G U C H I , / . Electrochem. Soc,
130, 2266 (1983).
2108 P. H . ROBINSON and F . P . HEIMAN, / . Electrochem. Soc, 118, 141 (1971).
2109 R. J. K R I E G L E R , C. Y. CHENG, and D . ' R . COLTON, / . Electrochem. Soc, 119,
388 (1972).
2110 R. J. K R I E G L E R , Thin Solid Films, 13, 11 (1972); Appl. Phys. Lett., 20, 449 (1972).
2111 R. L. M E E K , / . Electrochem. Soc, 120, 308 (1973).
2112 K. H I R A B A Y A S H I and J. IWAMURA, / . Electrochem. Soc, 120, 1595 (1973).
2113 Y. J. VAN D E R M E U L E N and J. G. CAHILL, / . Electron. Mater., 3, 371 (1974).

583
REFERENCES

2114 C. M. OSBURN, / . Electrochem. Soc, 121, 809 (1974).


2115 Y. J. VAN D E R M E U L E N , C. M. OSBURN, and J. F. Z I E G L E R , / . Electrochem. Soc,
122, 284 (1975).
2116 H. S H I R A K I , / . Appl. Phys., 15, 83 (1976); Jpn. J. Appl. Phys., 15, 1, 83 (1976).
2117 R. E. T R E S S L E R , J. STACH, and D. M. METZ, / . Electrochem. Soc, 124, 607 (1977).
2118 A. ROHATGI, S. R. B U T L E R , F . J. F E I G L , H. W. K R A N E R , and K. W. J O N E S ,
Appl. Phys. Lett., 30, 104 (1977); / . Electrochem. Soc, 126, 143 (1979).
2119 A. ROHATGI, S. R. BUTLER, and F . J. F E I G L , / . Electrochem. Soc, 126, 149
(1979).
2120 J. MONKOWSKI, J. STACH, and R. E. T R E S S L E R , / . Electrochem. Soc, 126,
1129 (1979).
2121 J. MONKOWSKI, Solid State Technol., 22 (7), 58 (1979); 22 (8), 113 (1979).
2122 J. MONKOWSKI, R. E. T R E S S L E R , and J. STACH, / . Electrochem. Soc, .125,
1867 (1978); Thin Solid Films, 65, 153 (1980).
2123 C. HASHIMOTO, S. MURAMOTO, N. SHIONO, and O. NAKAJIMA, / . Electrochem.
Soc, 127, 129 (1980).
2124 J. W. SWART and E. CHARRY, / . Electrochem. Soc, 128, 1383 (1981).
2125 J. S T E I N B E R G , / . Electrochem. Soc, 129, 1778 (1982).
2126 A. I. E L L I S , K. M. GARDINER, and T. E. CYR, / . Electrochem. Soc, 130, 721,
1970 (1983).
2127 M. B. DAS, J. STACH, R. E. T R E S S L E R , and W. H. GRUBBS, / . Electrochem.
Soc, 131, 389 (1984).
2128 H. L. TSAI, S. R. B U T L E R , D. B. WILLIAMS, H. W. K R A N E R , and K. W. J O N E S
/ . Electrochem. Soc, 131, 411 (1984).
2129 J. W. ROUSE, C. R. HELMS, B. E.DEAL, and R. R. RAZOUK, / . Electrochem. Soc,
131, 887 (1984).
2130 T . I . B A G I N S K I and J. R. MONKOWSKI, / . Electrochem. Soc, 132, 2031 (1985).
2131 Y.-D. SHEN, S. R. BUTLER, F . J. F E I G L , and C. W. MA GEE, / . Electrochem. Soc,
133, 2136 (1986).
2132 M. CHEN and J. W. H I L E , / . Electrochem. Soc, 119, 223 (1972).
2133 G. J. DECLERCK, T. HATTORI, G. A. MAY, J. BEAUDOUIN, and J. D. M E I N D L ,
/ . Electrochem. Soc, 122, 436 (1975).
2134 D. L. H E A L D , R. M. DAS, and R. P . KHOSLA, / . Electrochem. Soc, 123, 302 (1976).
2135 T. HATTORI, / . Electrochem. Soc, 123, 945 (1976); 126, 1789 (1979); Appl. Phys.
Lett., 30, 312 (1977); Solid State Technol., 22 (11), 85 (1979); 25 (7), 83 (1982).
2136 R. SCOT CLARK, Solid State Technol., 21 (11), 80 (1978).
2137 B. R. SING and P. BALK, / . Electrochem. Soc, 125, 453 (1978); 126, 1288 (1979),
2138 Y. C. C H E N G and B. Y. LIU, / . Electrochem. Soc, 131, 354 (1984).
2139 E. J. J A N S S E N S and G. J. DECLERCK, / . Electrochem. Soc, 125, 1696 (1978).
2140 A. J. L I N S S E N and H. L. P E E K , Philips J. Res., 35, 263 (1980).
2141 R. G. COSWAY and S. W U , / . Electrochem. Soc, 132, 151 (1985).
2142 R. J. POWELL, J. R. LIGENZA, and M. S. S C H N E I D E R , IEEE Trans. Electron.
Dev., 21, 636 (1974).
2143 N. TSUBOUCHI, H. MIYOSHI, and H. ABE, Jpn. J. Appl. Phys., Suppl., 17, 223
(1977); N. TSUBOUCHI, H. MIYOSHI, A. NISHIMOTO, and H. ABE, Jpn. J. Appl.
Phys., 16, S55 (1977).
2144 N. TSUBOUCHI, H. MIYOSHI, H. ABE, and T. ENOMOTO, IEEE Trans. Electron.
Dev., 26, 618 (1979).

584
REFERENCES

2145 H. MIYOSHI, N. TSUBOUCHI, and A. NISHIMOTO, / . Electrochem. Soc, 125,


1824 (1978).
2146 L. E. KATZ and L. C. K I M E R L I N G , / . Electrochem. Soc, 125, 1680 (1978).
2147 L. E. KATZ and B. F . H O W E L L L S , JR., / . Electrochem. Soc, 126, 1822 (1979);
L. E. KATZ, B. F . H O W E L L S , JR., L. P. ADDA, T. THOMPSON, and D. CARLSON,
Solid State Technol., 24 (11), 87 (1981).
2148 E. A. I R E N E , D . W . DONG, and R. J. ZETO, / . Electrochem. Soc, 127, 396 (1980).
2149 R. R. RAZOUK, L. N. L I E , and B. E. DEAL, / . Electrochem. Soc, 128,2214 (1981).
2150 L. N. L I E , R. R. RAZOUK, and B. E. DEAL, / . Electrochem. Soc, 129, 2828 (1982).
2151 R. J. ZETO, N. O. K O R O L K O F F , and S. MARSHALL, Solid State Technol., 22(7),
62 (1979).
2152 L. P. TROMBETTA, R. J. ZETO, F. J. F E I G L , and M. E. ZVAMIT, / . Electrochem.
Soc, 132, 2706 (1985).
2153 E. BUSSMANN, Semicond. Int., 6 (4), 162 (1983).
2154 S. P. TAY and J. P. ELLUL, Semicond. Int., 9 (5), 122 (1986); D. R. CRAVEN a n d
J. B. STIMMELL, Semicond. Int., 4 (6), 59 (1981); T. G. O'NEILL, Semicond. Int.
4 (6), 77 (1981).
2155 W. B. G L E N D I N N I N G and D. W. Y A R B R O U G H , Thin Solid Films, 18, 321 (1973).
2156 F. P. F E H L N E R , / . Electrochem. Soc, 119, 1723 (1972).
2157 A.M. GOODMAN and J. M. B R E E C E , / . Electrochem. Soc, 117, 982 (1980).
2158 Y. SATO and K. K I U C H I , / . Electrochem. Soc, 133, 652 (1986).
2159 Y. J. VAN D E R MEULEN, / . Electrochem. Soc, 119, 530 (1972).
2160 M. H O R I U C H I , Y. KAMIGAKI, and T. HAGIWARA, / . Electrochem. Soc, 125,
766 (1978).
2161 J. A. ABOAF, / . Electrochem. Soc, 118, 1370 (1971).
2162 H. ITO and K. ONO, Jpn. J. Appl. Phys., 22, 597, 1256 (1983).
2163 P. J. B U R K H A R D T and L. V. GREGOR, Trans. Met. Soc. AIME, 236, 299 (1966).
2164 M. A. H O P P E R , R. A. CLARKE, and L. YOUNG, / . Electrochem. Soc, 122, 1216
(1975).
2165 A . C . ADAMS, T. E. SMITH, and C. C. CHANG, / . Electrochem. Soc, 127, 1787
(1980).
2166 T. UNAGAMI and K. KATO, Jpn. J. Appl. Phys., 16, 1635 (1977).
2167 T. UNAGAMI, Jpn. J. Appl. Phys., 19, 231 (1980).
2168 H. SUNAMI, / . Electrochem. Soc, 126, 892 (1978).
2169 T . I . KAMINS, / . Electrochem. Soc, 126 838, (1979).
2170 E. A. I R E N E , E. T I E R N E Y , and D. W. DONG, / . Electrochem. Soe., 127, 705 (1980).
2171 A. S H I N T A N I and H. NAKASHIMA, Appl. Phys. Lett., 36, 983 (1980).
2172 K. C. SARASWAT and H. SINGH, / . Electrochem. Soc, 129, 2321 (1982).
2173 D. K. B R O W N , S. M. H U , and J. M. M O R R I S S E Y , / . Electrochem. Soc, 129, 1084
(1982).
2174 R. B. MARCUS, T. T. S H E N G , and P. LIN, / . Electrochem. Soc, 129, 1282 (1982).
2175 E. K I N S B R O N , S. P. MURARKA, T. T. S H E N G , and W. T. LYNCH, / . Electrochem.
Soc 130, 1555 (1983).
2176 M. S T E R N H E I M , E. K I N S B R O N , J. ALSPECTOR, and P. A. HEIMANN, / . Electro-
chem. Soc, 130, 1735 (1983).
2177 C. Y. LU and N. S. TSAI, / . Electrochem. Soc, 133, 446 (1986).
2178 C. Y. LU and N. S. TSAI, / . Appl. Phys., 59, 3574 (1986).
2179 K. MURASE, T. OGINO, and Y. MIZUSHIMA, Jpn. J. Appl. Phys., 22, 1771 (1983).

585
REFERENCES

2180 E. E. CRISMAN, Y. M. ERCIL, J. J. L O F E R S K I , and P. J. STILES, / . Electrochem.


Soc, 129, 1845 (1982).
2181 B. SCHWARTZ, CRC Crit. Rev. Sol. St. Sei., 5, 609 (1975).
2182 B. L. SHARMA, Solid State Technol., 21 (2), 48 (1978); 21 (4), 122 (1978).
2183 C.'W. WILMSEN, Thin Solid Films, 39, 1 0 5 ( 1 9 7 6 ) ; / . Vac. Sei. Technol., 19,279 (1981).
2184 S. P. MURARKA, Appl. Phys. Lett., 26, 180 (1975).
2185 C D . THURMOND, G. P. SCHWARTZ, G. W. KAMMLOTT, and B. SCHWARTZ,
/ . Electrochem. Soc, 127, 1366 (1980).
2186 J. F . W A G E R and C. W. WILMSEN, / . Appl. Phys., 51, 812 (1980).
2187 M. YAMAGUCHI, / . AppL Phys., 52, 4885 (1981).
2188 M. YAMAGUCHI and K. ANDO, / . Appl. Phys., 5 1 , 5007 (1980).
2189 D . H . P H I L L I P S , N. W. GRANNEMAN, L. E. COERVER, and G. J. KUHLMANN,
/ . Electrochem. Soc, 120, 1087 (1973).
2190 R. D I E H L and B. I. NOLANG, / . Cryst. Growth, 66, 91 (1984).
2191 A. SUZUKI, K. MAMENO, N. FURUI,' and H. MATSUNAMI, Appl. Phys. Lett.,
39, 89 (1981).
2192 A. SUZUKI, H. ASHIDA, N. F U R U I , K. MAMENO, and H . MATSUNAMI, Jpn.
J. Appl. Phys., 2 1 , 579 (1982).
2193 C. D. T U N G and J. J. K O P A N S K I , Appl. Phys. Lett., 45, 757 (1984).
2194 I. FRANZ and W. L A N G H E I N R I C H , Solid-State Electron., 14, 499 (1971).
2195 L. V. CHRAMOVA, T. P. SMIRNOVA, B. M. AYUPOV, and V. I. B E L Y I , Thin
Solid Films, 78, 303 (1981).
2196 S. P. MURARKA, D. B. F R Ä S E R , W. S. L I N D E N B E R G E R , and A. K. SINHA,
/ . Appl. Phys., 5 1 , 3241 (1980).
2197 F . MOHAMMADI, K. C. SARASWAT, and J. D. M E I N D L , Appl. Phys. Lett. 35,
529 (1979).
2198 S. P. M U R A R K A and C. C. CHANG, Appl. Phys. Lett., 37, 639 (1980).
2199 M. B A R T U R and M.-A. NICOLET, / . Electrochem. Soc, 131, 371 (1984).
2200 C.-Y. WU, C.-W. K I N G , M.-K. L E E , and C.-T. CHEN, / . Electrochem. Soc, 129, 1559
(1982).
2201 T. ITO, T. NAKAMURA, and H. I S H I K A W A , / . Electrochem. Soc, 129, 184(1982).
2202 E. E. CRISMAN, O. J. G R E G O R Y , and P . J. S T I L E S , / . Electrochem. Soc, 131,
1896 (1984).
2203 R. O R E N and S. K. G H A N D H I , / . Appl. Phys., 42, 752 (1971).
2204 A. S C H Ä F E R and S. A. LYON, / . Vac. Sei. Technol., 19, 494 (1981); 21, 422 (1982).
2205 E. M. YOUNG and W. A. T I L L E R , Appl. Phys. Lett., 42, 63 (1983)/
2206 I . W . BOYD, Appl. Phys. Lett., 42, 728 (1983).
2207 T. E. O R L O W S K I and H. R I C H T E R , Appl. Phys. Lett., 45, 241 (1984).
2208 M. MORITA, S. ARITOME, T. TANAKA, and M. H I R O S E , Appl. Phys. Lett., 49,
699 (1986).
2209 P. A. B E R T R A N D , / . Electrochem. Soc, 132, 973 (1985).
2210 B. K. J A N O U S E K and R. C. CARSCALLEN, / . Vac. Sei. Technol., A3, 195 (1985).
2211 P. F. SCHMIDT and W. MICHEL, / . Electrochem. Soc, 104, 230 (1957).
2212 E. F . D U F F E K , E. A. B E N J A M I N I , and C. M Y L R O I E , Electrochem. Technol., 3,
75 (1965).
2213 M. CROSET and M. D I E U M E G A R D , / . Electrochem. Soc, 120, 526 (1973).
22 14 J. D. E. B E Y N O N , G. G. BLOODWORTH, and I. M. McLEOD, Solid-State Electron.,
16, 309 (1973).

586
REFERENCES

2215 M. J. MADOU, W. P. GOMES, F . F R A N S E N , and F . CARDON, / . Electrochem. Soc,


129, 2749 (1982).
2216 D. R. T U R N E R , / . Electrochem. Soc, 105, 402 (1958).
2217 Y. ARITA, K. K U R A N A R I , and Y. SUNOHARA, jpn. J. Appl. Phys.,, 15, 1655
(1976).
2218 R. A. LOGAN, B. S. SCHWARTZ, and W. J. S U N D B U R G , / . Electrochem. Soc, 120,
1385 (1973).
2219 E. B. STONEHAM, / . Electrochem. Soc, 121, 1382 (1974).
2220 H . HASEGAWA, K. E. F O R W A R D , and H. H A R T N A G E L , Electron. Lett., 11, 53
(1975); Appl. Phys. Lett., 26, 567 (1975).
2221 S. M. S P I T Z E R , B. SCHWARTZ, and G. D. W E I G L E , / . Electrochem. Soc, 122,
397 (1975).
2222 A. COLQUHOUN and H. L. H A R T N A G E L , Solid-State Electron., 19, 818 (1976).
2223 H. H A S E G A W A and H . L. H A R T N A G E L , / . Electrochem. Soc, 123, 713 (1976).
2224 M. CROSET, J. DIAZ, D. D I E U M E G A R D , and L. M. MERCANDALLI, / . Electro-
chem. Soc, 126, 1543 (1979).
2225 K. MATSUSHITA, T. H A R I U , H. ADACHI, and Y. SHIBATA, / . Electrochem. Soc,
126, 1268 (1979).
2226 A. S. W E I N E R , D. H . R E E P , S. K. SHASTRY, K. N. BHAT, J. M. B O R R E G O ,
and S. K. G H A N D H I , IEEE Trans. Electron. Dev., 27, 2281 (1980).
2227 J. M. POATE, P. J. SILVERMAN, and J. YAHALOM, / . Electrochem. Soc, 120,
844 (1973).
2228 F . E R M A N I S and B. SCHWARTZ, / . Electrochem. Soc, 121, 1665 (1974).
2229 J. S. T S E N G , Y. K. SU, and M. T. S H I H , / . Electrochem. Soc, 133, 772 (1986).
2230 A. YAMAMOTO, M. YAMAGUCHI, and C. UEMURA, / . Electrochem. Soc, 129, 2795
(1982).
2231 C / W . W I L M S E N , G. C. V A S B I N D E R , and Y. K. CHAN, / . Vac. Set. Technol·., 12,
56 (1975).
2232 R. T. TALASEK and A. J. SYLLAIOS, / . Electrochem. Soc, 132, 887 (1985).
2233 P. F . SCHMIDT and D. R. W O N S I D L E R , / . Electrochem. Soc, 116, 603 (1967).
2234 M. CROSET, S. R I G O , and J. DIAZ, Rev. Techniq. Thomson CSF, 2, 183 (1970).
2235 T. B. T R I P P , / . Electrochem. Soc, 117, 157 (1970).
2236 C J . D E L L ' OCA and M. L. B A R R Y , Solid-State Electron., 15, 659 (1972).
2237 C. J. D E L L OCA, / . Electrochem. Soc, 120, 1225 (1973).
2238 J. R. L I G E N Z A and M. K U H N , Solid State Technol., 13 (12), 33 (1970).
2239 D. L. P U L F R E Y , F . G. M. H A T H O R N , and K. YOUNG, / . Electrochem. Soc, 120,
1529 (1973).
2240 A. K. RAY, Thin Solid Films, 84, 389 (1981).
2241 V.'Q. H O and T. SUGANO, Thin Solid Films, 94, 315 (1982).
2242 K. K. N G a n d J. R. LIGENZA, / . Electrochem. Soc, 131, 1968 (1984).
2243 S. KIMURA, E. MURAKAMI, K. M I Y A K E , T. W A R A B I S A K O , H . SUNAMI, and
T. TOKUYAMA, / . Electrochem. Soc, 132, 1460 (1985).
2244 S. S. WONG, C. G. SODINI, T. W. E K S T E D T , H. R. G R I N O L D S , K. H. JACK-
SON, S. H. K W A N , and W. G. OLDHAM, / . Electrochem. Soc, 130, 1139 (1983).
2245 M. HIRAYAMA, T. MATSUKAWA, H. ARIMA, Y. OHNO, N. TSUBOUCHI, and
H. NAKATA, / . Electrochem. Soc, 131, 663 (1984).
2246 M. HIRAYAMA, T. MATSUKAWA, H. ARIMA, Y. OHNO, and H. NAKATA, / .
Electrochem. Soc, 132, 2494 (1985).
2247 R. P. H. CHANG and A. K. SINHA, Appl. Phys. Lett., 29, 56 (1976).

587
REFERENCES

2248 T. SUGANO and Y. MORI, / . Electrochem. Soc, 121, 113 (1974).


2249 T. F U Y U K I , S. MORIUCHI, and H. MATSUNAMI, Jpn. J. Appl. Phys., 22,
1574 (1983).
2250 J. F . W A G E R , W. H. MAKKY, C. W. W I L M S E N , and L. G. M E I N E R S , Thin Solid
Films, 95, 343 (1982).
2251 R. W. COLLINS, C. J. TUCKERMAN, C.-Y. H U A N G , and H. WINDISCHMANN,
/ . Vac. Sei. Technol., A3, 2077 (1985).
2252 S. MARSHALL, ed., '86 Solid State Processing & Production Buyers Guide", Technical
Publishing, Port Washington, N Y (1986).
2253 S. LEAVITT, ed., 1986 Master Buying Guide", Semicond. Int., 9 (13) (1986).
2254 V. Y. DOO and E. O. ERNST, Solid State Technol., 10 (10), 31 (1967).
2255 M. L. HAMMOND, Solid State Technol., 21 (11), 68 (1978).
2256 T. C. B E T T E S , Semicond. Int., 5 (3), 59 (1982).
2257 E. O. ERNST, D. H U R D , G. SEELEY, and P. O L S H E F S K I , Electrochem. Soc, Fall
Meeting (1965); E. O. ERNST, D. J. H U R D , and G. SEELEY, U. S. Pat. 3,424,629
(1969).
2258 R. S. R Q S L E R and W. C. BENZING, ref. [25], p. 482; Technical Bulletin - "Series
500 Epitaxial Reactor Systems", Applied Materials, Inc., Santa Clara, CA, 1975.
2259 V. S. BAN, / . Cryst. Growth, 45, 97 (1978).
2260 V. D. W O H L H E I T E R and R. A. W H I T N E R , / . Electrochem. Soc, 119, 945 (1972).
2261 W. A. EMERSON, Solid State Technol., 1 (10), 50 (1967).
2262 W. K E R N , Proc. I E E E 1975 National Aerospace and Electronics Conf., NÄECON '75
Record, p. 93, 1975.
2263 W. K E R N , Solid State Technol., 18 (12), 25 (1975).
2264 W. K E R N , RCA Rev., 29, 525 (1968).
2265 J. WOLLAM, Solid State Technol., 14 (12), 72 (1971).
2266 W. C. BENZING, R. S. ROSLER, and R. W. EAST, Solid State Technol., 16 (11),
37 (1973).
2267 Technical Bulletin — "Model 2000 Vapour Deposition System", Pacific Western Systems,
Inc., Mountain View, CA.
2268 P. H. S I N G E R , Semicond. Int., 7 (5), 72 (1984).
2269 Anicon, Inc., Solid State Technol., 26 (12), 61 (1983).
2270 A. J. LEARN, / . Electrochem. Soc, 132, 390, 405 (1985).
2271 D. F O S T E R , A. LEARN, and T. KAMINS, Solid State Technol., 29 (5), 227 (1986).
2272 D. W. F O S T E R , A. J. LEARN, and T. I. KAMINS, J. Vac Sei. Technol., B4, 1182 (1986).
2273 L. F . D A H L S T E D T , Semicond. Int., 2 (5), 62 (1979).
2274 P . S . B U R G G R A A F , Semicond. Int., 3 (3), 23(1980).
2275 W. L. JOHNSON, Solid State Technol., 26 (8), 191 (1983).
2276 A. R. R E I N B E R G , U.S. Pat. 3,757,733 (1973).
2277 R. S. R O S L E R , W. C. BENZING, and J. BALDO, Solid State Technol., 19 (6), 45 (1976).
2278 Tylan Corp., Solid State Technol., 25(12), 29(1982).
2279 R. S. R O S L E R and W. C. BENZING, Solid State Technol., 20 (7). 27 (1977).
2280 W. C. B E N Z I N G and R. F I S K , Solid State Technol., 18(1), 39(1975).
2281 P. FRANSON, Electronics, 47 (23), 69 (1974).
2282 D. JACKSON, Solid State Technol., 15(11), 35 (1972).
2283 M. L. HAMMOND, Solid State Technol., 23 (12), 104(1980).
2284 R. A. BOLMEN, JR., Semicond. Int., 9(4), 156 (1986); 9 (5), 231 (1986); P. B U R G -
GRAAF, Semicond. Int., 5 (11), 55 (1982); P. H. S I N G E R , Semicond. Int., 5(11),
83 (1982); C. MURRAY, Semicond. Int., 9(10), 60 (1986).

588
REFERENCES

2285 W. B A K E R and A. L. MOSSMAN, "Matheson Gas Data Book", 5th Ed., Matheson Gas
Products, Lyndhurst, N J , 1971.
2286 "Gases and Systems for the Electronic Industry", Matheson Gas Products, East Rutherford,
N J , 1970.
2287 A. L. ARMIROTTO, Solid State Technol., 11(10), 43(1968).
2288 W. B A K E R , A. L. MOSSMAN, and D. S I E G E L , "Effects of Exposure to Toxic Gases —
First Aid and Medical Treatment", Matheson Gas Products, Lyndhurst, N J , 1971.
2289 J. L. VOSSEN, D. M. HOFFMAN, H. L. PINCH, M. BARON, and L. F . D A H L S -
T E D T , "Pumping Hazardous Gases", American Vacuum Society, New York, 1980.
2290 P. BACHMANN and P. H. B E R G E S , Solid State Technol., 28(7), 83 (1985).
2291 "Organometallics for Vapour Phase Epitaxy", in "Alfa Catalog-Research Chemicals and
Materials", p. P-163, Ventron Alfa Produkte, Karlsruhe, West Germany (1986).
2292 R. BROWN, ref. [1], Ch. 6.
2293 A . D . W E I S S , Semicond. Int., 6(6), 66 (1983).
2294 A. H. AGAJANIAN, Solid State Technol., 16 (11), 73(1973).
2295 R. B. H E R R I N G , Solid State Technol., 19 (5), 37 (1976).
2296 C. W. PEARCE, ref. [10], Ch. 1, p. 9.
2297 J. A. K E E N A N and G. B. L A R R A B E E , ref. [5353], Vol. 6 (1983), Ch. 1, p. 1.
2298 H . H . W I E D E R , / . Vac. Sei. Technol., 18, 827 (1981).
2299 Technical Bulletins — "Data Sheets on GaP, GaAs, GaSb, InP, InAs, and InSb Slices", MCP
Electronic Materials, Ltd., Alperton, Wembley, England.
2300 G. A. K E I G , Solid State Technol., 15 (9), 53 (1972).
2301 Technical Bulletin — "7059 Glass Substrates", Corning Glass Works, Corning, NY.
2302 Technical Bulletin ~"AlSi Base Ceramic Substrates", American Lava Corporation, Chat-
tanooga, TN, 1971.
2303 J. D. PARSONS, R. F. B U N S H A H , and O. M. STAFSUDD, Solid State Technol.,
28(11) 133 (1985).
2304 N. I W A S E , K. ANZAI, and K. SHINOZAKI, Solid State Technol., 29 (10), 135 (1986).
2305 J. L. SCHMIT, / . Vac. Sei. Technol., A4, 2141 (1986).
2306 D . M . MATTOX, Thin Solid Films, 53, 81 (1978).
2307 J. A. AMICK, Solid State Technol., 19 (11), 47(1976).
2308 R. C. H E N D E R S O N , / . Electrochem. Soc, 119, 772 (1972).
2309 F. P I N T C H O V S K I , J. B. P R I C E , P. J. TOBIN, J. P E A V E Y , and K. KOBOLD,
/ . Electrochem. Soc, 126, 1428 (1979).
2310 D. R. OSWALD, / . Electrochem. Soc, 123, 531 (1976).
2311 W. K E R N and D. A. P U O T I N E N , RCA Rev., 3 1 , 187 (1970).
2312 W. K E R N , RCA Rev., 3 1 , 207, 234 (1970); 32, 64 (1971); Solid State Technol., 15 (1),
34 (1972); 15 (2), 39(1972).
2313 D . E . A S P N E S and A . A . STUDNA, Appl. Phys. Lett., 39, 316 (1981).
2314 G. G O L D F I N G E R , ed., "Clean Surfaces: Their Preparation and Characterization for
Interfacial Studies", Dekker, New York, 1970.
2315 R. C. SNOGREN, "Handbook of Surface Preparation", Palmerton, New York, 1974.
2316 H. KOELMANS, Thin Solid Films, 8, 19 (1971).
2317 R. L. P E T R I T Z , Proc IRE, 5 1 , 1025 (1962).
2318 M. H. FRANCOMBE and H. SATO, eds., "Single-Crystal Films", Pergamon Press, New
York, 1964.
2319 B. SCHWARTZ, ref. [11], p. 612.
2320 W. R. RUNYAN, "Silicon Semiconductor Technology", McGraw-Hill, New York, 1965.
2321 C. H. LI, Phys. Stat. Sol., 15, 419 (1966).

589
REFEKENCES

2322 B. M. B E R R Y , in "Fundamentals of Silicon Integrated Device Technology", (R. M.


Burger and R. P. Donovan, eds.), Vol. 1, Section I I I , p . 347, Prentice-Hall, Inc., Engle-
wood Cliffs, 1967.
2323 L. S. P A L A T N I K and I. I. PAPIROV, "Epitaksialnye Plenki", Nauka, Moskow, 1971.
2324 D. C. GUPTA and P. WANG, Solid State Technol., 11(10), 48(1968).
2325 W. R. RUNYAN, ref. [55], p. 169.
2326 D. C. GUPTA, Solid State Technol., 14 (10), 33 (1971).
2327 B. A. JOYCE, Rep. Prog. Phys., 37, 363 (1974).
2328 H. G. S C H N E I D E R and L. I C K E R T , "Halbleiterepitaxie", Geest u. Portig, Leipzig,
1984.
2329 L. J. M. BOLLEN, Ada Electron, 21, 185 (1978).
2330 M. L. HAMMOND, Semicond. Int., 6 (10), 58 (1983).
2331 G. W. CULLEN, J. F . CORBOY, and R. METZL, RCA Rev., 44, 187 (1983).
2332 K. SCHADE, "Halbleitertechnologie", Bd. 1 —"Mechanische und Chemische Kristallbear-
beitung, Schichtbestellung", Verlag Technik, Berlin, 1981.
2333 J. F . CORBOY and R. PAGLIARO, JR., RCA Rev., 44, 231 (1983).
2334 L. H. L A W R E N C E , J. McDIARMID, and M. L. HAMMOND, ref. [31], p. 454.
2335 K. E. BEAN, W. R. RUNYAN, and R. G. MASSEY, Semicond. Int., 8(5), 135 (1985).
2336 P. B U R G G R A A T , Semicond. Int., 6(10), 45(1983); 9(5), 68 (1986).
2337 S. M. F I S C H E R , M. L. HAMMOND, and N. P. SANDLER, Solid State Technol., 29 (1),
107 (1986).
2338 C . W . P E A R C E , ref. [10], Ch. 2, p . 51.
2339 B. J. BALIGA, ed., "Epitaxial Silicon Technology", Academic Press, New York, 1986.
2340 H. M. L I A W and J. W. ROSE, ref. [2339], Ch. 1.
2341 J. BLOEM and L. J. GILING, ref. [5353], Vol. 12.
2342 E. A. TAFT, / . Electrochem. Soc, 118, 1535 (1971).
2343 P. D. B R A U N and W. KOSAK, / . Cryst. Growth, 45,' 118 (1978).
2344 H. C. T H E U E R E R , / . Electrochem. Soc, 108, 649 (1961); / . Electrochem. Soc, 109,
742 (1962).
2345 A . M A R K , / . Electrochem. Soc, 107, 568 (1960); 108, 880 (1961).
2346 B. E. DEAL, / . Electrochem. Soc, 109, 514 (1962).
2347 K. J. M I L L E R , R. C. MANZ, and M. J. GRIECO, / . Electrochem. Soc, 109, 643 (1962).
2348 C. H. LI, / . Electrochem. Soc, 109, 952 (1962).
2349 E. A. CAVE and B. R. CZORNY, RCA Rev., 24, 523 (1963).
2350 Y. T A R U I , H. TESHIMA, K. OKURA, and A. MINAMIYA, / . Electrochem. Soc,
110, 1167 (1963).
2351 A. G. REVESZ, and R. J. EVANS, Trans. Met. Soc AIME, 230, 581 (1964).
2352 R. NUTTALL, / . Electrochem. Soc, 111, 317 (1964).
2353 J. BLOEM and J. W. A. SCHÖLTE, / . Electrochem. Soc, 112, 1211 (1965):
2354 T. L. CHU, / . Electrochem. Soc, 113, 717 (1966).
2355 M. KUMAGAWA, H. SUNAMI, T. T E R A S A K I , and J. NISHIZAWA, Jpn. J. Appl.
Phys., 7, 1332 (1968).
2356 McD. ROBINSON, C. C. CHANG, R. B. MARCUS, G. .A. ROZGONYI, L. E. KATZ,
and C. P. PAULNACK, / . Electrochem. Soc, 129, 2858 (1982).
2357 V. J. S I L V E S T R I , G. R. SRINIVASAN, and B. G I N S B E R G , / . Electrochem. Soc,
131, 877 (1984).
2358 T. SUZUKI, Y. I N O U E , T. AOYAMA, and M. MAKI, / . Electrochem. Soc, 132,
1480 (1985).
2359 S. R. BHOLA and A. MAYER, RCA Rev., 24, 511 (1963).

590
REFERENCES

2360 S. E. MAYER and D . E . SHEA, / . Electrochem. Soc, 111, 550 (1964).


2361 B. A. JOYCE and R. R. B R A D L E Y , / . Electrochem. Soc, 110, 1235 (1963).
2362 T. L. CHU and G. A. G R U B E R , / . Electrochem. Soc, 114, 522 (1967).
2363 N. N I S H I and M. WATANABE, Jpn. J. Appl. Phys., 6, 550 (1967).
2364 C. J. ATKINSON, G. L. W R I G H T , S. J. W H I T E , and J. D. G R E E N W O O D , / .
Electrochem. Soc, 132, 936 (1985).
2365 J. A. D E L ALAMO and R. M. SWANSON, / . Electrochem. Soc, 132, 3011 (1985).
2366 A . C . I P R I , Solid State Technol., 13 (4), 38 (1970).
2367 J. BLOEM, / . Electrochem. Soc, 117, 1397 (1970).
2368 H . H . L E E , / . Cryst. Growth, 69, 82 (1985).
2369 W. C. BENZING, A. E. OZIAS, and H. B. B R A D L E Y , / . Electrochem. Soc, 118,
73C (1971).
2370 D. J. DELONG, Solid State Technol., 15 (10), 29 (1972).
2371 A. L E K H O L M , / . Electrochem. Soc, 119, 1122 (1972).
2372 P. H. R O B I N S O N and N. GOLDSMITH, / . Electron. Mater., 4, 313 (1975).
2373 N. GOLDSMITH and P. H. ROBINSON, RCA Rev., 34, 358 (1973).
2374 Y. S. CHIANG, RCA Rev., 38, 500 (1977).
2375 J. F . GIBBONS, C. M. G R O N E T , and K. E. WILLIAMS, Appl. Phys. Lett., 47,
721 (1985).
2376 C. M. GRONET, J. C. STURM, K. E. WILLIAMS, J. F . GIBBONS, and S. D. W I L
SON, Appl. Phys. Lett., 48, 1012 (1986).
2377 J. BLOEM, Y. S. OEI, H. H. C. De MOOR, J. H. L. H A N S S E N , and L. J. G I L I N G ,
/ . Electrochem. Soc, 132, 1973 (1985).
2378 A. W. STEIN, / . Electrochem. Soc, 111, 483 (1964).
2379 J. M. C H A R I N G and B. A. JOYCE, / . Electrochem. Soc, 109, 957 (1963).
2380 R. C. SANGSTER, E. F. MAVERICK, and M. L. CROUTCH, / . Electrochem. Soc, 104,
φ 317 (1957).
2381*K. J. M I L L E R , and M. J. GRIECO, / . Electrochem. Soc, 110, 1 (1963).
2382 H. S E K I and H. A R A K I , Jpn. J. Appl. Phys., 4, 645 (1965).
2383 Y. AVIGAL and M. S C H I E B E R , / . Electrochem. Soc, 117, 1585 (1970); / . Cryst.
Growth, 9, 127 (1971).
2384 E. S I R T L and H . S E I T E R , / . Electrochem.%Soc, 113, 507 (1966).
2385 E. K R U L L M A N N and W. L. E N G L . IEEE Trans. Electron. Dev., 29, 491 (1982).
2386 K. TANNO, F . SHIMURA, and T. K A W A M U R A , / . Electrochem. Soc, 128, 395 (1981).
2387 F. LANGLAIS, F . H O T T I E R , and R. CADORET, / . Cryst. Growth, 56, 659 (1982).
2388 R. E. LOGAR and J. O. BORLAND,. Solid State Technol., 28 (6), 133 (1985).
2389 R. K. T S U I , J. A. C U R L E S S , F . SECCO D'ARAGONA, and P. L. F E J E S , / . Electro-
chem. Soc, 131, 180 (1984).
2390 P. H. L E E , M. T. W A U K , R. S. R O S L E R , and W. C. B E N Z I N G , / . Electrochem. Soc,
114, 1824 (1977).
2391 S. SUZUKI, H. OKUDA, and T. ITOH, Jpn. J. Appl. Phys., Suppl. 19-1, 647 (1979).
2392 M. PONS, D. B O U R G E A T , J. T R I L H E , and B. BOURDON, Vide, 200, 3 (1980).
2393 S. SUZUKI and T. ITOH, / . Appl. Phys., 54, 1466 (1983).
2394 T. J. DONAHUE, W. R. B U R G E R , and R. R E I F , Appl. Phys. Lett., 44, 346 (1984).
2395 R. R E I F , / . Electrochem. Soc, 131, 2430 (1984).
2396 T. J. D O N A H U E and R. R E I F , / . Appl. Phys., 57, 2757 (1985).
2397 S. NAGAO, K. H I G A S H I T A N I , Y. AKASAKA, and H. NAKATA, / . Appl. Phys.,
57, 4589 (1985).

591
REFERENCES

2398 D. R. SPARKS, D. M. DAHLQUIST, P . S. ADAM, and R. K. REGER, Solid State


TechnoL, 30 (8), 101 (1987).
2399 H. OGAWA, T. NISHINAGA, and T. ARIZUMI, Jpn. J. Appl. Phys., 13, 595 (1974).
2400 S. K. TUNG, / . Electrochem. Soc, 112, 436 (1965).
2401 W. R. RUNYAN, E . G . A L E X A N D E R , and S. E. CRAIG, JR., / . Electrochem.
Soc, 114, 1154 (1967).
2402 K. E. B E A N and P. S. GLEIM, Proc. IEEE, 57, 1469 (1969).
2403 C M. DRUM and C A. CLARK, / . Electrochem. Soc, 115, 664 (1968); 117, 1401 (19/0).
2404 S. R. W E E K S , Solid State. TechnoL, 24 (11), 111 (1981).
2405 T. ENOMOTO, K. YUKAWA, Y. IWATA, and T. O H K U B O , Jpn J. Appl. Phys.,
8, 1301 (1968).
2406 P. RAI-CHOUDHURY, / . Electrochem. Soc, 118, 1183 (1971).
2407 T. L. CHU, G. A. GRUBER, and R. STICKLER, / . Electrochem. Soc, 113, 156 (1966).
2408 T. L. CHU, / . Electrochem. Soc, 115, 1207 (1968).
2409 A. REISMAN and M. B E R K E N B L I T , / . Electrochem. Soc, 112, 812 (1965).
2410 L. D. D Y E R and F. PADOVANI, ref. [56], p. 201.
2411 T. L. CHU and R. L. TALLMAN, / . Electrochem. Soc, 111, 1306 (1964).
2412 J. BLOEM, / . Electrochem. Soc, 118, 1837 (1971).
2413 D. RICHMAN and R. H. A R L ß T T , / . Electrochem. Soc, 116, 872 (1969).
2414 D. RICHMAN, Y. S. CHIANG, and P. H. ROBINSON, RCA Rev., 3 1 , 613 (1970).
2415 Y. S. CHIANG and D. RICHMAN, Metall. Trans., 2, 743 (1971).
2416 F . L. GITTLER, / . Cryst. Growth, 17, 271 (1972).
2417 B. S. MEYERSON, E. GANIN, D. A. SMITH, and T. N. N G U Y E N , J. Electrochem.
Soc, 133, 1232 (1986).
2418 B. A. JOYCE and J. A. B A L D R E Y , Nature, 195, 485 (1962).
2419 E. G. A L E X A N D E R and W. R. RUNYAN, Trans. Met. Soc AI ME, 236, 284 (1966).
2420 W. G. OLDHAM and R. HOLMSTROM, / . Electrochem. Soc, 114, 381 (1967).
2421 G. L. SCHNÄBLE, W. J. H I L L E G A S , JR., and C, G. T H O R N T O N , Electrochem.
TechnoL, 4, 485 (1966).
2422 E. H. S I R T L and H. S E I T E R , ref. [55], p. 189.
2423 P. R A I - C H O U D H U R Y and D. K. SCHRODER, / . Electrochem. Soc, 118, 107 (1971).
2424 P. R A I - C H O U D H U R Y and D. K. SCHRODER, / . Electrochem. Soc, 120, 664 (1973).
2425 R. K. SMELTZER, / . Electrochem. Soc, 122, 666 (1975).
2426 D. D. RATHMAN, D. J. SILVERSMITH, and J. A. B U R N S , / . Electrochem. Soc,
129, 2303 (1982).
2427 A. M E L L E N D , C. BOZLER, and J. C. C. FAN, Appl. Phys. Lett., 37, 560 (1980).
2428 L. J A S T R Z E B S K I , J. F. CORBOY, and R. PAGLIARO, JR., / . Electrochem. Soc,
129, 2645 (1982).
2429 L. J A S T R Z E B S K I , / . Cryst. Growth, 63, 493 (1983); Solid State TechnoL, 27(9), 239
(1984).
2430 L. J A S T R Z E B S K I , J. F. CORBOY, J. T. McGINN, and R. PAGLIARO, JR., / .
Electrochem. Soc, 130, 1571 (1983).
2431 L. J A S T R Z E B S K I , J. F. CORBOY, R. SOYDAN, R. P A G L I A R O , JR., and C.
MA GEE, / . Electrochem. Soc, 132, 3057-(1985).
2432 L. J A S T R Z E B S K I , J. F. CORBOY, R. PAGLIARO, JR., and R. SOYDAN, / .
Electrochem. Soc, 132, 3056 (1985).
2433 R . P . ZINGG, G.W. NEUDECK, S. H O F F F L I N G E R , and S.T. LIU, / . Electrochem.
Soc, 133, 1274 (1986).

592
REFERENCES

2434 K. TANNO, N. ENDO, H . K I T A J I M A . Y. K U R O G I , and H . TSUYA, Jpn. J.


Appl. Phys., 2 1 , L564 (1982).
2435 H . K I T A J I M A , A. I S H I T A N I , N. ENDO, and K . TANNO, Jpn. J. Appl. Phys.,
22, L 7 8 3 (1983).
2436 A. I S H I T A N I , N. ENDO, and H. TSUYA, Jpn. J. Appl. Phys., 23, L391 (1984).
2437 R. J. D E X T E R , S. B. W A T E L S K I , and S. T. PICRAUX, Appl. Phys. Lett., 23,
455 (1973).
2438 R. A. MOLINE, R. L I E B E R M A N N , J. SIMPSON, and A. V. MacRAE, J\ Electro-
chem. Soc, 121, 1362 (1974).
2439 J. F . C. B A K E R and R. OGDEN, / . Mat. Sei., 10, 1259 (1975).
2440 D. P. MILLER, S. B. W A T E L S K I and C. R. MOORE, / . Appl. Phys., 34, 2813
(1963).
2441 C. E. HALLAS and E. J. PATZNER, SCP and Solid State Technol., 7 (8), 41 (1964);
8 (11), 20 (1965).
2442 C. A. L E N I E , SCP and Solid State Technol., 7 (8), 23 (1964).
2443 J, M. CHARIG, B. A. JOYCE, D. J. STIRLAND, and R. W. BICKNELL, Philos.
Mag., 7, 1847 (1962).
2444 K. O. B A T S F O R D and D. J. D. THOMAS, Solid-State Electron., 5, 353 (1962); Micro-
electron Rehab., 3, 159 (1964); Electrical Comm., 38, 354 (1963).
2445 P. D E L A V I G N E T T E , J. T O U R N I E R , and S. AMELINKS, Philos. Mag.,. 6, 1419 (1961).
2446 Y. M A T U K U R A and Y. MIURA, Jpn. J. Appl. Phys., 2, 518 (1963).
2447 H. J. Q U E I S S E R and A. G O E T Z B E R G E R , Philos. Mag., 8, 1063 (1963).
2448 Y. SUGITA and M. TAMURA, / . Appl..Phys., 40, 3089 (1969).
2449 M. TAMURA and Y. SUGITA, Jpn. J. Appl. Phys., 9, 368 (1970).
2450 H . J. Q U E I S S E R , R. H. FINCH, and J. W A S H B U R N , / . Appl. Phys., 33, 1536
(1962).
2451 T. L. CHU and J. R. GAVALER, / . Electrochem. Soc, 110, 388 (1963); Philos. Mag.,
9, 993 (1964).
2452 R. H. FINCH, H. J. Q U E I S S E R , G. THOMAS, and J. W A S H B U R N , / . Appl. Phys.t
34, 406 (1963).
2453 R. J. JACCODINE, Appl. Phys. Lett., 2, 201 (1963)..
2454 G. H. S C H W U T T K E and V. SILS, / . Appl. Phys., 34, 2813 (1963).
2455 M. YOSHIMATSU, Jpn. J. Appl. Phys., 3, 94 (1964).
2456 N. OGINO, Jpn. J. Appl. Phys., 4, 797 (1964).
2457 R. H. D U D L E Y , / . Appl. Phys., 35, 1360 (1964).
2458 S. MENDELSON, / . Appl. Phys., 38, 1873 (1967); Ada Met., 13, 555 (1965).
2459 Y. H A N E T A , Jpn. J. Appl. Phys., 4, 69 (1965).
2460 G. R. BOOKER, / . Appl. Phys., 37, 441 (1966).
2461 D. POMERANTZ, / . Appl. Phys., 38, 5020 (1967).
2462 G. D I O N N E , / . Appl. Phys., 39, 2490 (1968).
2463 M. A. F O R T E S , Philos. Mag., 22, 317 (1970).
2464 T. SUZUKI, M. URA, and T. OGAWA, Jpn. J. Appl. Phys., 11, 666 (1972).
2465 R. B. MARCUS, M. ROBINSON, T. T. S H E N G , S. E- HASZKO, S. P. M U R A R K A ,
and L. E. KATZ, / . Electrochem. Soc, 124, 425 (1977).
2466 H . F U J I T A and Y. K A W A S A K I , Jpn. J. Appl. Phys., 5, 788 (1966).
2467 M. I N O U E , / . Electrochem. Soc, 112, 189 (1965).
2468 K. SATO, Solid-State Electron., 7, 743 (1964).
2469 S. N I E L S E N and G. J. RICH, Microelectron. Reliab., 3, 171 (1964).

593
REFERENCES

2470 P . RAI-CHOUDHURY, A. J. N O R E I K A , and M. L. T H E O D O R E , / . Eledrochem.


Soc, 116, 97 (1969).
2471 L. D. DYER, J. Eledrochem. Soc, 118, 957 (1971).
2472 R. OGDEN, Phys. Stat. Sol., A14, K101 (1972).
2473 P. RAI-CHOUDHURY, / . Cryst. Growth, 8, 165 (1971).
2474 H . M. MANASEVIT, W. I. SIMPSON, and F. M. ERDMANN, / . Eledrochem. Soc,
121, 967 (1974).
2475 W. H . S H E P H E R D , / . Eledrochem. Soc, 115, 54 (1968).
2476 H. THOMAS and W. G. TOWNSEND, Solid-State Electron., 9, 1137 (1966).
2477 P. RAI-CHOUDHURY, / . Phys. Chem. Solids, 30, 1811 (1969).
2478 T. B. SWANSON and R. N. TUCKER, / . Eledrochem. Soc, 116, 1271 (1969).
2479 P. R A I - C H O U D H U R Y and E. I. SALKOVITZ, / . Cryst. Growth, 7, 353, 361 (1970).
2480 J. BLOEM, / . Cryst. Growth, 13/14, 302 (1972); 3 1 , 256 (1975); / . Eledrochem. Soc.
1X8, 1837 (1971).
2481 J. P. DUCHEMIN, Rev. Techniq. Thomson CSF, 9, 411 (1977).
2482 N. N I H I R A , T. SHIRASU, T. T E R A S A K I , and J. NISHIZAWA, / . Eledrochem. Soc,
122, 7 8 ! (1975).
2483 D. K A H N G , C. O. THOMAS, and R. C. MANZ, / . Eledrochem. Soc, 110, 394 (1963).
2484 C O . THOMAS, D. K A H N G , and R. C. MANZ, / . Eledrochem. Soc, 109, 1055(1962).
2485 T. ABE, T. KATO, and Y. N I S H I , Jpn. J. Appl. Phys., 4, 161 (1964).
2486 T. ABE, K. SATO, and N. OI, Jpn. J. Appl. Phys., 4, 70 (1965).
2487 A. S. GROVE, A. R O D E R , and C. T. SAH, / . Appl. Phys., 36, 802 (1965).
2488 Y. N I S H I and M. KONAKA, Jpn. J. Appl. Phys., 5, 1116 (1966).
2489 B . A. JOYCE, J. C. W E A V E R , and J. J. MAULE, / . Eledrochem. Soc, 112, 1100
(1965).
2490 S. M. HU, / . Appl. Phys., 39, 3844 (1968).
2491 W. RICE, Proc IEEE, 52, 284 (1964).
2492 D. C. GUPTA, / . Electron. Mater., 1, 371 (1972).
2493 D. C. GUPTA, and R. Y E E , / . Eledrochem. Soc, 116, 1561 (1969).
2494 J. B. PRICE and J. GOLDMAN, / . Eledrochem. Soc, 126, 2033 (1979).
2495 M. TABE and H. NAKAMURA, / . Eledrochem. Soc, 126, 822 (1979).
2496 W. H. S H E P H E R D , / . Eledrochem. Soc, 115, 652 (1968).
2497 D.W.- BOSS, B. M. KEMLAGE, V. J. LYONS, and H. B. P O G G E , U. S. P a t .
3,765,960 (1973).
2498 G. R. SRINIVASAN, / . Eledrochem. Soc, 125, 146 (1978); 127, 1334 (1980); / . Appl.
Phys., 5 1 , 4824 (1980); G. R. SRINIVASAN, ref. 57, p. 218.
2499 C. O. BOLZER, / . Eeldrochem. Soc, 122, 1705 (1975).
2500 M. OGIRIMA, H. SAIDA, M. SUZUKI, and M. MAKI, / . Eledrochem. Soc, 124, 903
(1977); 125, 1879 (1978).
2501 P. H . L A N G E R and J. I. GOLDSTEIN, / . Eledrochem. Soc, 121, 563 (1974); 124,
591 (1977).
2502 S. NAKANUMA, IEEE Trans. Electron. Dev., 13, 578 (1966).
2503 G. S K E L L Y and A. C. ADAMS, / . Eledrochem. Soc, 120, 116 (1973).
2504 M. C. C H E N and V. J. SILVESTRI, / . Electrochem.Soc, 128, 389 (1981); 129, 1294
(1982).
2505 G. A. ROZGONYI, R. P. D E Y S H E R , and C. W. P E A R C E , / . Eledrochem. Soc, 123,
1910 (1976).
2506 T. I S H I I , K. T A K A H A S H I , A. KONDO, and K. S H I R A K A H A T A , / . Eledrochem.
Soc, 122, 1523 (1975).

594
REFERENCES

2507 G. K. ACKERMANN and E. E B E R T , / . Electrochem. Soc, 130, 1910 (1983).


2508 M. W. M. G R A E F , B. J. H. L E U N I S S E N , and H. H. C. De MOOR, / . Electrochem.
Soc, 132, 1942 (1985).
2509 G. R. SRINIVASAN, Solid State Technol., 24 (11), 101 (1981); / . Electrochem. Soc.f
132, 3005 (1985).
2510 H.-R. CHANG, / . Electrochem. Soc, 132, 219 (1985).
2511 M. W O N G and R. R E I F , IEEE Trans. Electron. Dev., 32, 8389 (1985).
2512 J. S I N G L E R and S. B. W A T E L S K I , Solid State J., 2 (3), 33 (1961).
2513 H. C. T H E U E R E R , J. J. KLELMACK, H . H . LOAR, and H. C H R I S T E N S E N , Proc.
IRE, 48, 1642 (1960).
2514 C. C. A L L E N and W. R. RUNYAN, IEEE Trans. Electron. Dev., 10, 289 (1963).
2515 L. S. S E N H O U S E , JR., IEEE Trans. Electron. Dev., 16, 161 (1969).
2516 M. HEITZMANN and M. BOUDOT, IEEE Trans. Electron. Dev., 30, 759 (1983).
2517 W. G L E N D I N N I N G , C. MARLETT, J. A L L E G R E T I , and D. SHOMBERT, Proc
IRE, 49, 1087 (1961); D . M . JACKSON, JR., Trans. Met. Soc AIME, 233, 596 (1965).
2518 H. M. LIAW, J. R O S E , and P. L . F E J E S , Solid State Technol., 27 (5), 135 (1984).
2519 Staff Article, Semicond. Int., 3 (4) (1980); R. R. TROUTMAN, IEEE Electron. Dev.
Lett., 4, 438 (1983).
2520 H. C. LIN, J. C. HO, T. R. T Y E R , and K. K W O N G , IEEE Trans. Electron. Dev. 16,
945 (1969).
2521 M. M. B L O U K E , N. J. TOLAR, and H. M. L E E D Y , Proc IEEE, 58, 805 (1970).
2522 R. L. ANDERSON and M. J. O'ROURKE, IBM J. Res. Dev., 4, 264 (1960); H.
K R E S S E L and M. A. K L E I N , RCA Rev., 24, 616 (1963).
2523 S. NAKANUMA, IEEE Trans. Electron. Dev., 13, 578 (1966).
2524 W. E. E N G E L E R , "M. BLUMENFELD, and E. A. TAFT, Appl. Phys. Lett., 16, 202
(1970).
2525 J. J. G R O S S M A N , / . Electrochem. Soc, 110, 1065 (1963); N. K Y L E and J. J. GROS-
S M A N , / . Electrochem. Soc 110, 184C (1963).
2526 V. J. SILVESTRI, / . Electrochem. Soc, 116, 81 (1969).
2527 M. INOUE, Jpn. J. Appl. Phys., 11, 1232 (1972).
2528 K. J. M I L L E R and M. J. GRIECO, / . Electrochem. Soc, 110, 1252 (1963).
2529 L. H. HALL, / . Electrochem. Soc, 119, 1593 (1972).
2530 R. A. R U D D E R , G. G. F O U N T A I N , and R. J. MARKUNAS, / . Appl. Phys., 60,
3519 (1986).
2531 S. IIDA and Y. SUGITA, Jpn. J. Appl. Phys., 3, 163 (1964); S. IIDA, Jpn. J. Appl.
Phys., 5, 138 (1966).
2532 E. A. R O T H , H . GOSSENBERGER, and J. A. AMICK, RCA Rev., 24, 499 (1963).
2533 Y. AVIGAL, D. ITZHAK, and M. SCHIEBER, / . Electrochem. Soc, 122, 1226 (1975).
2534 V. J. SILVESTRI, T. B. L I G H T , H. N. YU, and A. REISMAN, IBM J. Res. Dev.,
15, 71 (1972).
2535 A. REISMAN and M. B E R K E N B L I T , / . Electrochem. Soc, 112, 315 (1965).
2536 M. B E R K E N B L I T , A. REISMAN, and T. B. L I G H T , / . Eelctrochem. Soc, 115, 966
(1968).
2537 M. B E R K E N B L I T , T. B. L I G H T , and A. REISMAN, / . Electrochem. Soc, 117,
359 (1970).
2538 J. M. H A R R I S , H. C. GATOS, and A. F . W I T T , / . Electrochem. Soc, 118, 335, 338
(1971).
2539 S. MINAGAWA and H. C. GATOS, Jpn. J. Appl. Phys., 10, 844, 1680 (1971).

595
REFERENCES

2540 M. P. CALLAGHAN and R. W. B R A N D E R , / . Cryst. Growth, 1 3 - 1 4 , 397 (1972);


R. W. BRANDER, / . Electrochem. Soc, 111, 881 (1964).
2541 G. GRAMBERG and M. K Ö N I G E R , Solid-State Electron. 15, 285 (1972).
2542 W. VON MUENCH, / . Electrochem. Mater., 6, 449 (1977).
2543 W. VON MUENCH and P. HOECK, Solid-State Electron., 2 1 , 479 (1978).
2544 W. VON MUENCH and I. P F Ä F F E N D E R , Thin Solid Films, 3 1 , 39 (1976); / . Electro-
chem. Soc, 122, 642 (1975); W. VON MUENCH, W. K Ü R Z I N G E R , and I. P F ÄFFEN-
DER, Solid-State Electron., 19, 871 (1976).
2545 N. C. TOMBS, J. J. COMER, and J. F . FITZGERALD, Solid-State Electron., 8, 839
(1965).
2546 D. M. JACKSON, JR., and R. W. HOWARD, Trans. Met. Soc. AIME, 233, 468
(1965).
2547 K. E. BEAN and P . S . GLEIM, / . Electrochem. Soc, 114, 1158 (1967).
2548 K. A. JACOBSON, / . Electrochem. Soc, 118, 1001 (1971).
2549 M. BALOG, A. REISMAN, and M. B E R K E N B L I T , / . Electrom. Mater., 9, 669 (1980).
2550 H. NAKASHIMA, T. SUGANO, and H. YANAI, Jpn. J. AppL Phys., 5, 874 (1966).
2551 K. K U R O I W A and T. SUGANO, / . Electrochem. Soc, 120, 138 (1973).
2552 P. RAI-CHOUDHURY and N. P. F O R M I G O N I , / . Electrechem. Soc, 116, 1440 (1969).
2553 S. N I S H I N O , H. MATSUNAMI, and T. TANAKA, Jpn. J. AppL Phys., 14, 1833
(1975).
2554 H. MATSUNAMI, S. N I S H I N O , and T. TÄNAKA, / . Cryst. Growth, 45, 138 (1978).
2555 S. N I S H I N O , Y. HAZUKI, H. MATSUNAMI, and T. TANAKA, / . Electrochem. Soc,
127, 2674 (1980).
2556 S. N I S H I N O , J. A. P O W E L L , and H . A . W I L L , Appl Phys. Lett., 42, 460 (1983).
2557 F . KOBAYASHI, K. IKAWA, and K. IWAMOTO, / . Cryst. Growth, 28, 395 (1975).
2558 Y. AVIGAL, M. S C H I E B E R , and R. LEVIN, / . Cryst. Growth, 2 4 - 2 5 , 188 (1974).
2559 A. SUZUKI, A. UEMOTO, M. SHIGETA, K. FURUKAWA, and S. NAKAJIMA,
AppL Phys. Lett., 49, 450 (1986).
2560 K. FURUKAWA, A. UEMOTO, M. SHIGETA, A. SUZUKI, and S. NAKAJIMA, AppL
Phys. Lett., 48, 1536 (1986).
2561 A. SUZUKI, K. FURUKAWA, Y. H I G A S H I G A K I , S. HARADA, S. NAKAJIMA,
and T. INOGUCHI, / . Cryst. Growth, 70, 287 (1984).
2 562 A. ADDAMIANO and P. H . K L E I N , / . Cryst. Growth, 70, 291 (1984).
2563 R. T. HOLM, P. H. K L E I N , and P . E . R. NORDQUIST, / . AppL Phys., 60, 1479
(1986).
2564 B . SEGALL, S. A. ALTEROWITZ, E. J. HAUGLAND, and L. G. MATUS, AppL
Phys. Lett., 49, 584 (1986).
2565 P. LIAW and R. F . DAVIS, / . Electrochem. Soc, 131, 3014 (1984); 132, 642 (1985).
2566 H. J. KIM and R. F . DAVIS, / . Electrochem. Soc, 133, 2350 (1986); / . AppL Phys.,
60, 2897 (1986).
2567 R. BRUTSCH, Thin Solid Films, 126, 313 (1985).
2568 K. SASAKI, E. SAKUMA, S. MISAWA, S. YOSHIDA, and S. GONDA, AppL Phys.,
Lett., 45, 72 (1984).
2569 Y. F U J I W A R A , E. SAKUMA, S. MISAWA, K. ENDO, and S. YOSHIDA, AppL
Phys. Lett., 49, 388 (1986).
2570 S. YOSHIDA, K. SASAKI, E. SAKUMA, and S. GONDA, AppL Phys. Lett., 46,
766 (1985).

596
REFERENCES

2571 S. \ O S H I D A , H. DAIMON, M. YAMANAKA, E. SAKUMA, S. MISAWA, and K.


ENDO, / . Appl. Phys., 60, 2989 (1986).
2572 A. W E I N R I C H and A. R I B N E R , / . Electrochem. Soc, 115, 1090 (1980).
2573 H . YOSHIHARA, H. MORT, and K. K I U C H I , Thin Solid Films, 76, 1 (1981).
2574 R. B. CAMPBELL, T. L. CHU, and K. C. LIANG, / . Electrochem. Soc, 113, 825 (1966).
2575 S. N I S H I N O , A. I B A R A K I , H. MATSUNAMI, and T. TANAKA, Jpn. / . Appl.
Phys., 19, L353 (1980); S. N I S H I N O , T. TANAKA, and H. MATSUNAMI, / . Cryst.
Growth, 45, 144 (1978).
2576 S. YOSHIDA, E. SAKUMA, S. MISAWA, and S. GONDA, / . Appl. Phys., 55,
169 (1984).
2577 N. HOLONYAK, JR., D. C. JILLSON, and S. F . BEVACQUA, ref. [49], p. 49.
2578 B. A. JOYCE, in "The Use oj Thin Films in Physical Investigations" (J. C. Anderson,
ed.), Academic Press, New York, 1966.
2579 H. H. W I E D E R , " Inter metallic Semiconducting Films'9, Pergamon, Oxford, 1970.
2580 V. K . J A I N and S. K. SHARMA, Solid-State Electron., 13, 1145 (1970).
2581 A. BRADSHAW and J. E. K N A P P E T T , Solid State Technol., 13 (10), 45 (1970).
2582 J. J. T I E T J E N , V. S. BAN, R. E. ENSTROM, and D. RICHMAN, / . Vac. Sei.
Technol., 8, S5 (1971);
2583 D . W . PASHLEY, Prog. Surf. Sei., 3, 23 (1972).
2584 M. DUGUE, J. F . GOULLIN, P. MERENDA, and M. MOULIN, in "Preparative
Methods in Solid State Chemistry" (P. Hagenmuller, ed.), Academic Press, New York, 1972.
2585 H. T. MINDEN, Solid State Technol., 16 (1), 31 (1973).
2586 J. B. MULLIN, / . Cryst. Growth, 42, 77 (1977).
2587 L. HOLLAN, Ada Electron., 21, 117 (1978).
2588 J. P. HALLAIS, Ada Electron., 21, 129 (1978).
2589 G. B. S T R I N G F E L L O W , Annu. Rev. Mater. Sei., 8, 73 (1978).
2590 P. BALK and E. V E U H O F F , / . Cryst. Growth, 55, 35 (1981).
2591 H. M. MANASEVIT, / . Cryst. Growth, 55, 1 (1981).
2592 R. D. D U P U I S , / . Cryst. Growth, 55, 255 (1981).
2593 P . D . DAPKUS, Annu. Rev. Mater. Sei., 12, 243 (1982); / . Cryst. Growth, 68, 345
(1984).
2594 R. M. B I E F E L D , Ind. Eng. Chem. Prod. Res. Dev., 21, 525 (1982); P. B U R G G R A A F ,
Semicond. Int., 9 (11), 46 (1986).
2595 M. J. LUDOWISE, / . Appl. Phys., 58, R31 (1985).
2596 W\ VON MUENCH, / . Cryst. Growth, 9, 144 (1971).
2597 B. A. JOYCE, in "Crystal Growth" (B. R. Pamplin, ed.), p. 157, Pergamon, Oxford,
1975; / . Cryst. Growth, 3 - 4 , . 43 (1968).
2598 D. E F F E R , / . Electrochem. Soc, 112, 1020 (1965).
2599 J. R. K N I G H T , D. E F F E R , and P. R. EVANS, Solid-State Electron., 8, 178 (1965).
2600 M. MARUYAMA, S. K I K U C H I and O. MIZUNO, / . Electrochem. Soc, 116, 413 (1969).
2601 J. V. DiLORENZO, / . Cryst. Growth, 17, 189 (1972); 22, 175 (1974).
2602 J. V. DiLORENZO and G. E. MOORE, JR., / . Electrochem. Soc, 118, 1823 (1971).
2603 P. RAI-CHOUDHURY, / . Cryst. Growth, 11, 113 (1971).
2604 M. NAKAGAWA and H. IKOMA, Jpn. J. Appl. Phys., 10, 1345 (1971).
2605 T. AOKI and M. YAMAGUCHI, Jpn. J. Appl. Phys., 10, 953 (1971); 11, 1775 (1972).
2606 M. E. W E I N E R , / . Electrochem. Soc, 119, 496 (1972).
2607 H. OKAMOTO, S. SAKÄTA, and K. SAKAI, / . Appl. Phys., 44, 1316 (1973).
2608 C M . W O L F E , D . M . KORN, and G. E. STILLMAN, Appl. Phys. Lett., 24, 78 (1974).
2609 H. B. POGGE and B. M. KEMLAGE, / . Cryst. Growth, 3 1 , 183 (1975).

597
REFERENCES

2610 D. J. ASHEN, P. J. DEAN, D. T. J. H U R L E , J. B. MULLIN, and A. M. WHITE,


/ . Phys. Chem. Solids, 36, 1041 (1975).
2611 H. SEKI, K. MORIYAMA, S. MATSUMOTO and M. URAMOTO , Jpn. J. Appl.
Phys., 6, 785 (1967).
2612 P . BAUDET, M. BINET, and D. BOCCON-GIBOD, IEEE Trans. Microwave Theory
Tech., 24, 372 (1976).
2613 X. HOLLAN, M. BOULOU, and J. P . CHANE, / . Electron. Mater., 10, 193 (1981).
2614 H. WATANABE and Y. S E K I , / . Cryst. Growth., 24/25, 220 (1972).
2615 H. WATANABE, Jpn. J. Appl. Phys., 14, 1451 (1975).
2616 K. H. BACHEM and M. H E Y E N , / . Electrochem. Soc, 123, 147 (1976).
2617 H. S E K I , H. EGUCHI, and H. KOBAYASHI, / . Cryst. Growth, 24/25, 225 (1972).
2618 H . SEKI, A. KOOKITU, K. OHTA, and M. F U J I M O T O , Jpn. J. Appl. Phys. 15,
11 (1976).
2619 T. AOKI, jpn. J. Appl. Phys., 14, 1267 (1975).
2620 M. MARUYAMA, S. K I K U C H I , and O. MIZUNO, / . Electrochem. Soc.t 116, 413 (1969).
2621 K. MORIZANE and Y. MORI, / . Cryst. Growth, 45, 164 (1978).
2622 J. M. DURAND, Philips J. Res., 34, 177 (1979).
2623 K. NAKAI, K. KITAHARA, A. SHIBATOMI, and S. OHKAWA, / . Electrochem. Soc,
124, 1635 (1977).
2624 L. HOLLAN, J. M. DURAND, and R. CADORET, / . Electrochem. Soc, 124, 135 (1977).
2625 L. HOLLAN and J. M. DURAND, / . Cryst. Growth, 46, 665 (1979).
2626 R. C. TAYLOR, / . Electrochem. Soc, 114, 410 (1967).
2627 M. IHARA, K. DAKAI, and O. RYUZAN, / . Appl. Phys., 45, 528 (1974).
2628 J. KOMENO, A. MIURA, and S. OHKAWA, / . Cryst. Growth, 45, 171 (1978).
2629 H . S E K I , A. K O U K I T U , H . S E K I , and M. F U J I M O T O . Jpn. J, Appl. Phys., 16,
847 (1977).
2630 R. KONTRIMAS and A. E. B L A K E S L E E , Electrochem. Tech., 6, 78 (1968).
2631 A. E. B L A K E S L E E , Trans. Met. Soc AIME, 245, 577 (1969).
2632 R. E. E W I N G and P . E . G R E E N , / . Electrochem. Soc, 111, 1266 (1964).
2633 D . W . SHAW, / . Electrochem. Soc, 115, 405 (1968); / . Cryst. Growth, 3 1 , 130 (1975).
2634 S. G. P A R K E R , / . Cryst. Growth, 9, 177 (1971).
2635 J. P. CHANE, L. HOLLAN, and C. SCHILLER, / . Cryst. Growth, 1 3 - 1 4 , 325 (1972).
2636 J. V. DiLORENZO and A. E. MACHALA, / . Electrochem. Soc, 118, 1516 (1971).
2637 J. V. DiLORENZO, / . Cryst. Growth, 17, 189 (1972).
2638 R. R. MOEST, / . Electrochem. Soc, 113, 141 (1966).
2639 R. C. TAYLOR, / . Electrochem. Soc 116, 383 (1969).
2640 Y. F U R U K A W A , G. I W A N E , and S. ANDO, Jpn. J. Appl. Phys., 8, 973 (1969),
2641 L. C. L U T H E R and J. V. DiLORENZO, / . Electrochem. Soc, 122, 760 (1975).
2642 G. H . W E S T P H A L , D. W. SHAW, and R. A. HARTZELL, / . Cryst. Growth, 56,
324 (1982).
2643 S. B. H Y D E R and W. W. H O O P E R , / . Cryst. Growth, 56, 369 (1982).
2644 M. OTSUBO, T. ODA, S. MITSUI and H . MIKI, / . Electrochem. Soc, 124, 1907 (1977).
2645 J. P. CHANE, / . Electrochem. Soc, 127, 913 (1980).
2646 M. NOGAMI, J. KOMENO, A. SHIBATOMI, and S. OHKAWA, / . Cryst. Growth, 5 1 ,
637 (1981).
2647 H. SEKI, K. MORIYAMA, I. ASAKAWA, and S. H O R I E , Jpn. J. Appl. Phys.,
7, 1324 (1968).
2648 A. BOUCHER and L. HOLLAN, / . Electrochem. Soc, 117, 932 (1970).
2649 D. J. K I R W A N , / . Electrochem. Soc, 117, 1572 (1970).

598
REFERENCES

2650 A. K O U K I T U and H. S E K I , Jpn. J. Appl. Phys., 16, 1967 (1977).


2651 H. SEKI, A. K O U K I T U , and M. F U J I M O T O , Jpn. J. Appl. Phys., 14, 411 (1973).
2652 D. W. SHAW, / . Cryst. Growth, 8, 117, (1971); 35, 1 (1976); / . Electrochem. Soc. 117,
683 (1970).
2653 H . HÄMMERLING, / . Cryst. Growth, 9, 171 (1971).
2654 O. M1ZUNO and H. WATANABE, J. Cryst. Growth, 30, 240 (1975).
2655 J. KOMENO, S. OHKAWA, A. MIURA, K. DAZAI, and O. RYUZAN, / . Electrochem.
Soc, 124, 1440 (1977).
2656 J. M. DURAND, L. HOLLAN, and R. CADORET, / . Electrochem. Soc, 124, 1133
(1978).
2657 L. HOLLAN and C. SCHILLER, / . Cryst. Growth, 13/14, 319 (1972).
2658 J. B. LOYAU, M. O B E R L I N , A. O B E R L I N , L. HOLLAN, and R. CADORET, / .
Cryst. Growth, 29, 176, 187 (1975).
2659 R. CADORET and M. CADORET, / . Cryst. Growth, 3 1 , 142 (1975).
2660 L. HOLLAN and C. SCHILLER, / . Cryst. Growth, 22, 175 (1974).
2661 H. T. MINDEN, / . Cryst. Growth, 8, 37 (1971).
2662 J. K. K E N N E D Y and W. D. POTTER, / . Cryst. Growth, 19, 85 (1973).
2663 Y. NONOMURA, Y. OKUNO, and J. NISHIZAWA, / . Cryst. Growth, 46, 795 (1979).
2664 H . S E K I , A. K O U K I T U , H. S E K I , and M. F U J I M O T O , / . Cryst. Growth, 45, 139
(1978).
2665 N. GOLDSMITH and W. O S H I N S K Y , RCA Rev., 24, 546 (1963).
2666 J. A. AMICK, RCA Rev., 24, 555 (1963).
2667 S . W . ING, J R . and H . T. MINDEN, / . Electrochem. Soc, 109, 995 (1962).
2668 R. L. NEWMAN and N. GOLDSMITH, / . Electrochem. Soc, 108, 1127 (1961).
2669 T. N A K A N I S I and M. KASIWAGI, Jpn. J. Appl. Phys., 13, 484 (1974).
2670 J. E. K N A P P E T T , Solid-State Electron., 14, 185 (1971).
2671 P. MERENDA, / . Cryst. Growth, 1 3 - 1 4 , 331 (1972).
2672 J. K. K E N N E D Y , W. D. P O T T E R , and D. E. DAVIES, / . Cryst. Growth, 24/25,
233 (1974).
2673 K. K U R O I W A , T. AOKI, and M. F U J I M O T O , / . Cryst. Growth, .24/25, 229 (1974).
2674 M. OZEKI, K. KITAHARA, K. NAKAI, A. SHIBATOMI, K. DAZAI, S. OKAWA,
and O. RYUZAN, Jpn. J. Appl. Phys., 16, 1617 (1977).
2675 J. NISHIZAWA, H. SHIMAWAKI, and Y. SAKUMA, / . Electrochem. Soc, 133,
2567 (1986).
2676 H. POTH, H. BRUCH, M. H E Y E N , and P. BALK, / . Appl. Phys., 49, 283 (1978).
2677 K. H . BACHEM and H . BRUCH, / . Electrochem. Soc, 122, 1000 (1975).
2678 A. BOUCHER, J. P. CHANE, and E. F A B R E , Rev. Phys. Appl., 6, 5 (1971).
2679 P. K U E P P E R , H. BRUCH, M. H E Y E N , and P. BALK, / . Electron. Mater., 5,
455 (1976).
2680 Y. G. SIDEROV, L. F . VASIL'EVA, I. V. SABININA, and 'S. A. D V O R E T S K Y ,
/ . Electrochem. Soc, 123, 698 (1976).
2681 M.HEYEN, H. BRUCH, K.-H. BACHEM, and P . BALK, / . Cryst. Growth, 42, 127,
(1977).
2682 O. MIZUNO, S. K I K U C H I , and Y. S E K I , Jpn. J. Appl. Phys., 10, 208 (1971).
2683 K. NAKAI, K. KITAHARA, A. SHIBATOMI, and S. OHKAWA, / . Electrochem.
Soc, 124, 1635 (1977).
2684 M. OZEKI, K. NAKAI, K. DAZAI, and O. RYUZAN, Jpn. J. Appl. Phys., 13,
1121 (1974).
2685 J. KOMENO, A. SHIBATOMI, and S. OHKAWA, / . Cryst. Growth, 52, 250 (1981).

599
REFERENCES

2686 K. H . NICHOLS, R. E. GOLDWASSER, and C. M. W O L F E , Appl. Phys. Lett., 36,


601 (1980).
2687 C M . W O L F E , D . M . KORN, and G. E. STILLMAN, Appl. Phys. Lett., 24, 78 (1974).
2688 C M . W O L F E , A.G. FOYT, and W. T. L I N D L E Y , Electrochem. Technol., 6, 208 (1968).
2689 F . HASEGAWA and T. SAITO, Jpn. J. Appl. Phys., 7, 1125, 1342, 1540 (1968).
2690 J. V. DiLORENZO, R. B. MARCUS, and R. L E W I S , / . Appl. Phys., 42, 729 (1971).
2691 J. V. DiLORENZO, / . Electrochem. Soc, 118, 1645 (1971).
2692 F . HASEGAWA, / . Electrochem. Soc, 119, 930, (1972); Jpn. J. Appl. Phys., 9,
638 (1970).
2693 T. SAITO and F . HASEGAWA, Jpn.J. Appl. Phys., 10, 197 (1971).
2694 L. HOLLAN, J. HALLAIS, and C. SCHILLER, / . Cryst. Growth, 9, 165 (1971).
2695 H . NAKASHIMA and M. HIRAO, Jpn. J. Appl. Phys., 9, 1495 (1970).
2696 H . M I K I , M. ITO, and T. ODA, jpn. J. Appl. Phys., 11, 623 (1972).
.2697 M. NAKAGAWA and H. IKOME, Jpn. J. Appl. Phys., 10, 1345 (1971).
2698 T. J. MAGEE, J. P E N G , J. D. HONG, C. A. EVANS, JR., V. R. D E L I N E , and
R. M. MALBON, Appl. Phys. Lett., 35, 277 (1979).
2699 M. OKAMOTO, S. SAKATA, and K. SAKAI, / . Appl. Phys., 44, 1316 (1973).
2700 C. M. W O L F E , G. E. STILLMAN, and E. B. OWENS, / . Electrochem. Soc, 117,
129 (1970).
2701 J. J. T I E T J E N , R. E. ENSTROM, and D. RICHMAN, RCA Rev., 3 1 , 635 (1970);
J. J. T I E T J E N , R. E. ENSTROM, V. S. BAN, and D. RICHMAN, Solid State Technol.,
15 (10), 42 (1972).
2702 R. E. ENSTROM and C. C. P E T E R S O N , Trans. Met. Soc. AIME, 239, 413 (1967),
2703 L. E. NORTON, R. E. ENSTROM, and I. J. H E G Y I , IEEE Trans. Electron. Dev.,
15, 142 (1968).
2704 B.E. BERSON, R . E . ENSTROM, and J . F . REYNOLDS, RCA Rev., 31, 20 (1970).
2705 J. J. T I E T J E N , G. K U P S K Y , and H. F . G O S S E N B E R G E R , Solid-State Electron.,
9, 1049 (1966).
2706 C. J. N U E S E , J. J. T I E T J E N , J. J. GANNON, and H . F . GOSSENBERGER, Irans.
Met. Soc. AIME, 342, 400 (1968).
2707 A.H. SOMMER, RCA Rev., 34, 95 (1973).
2708 B. F . WILLIAMS and J. J. T I E T J E N , Proc. IEEE, 59, 1489 (1971).
2709 D. G. F I S C H E R , R. E. ENSTROM, and B. F . WILLIAMS, Appl. Phys. Lett., 18,
371 (1971).
2710 R. E. ENSTROM and J. R. A P P E R T , ref. [70], p . 213.
2711 T. E. WALSH, B. S. PERLMAN, and R. E. ENSTROM, IEEE J. Solid. St. Cite,
4, 375 (1969).
2712 C. J. N U E S E , J. J. GANON, R. H. DEAN, H. F . G O S S E N B E R G E R , and R. E.
ENSTROM, Solid-State Electron., 15, 81 (1972).
2713 G. H . OLSEN, M. S. ABRAHAMS, C. J. BUIOCCHI, and T. J. ZAMEROWSKI,
/ . Appl. Phys., 46, 1643 (1975).
2714 E. V E U H O F F , M. MAIER, K.-H. BACHEM, and P . BALK, / . Cryst. Growth, 53,
598 (1981).
2715 R . E . ENSTROM, C. J. N U E S E , J. R. A P P E R T , and J. J. GANNON, / . Electro-
chem. Soc, 121, 1516 (1974).
2716 G. B. STRINGFELLOW and G. HOM, / . Electrochem. Soc, 124, 1806 (1977).
2717 R . E . ENSTROM and J. R. A P P E R T , / . Electrochem. Soc, 129, 2566 (1982).
2718 N. PÜTZ, E. V E U H O F F , K.-H. BACHEM, P. BALK, and H. LÜTH, J. Electrochem.
Soc, 128, 2202 (1981).

600
REFERENCES

2719 P. RAI-CHOUDHURY, / . Electrochem. Soc, 116, 1745 (1969).


2720 S. ITO, T. SHINOHARA, and Y. S E K I , / . Electrochem. Soc, 120, 1419 (1973).
2721 S. J. BASS, / . Cryst. Growth, 3 1 , 172 (1975); 44, 29 (1978); 47, 613 (1979).
2722 K. L I N D E K E , W. SACK, and J. J. NICKL, / . Electrochem. Soc, 117, 1316 (1970).
2723 Y. NAKAYAMA, S. OHKAWA, H . HASHIMOTO, and H. I S H I K A W A , / . Electro-
chem. Soc, 123, 1227 (1976).
2724 Y. SEKI, K. TANNO, K. IIDA, and E. I C H I K I , / . Electrochem. Soc, 122, 1108 (1975).
2725 C. B. S T R I N G F E L L O W and H . T. HALL, J R . , / . Cryst. Growth, 43, 47 (1978),
2726 A. MITONNEAU, J. P. CHANE, and J. P . ANDRE, / . Electron. Mater., % 213 (1980)
2727 A. ZAOUK, E. SALVETAT, J. SAKAYA, F . MAURY, and G. CONSTANT, / . Cryst.
Growth, 55, 135 (1981).
2728 J. P. A N D R E , P . GUITTARD, J. H A L L A I S , and C P I A G E T , / . Cryst. Growth, 5 5 r
235 (1981).
2729 M. BONNET, N. VISENTON, G. BESSONNEAU, and J. P. DUCHEMIN, / . Cryst.
Growth, 55, 246 (1981).
2730 T. N A K A N I S I , T. UDAGAWA, A. TANAKA, and K. KAMEI, / . Cryst. Growth, 55,
255 (1981).
2731 P. D. DAPKUS, H . M. MANASENT, K. L. H E S S , T. S. LOW, and G. E. STILLMAN,
/ . Cryst. Growth, 55, 10 (1981).
2732 A. ESCOBOSA, H . K R A E U T L E , and H . B E N E K I N G , / . Cryst. Growth, 57, 605 (1982).
2733 L. SAMUELSON, P. OMLING, H. TITZE, and H. G. GRIMMEISS, / . Cryst. Growth,
55, 164 (1981).
2734 M. ΟΛΥΑΤΑΝΑΒΕ, A. TANAKA, T. NAKANISI, and Y. ZOTHA, Jpn. J. Appl. Phys.,
20, L429 (1981).
2735 L. K. H E S S , P. D. DAPKUS, H. M. MANASENT, T. S. LOW, B. J. SKROMME,
and G. E. STILLMAN, / . Electron. Mater., 11, 1115 (1982).
2736 N. KOBAYASHI, T. F U K U I , and Y. H O R I K O S H I , Jpn. J. Apfl. Phys., P t . 2,
21,L705(1982).
2737 A. ESCOBOSA, H. K R A E U T L E , and H. B E N E K I N G , / . Cryst. Growth, 56, 376 (1982).
2738 T. OHYAMA, E. OTSUKA, O. MATSUDA, Y. MORI, and K. K A N E K O , Jpn. J.
Appl. Phys., 2 1 , L583 (1982).
2739 H. K R A E U T L E , H . R O E H L E , A. ESCOBOSA, and H . B E N E K I N G , / . Electron.
Mater., 12, 215 (1983).
2740 A. P. R O T H , S. CHARBONNEAU, and R. G. GOODCHILD, / . Appl. Phys., 54,
5350 (1983).
2741 D . H . R E E P and S. K. GHANDHI, / . Electrochem. Soc, 130, 675 (1983); 131, 2697
(1984).
2742 J. I. DAVIES, R. C. GOODFELLOW, and J. O. WILLIAMS, / . Cryst. Growth, 68,
10 (1984).
2743 V. AEBI, S. BANDY, C. N I S H I M O T O , and G. ZDASIUK, Appl. Phys., Lett., 44,
1056 (1984).
2744 M. R A Z E G H I and J. P . DUCHEMIN, / . Cryst. Growth, 64, 76 (1983).
2745 J. D. PARSONS and F . G. K R A J E N B R I N K , / . Electrochem. Soc, 130, 1782 (1983).
2746 C. R. L E W I S , W. T. D I E T Z E , and M. J. L U D O W I S E , Electron. Lett., 18, 59 (1982);
/ . Electron. Muter., 12, 507 (1983).
2747 J. S. R O B E R T S , N. J. MASON, and M. ROBINSON, / . Cryst. Growth, 68, 422 (1984).
2748 A. P. ROTH, R. YAKIMOVA, and V. S. SUNDARAM, Electron. Lett., 19, 1062 (1983).
2749 T. F . KUECH, B. S. M E Y E R S O N , and E. V E U H O F F , Appl. Phys. Lett., 44, 986
(1984).

601
REFERENCES

2750 T. F. KUECH, E. V E U H O F F , and B. S. MEYERSON, / . Cryst. Growth, 68, 48 (1984).


2751 E. V E U H O F F , T. F . KUECH, and B. S. MEYERSON, J. Electrochem. Soc, 132,
1958 (1985).
2752 J. D. PARSONS and F . G. K R A J E N B R I N K , / . Electrochem. Soc, 130, 1780 (1983).
2753 M. K. L E E , C. Y. CHANG, and Y. K. SU, Appl. Phys. Lett., 42, 88 (1983).
2754 C. Y. CHANG, M. K. L E E , Y. K. SU, and W. C. HSU, / . Appl. Phys., 54, 5464 (1983).
2755 M. K. L E E and C. Y. CHANG, / . Appl. Phys., 60, 283 (1986).
2756 C. R. L E W I S , C. W. F O R D , and J. G, W E R T H E N , Appl. Phys. Lett., 45, 895 (1984).
2757 W. L E N T H , A. CHU, L. J. MAHONEY, R. W. McCLELLAND, R. W. MOUNTAIN,
and D. J. SILVERSMITH, Appl. Phys. Lett., 46, 191 (1985).
2758 T. F. KUECH, R. POTEMSKI, and T. I. CHAPELL, / . Appl. Phys., 58, 1196 (1985).
2759 V. SWAMINATHAN, D. L. VAN H Ä R E N , J. L. ZILKO, P. Y. LU, and N. E.
SCHUMAKER, / . Appl. Phys., 57, 5349 (1985).
2760 G. COSTRINI and J. J. COLEMAN, / . Appl. Phys., 57, 2249 (1985).
2761 J. L. TANDON and Y. C. M. YEH, / . Electrochem. Soc, 132, 662 (1985).
2762 M. YOSHIDA, H. TERAO, and H. WATANABE, / . Electrochem. Soc, 132, 930 (1985).
2763 S. K. GHANDHI, R. T. HUANG, and J. M. B O R R E G O , Appl. Phys. Lett., 48,
415 (1986).
2764 J. VAN de H E N , H. G. SCHOOT, and L. GILING, / . Appl. Phys., 60, 1648 (1986).
2765 J. LACOMBE, J. P. DUCHEMIN, M. BONNET, and D. H U Y G H E , Electron. Lett.,
13, 472 (1977).
2766 J. P. DUCHEMIN, M. BONNET, F. KOELSCH, a n d D. H U Y G H E , , / . Cryst. Growth,
45, 181 (1978); / . Electrochem. Soc, 126, 1134 (1979).
2767 N. KOBAYASHI, T. F U K U I and Y. H O R I K O S H I , Jpn. J. Appl. Phys. Lett., 2 1 ,
L705 (1982).
2768 S. T A K A G I S H I and H . MORI, Jpn. J. Appl. Phys., 22, L795 (1983); 23, L100 (1984).
2769 H. MORI and S. T A K A G I S H I , / . Cryst. Growth, 69, 23 (1985).
2770 F . T. J. SMITH, / . Cryst. Growth, 67, 573 (1984).
2771 C. Y. CHANG, Y. K. SU, M. K. L E E , L. G. CHEN, and M. P . H O U G H , / . Cryst.
Growth, 55, 24 (1981).
2772 Y. K. SU, C. Y. CHANG, T. S. WU, Y. C. CHOU, and C. Y. N E E , / . Cryst. Growth,
67, 472 (1984).
2773 T. F . KUECH and R. POTEMSKI, Appl. Phys. Lett., 47, 821 (1985).
2774 J . P . HALLAIS, D. BOCCON-GIBOD, J. P. CHANE, J. M. DURAND, and L. HOL·
LAN, / . Electrochem. Soc, 124, 1290 (1977).
2775 T. H A R I U , K. TAKENAKA, S. SHIBUYA, Y. KOMATSU, and Y. SHIBATA,
Thin Solid Films, 80, 235 (1981).
2776 K . P . PANDE and A . C . SEABAUGH, / . Electrochem. Soc, 131, 1357 (1984).
2777 K. P. PANDE and O. AINA, / . Vac Sei. Technol., A4, 673 (1986).
2778 D . W . SHAW, / . Electrochem. Soc, 113, 904, 958 (1966).
2779 S. M. BLUMENFELD, G. W. ELLIS, R. W. R E D I N G T O N , and R. H. WILSON, IEEE
Trans. Electron. Dev., 18, 1036 (1971).
2780 T. MIKAWA, O. WADA, and H. TAKANISHI, Jpn. J. Appl. Phys., 11, 1756 (1972).
2781 J . P . CHANE, L. HOLLAN, and C. SCHILLER, / . Cryst. Growth, 1 3 - 1 4 , 325 (1972).
2782 S. IIDA and K. ITO, / . Cryst. Growth, 13/14, 336 (1972).
2783 S. K. SHASTRY, Appl. Phys. Lett., 41, 836 (1982).
2784 R. P. GALE, R. W. McCLELLAND, J. C. C. FAN, and C. O. BOZLER, Appl. Phys.
Lett., 41, 545 (1892).
2785 C. GHOSH and R. L. LAYMAN, Appl .Phys. Lett., 45, 1229 (1984).

602
REFERENCES

2786 H. ASAI and S. ANDO, / . Electrochem. Soc, 132, 2445 (1985).


2787 K. OKAMOTO, S. ONOZAWA, and T. IMAI, / . Appl. Phys., 56, 2993 (1984).
2788 K. OKAMOTO and K. YAMAGUCHI, Appl. Phys. Lett., 48, 849 (1986).
2789 B. A. VOJAK and J. P. SALERNO, Appl. Phys. Lett, 41, 1151 (1982).
2790 J. F . GIBBONS, C. M. GRONET, and K. E. WILLIAMS, Appl. Phys. Lett., 47,
721 (1985).
2791 C M . GRONET, J. C. STURM, K. E. W I L L I A M S , J. F . G I B B O N S , and S.D.
WILSON, Appl. Phys. Lett., 48, 1012 (1986).
2792 S. REYNOLDS, D. W. VOOK, and J. F . GIBBONS, Appl. Phys. Lett., 49, 1720 (1986).
2793 M. J. RAND and J. F . R O B E R T S , / . Electrochem. Soc, 115, 423 (1968); / . Cryst.
Growth, 56, 511 (1982).
2794 M. HIRAYAMA and K. SHOHNO, / . Electrochem. Soc, 122, 1671 (1975).
2795 S. P. MURARKA, C. C. CHANG, D. N. K. WANG, and T. E. SMITH, / . Electrochem.
Soc, 126, 1951 (1979).
2796 H. MIYAMOTO, M. H I R O S E , and Y. OSAKA, Jpn. J. Appl. Phys., 22, L216 (1983).
2797 S. B. H Y D E R and T. O. Y E P , / . Electrochem. Soc, 123, 1721 (1976).
2798 O. GAFRI, A. GRILL, D. ITZAK, A. INSPECTOR, and R. AVNI, Thin Solid Films,
72, 523 (1980).
2799 T. H. YUZURIHA and D . W . H E S S , Thin Solid Films, 140, 199 (1986).
2800 T. T A K A H A S H I , H . I T O H , and A. T A K E U C H I , / . Cryst. Growth, 47, 245 (1979).
2801 W. BARONIAN, Mater. Res. Bull., 7, 119 (1972).
2802 M. SANO and M. AOKI, Thin Solid Films, 83, 247 (1981).
2803 S. MOTOJIMA, Y. TAMURA, and K. SUGIYAMA, Thin. Solid Films, 88, 269 (1982).
2804 A . C . ADAMS and C D . CAPIO, / . Electrochem. Soc, 127, 399 (1980).
2805 H . O. P I E R S O N , / . Composite Mater., 9, 228 (1975).
2806 A . C . ADAMS, / . Electrochem. Soc, 128, 1378 (1981).
2807 J. J. GEBHARDT, ref. [26], p. 460.
2808 K. NAKAMURA, / . Electrochem. Soc, 132, 1757 (1985).
2809 W. SCHMOLLA and H. L. H A R T N A G E L , / . Electrochem. Soc, 129, 2636 (1932).
2810 M. HIRAYAMA and K. SHOHNO, / . Electrochem. Soc, 122, 1671 (1975).
2811 L. G. C A R P E N T E R and P. J. K I R B Y , / . Phys., D15, 1143 (1982).
2812 A. SIMPSON and A. D. STUCKES, / . Phys., C4, 1710 (1971).
2813 E. YAMAGUCHI and M. MINAKATA, / . Appl. Phys., 55, 3098 (1984).
2814 T. NISHINAGA, H. OGAWA, H. WATANABE, and T. ARIZUMI, / . Cryst. Growth,
1 3 - 1 4 , 346 (1972).
2815 T. NISHINAGA and T. MIZUTANI, Jpn. J. Appl. Phys., 14, 753 (1975).
2816 K. NONAKA, C J. KIM, and K. SHOHNO, / . Cryst. Growth, 49, 549 (1980).
2817 C J. KIM and K. SHOHNO, / . Electrochem. Soc, 131, 1J0 (1984).
2818 T. TAKENAKA, M. TAKIGAWA and K. SHOHNO, / . Electrochem. Soc, 125, 633
(1978).
2819 K. SHOHNO, H . O H T A K E , and J. BLOEM, / . Cryst. Growth, 45, 187 (1978).
2820 T. L. CHU, J. M. JACKSON, A. E. H Y S L O P , and S. S. C CHU, / . Appl. Phys.t
42, 420 (1971).
2821 M. TAKIGAWA, M. HIRAYAMA, and K. SHOHNO, Jpn. J. Appl. Phys., 13, 411
(1974).
2822 K. SHOHNO, M. TAKIGAWA, and T. NAKADA, / . Cryst. Growth, 24/25, 193 (1974).
2823 Y. H I R A I and K. SHOHNO, / . Cryst. Growth, 4 1 , 124 (1977).
2824 T. TAKENAKA, M. TAKIGAWA, and K. SHOHNO, Jpn. J. Appl. Phys., 14, 579
(1975); 15,2021, 2225 (1976).

603
REFERENCES

2825 C. KIM and K. SHOHNO, Jpn. J. Appl. Phys., 20, 190 (1981).
2826 K. SHOHNO and C. J. KIM, / . Cryst. Growth, 56, 511 (1982).
2827 T. T A K E N A K A and K. SHOHNO, Jpn. J. Appl. Phys., 13, 1211 (1974).
2828 M. TAKIGAWA, M. HIRAYAMA, and K. SHOHNO , Jpn. J. Appl. Phys., 12, 1504
(1973).
2829 M. T A K I G A W A and K. SHOHNO, Jpn. J. Appl. Phys., 16, 637 (1977).
2830 T. L. CHU and A. E. HYSLOP, / . Electrochem. Soc, 121, 412 (1974).
2831 M. HIRAYAMA and K. SHOHNO, Jpn. J. Appl. Phys., 12, 1960 (1973).
2832 T. L. CHU, D . W . ING, and A. J. N O R E I K A , Solid-State Electron., 10, 1023 (1967).
2833 A. J. N O R E I K A and D . W . ING, / . Appl. Phys., 39, 5578 (1968).
2834 D . W . L E W I S , / . Electrochem. Soc, 117, 978 (1970).
2835 T. L. CHU and R. W. KELM, JR., / . Electrochem. Soc, 122, 995 (1975).
2836 K. TSUBOUCHI, K. SUGAI, and N. MIKOSHIBA, Jpn. J. Appl. Phys., 19, L751
(1980).
2837 M. MORITA, S. ISOGAI, K. TSUBOUCHI, and N. MIKOSHIBA, Appl. Phys. Lett.,
38, 50 (1981).
2838 M. MORITA, S. ISOGAI, N. SHIMIZU, K. TSUBOUCHI, and N. MIKOSHIBA,
jpn. J. Appl. Phys., 20, L173 (1981).
2839 M. MORITA, K. TSUBOUCI, and N. MIKOSHIBA, Jpn. Appl. Phys., 2 1 , 728,
1102 (1982)
2840 Y. CHUBACHI, K. SATO, and K. KOJIMA, Thin Solid Films, 122, 259 (1984).
2841 Y. PAULEAU, A. BOUTEVILLE, J. J. H A N T Z P E R G U E , J. C. REMY, and A.
CACHARD, / . Electrochem. Soc, 129, 1045 (1982).
2842 J. PASTRNAK and L. SOUCKOVA, Phys. Stat. Sol., 3, K 7 1 (1963).
2843 G. A. COX, D. O. CUMMINS, K. KAW T ABE, and R. H. TREDGOLD, / . Phys. Chem.
Solids, 28, 543 (1967).
2844 S. OKAMURA, H . N I S H I , T. INADA, and H. HASHIMOTO, Appl. Phys. Lett,
40, 689 (1982).
2845 D. RICHMAN, / . Electrochem. Sec, 115, 945 (1968).
2846 H. T. MINDEN, Appl. Phys. Lett., 17, 359 (1970).
2847 W. M. YIM, / . Appl. Phys., 42, 2854 (1971).
2848 M. E T T E N B E R G , A. G. SIGAI, A. D R E E B E N , and S. L. GILBERT, / . Electrochem.
Soc, 118, 1355 (1971).
2849 A. G. SIGAI, M. S. ABRAHAMS, and J. BLANC, / . Electrochem. Soc, 119, 952 (1972).
2850 W. D. JOHNSTON, JR., and W . M . C A L L A H A N , / . Electrochem. Soc, 123, 1524 (1976);
W. D. JOHNSTON, JR., IEEE Trans. Electron. Dev., 24, 135 (1977).
2851 R. D R U I L H E , / . Cryst. Growth, 54, 330 (1981).
2852 M. LEROUX, A. TR£)MSON-CARLI, P. GIBART, C. V E R I E , C. B E R N A R D , and
M. C. SCHOULER, / . Cryst. Growth, 47, 367 (1980).
2853 A. TROMSON-CARLI, P. GIBART, and C. BERNARD, / . Cryst. Growth, 5 1 , 125,
(1981).
2854 H. P. MARUSKA, and J. J. T I E T J E N , Appl. Phys. Lett., 15, 327 (1969).
2855 A. SHINTANI and S. MINAGAWA, / . Cryst. Growth, 22, 1 (1974); / . Electrochem.
Soc, 123, 1575, 1725 (1976); 125, 2076 (1978).
2856 M. SANO and A. AOKI, Jpn. J. Appl. Phys., 15, 1943 (1976).
2857 G. JACOB, M. BOULOU, and M. FURTADO, / . Cryst. Growth, 42, 136 (1977).
2858 G. JACOB, Ada Electron., 21, 159 (1978).
2859 S. S. L I U and D. A. STEVENSON, / . Electrochem. Soc, 125, 1161 (1978).
2860 B. MONEMAR and O. LAGERSTEDT, / . Appl. Phys., 50, 6480 (1979).

604
REFERENCES

2861 B. MONEMAR, O. L A G E R S T E D T , and H. P. G I S L A S O N , / Appl. Phys., 51, 625


(1980)
2862 D. K. W I C K E N D E N , K. R. F A U L K N E R , R. W. B R A N D E R , and B. J. I S H E R W O O D ,
/ . Cryst. Growth, 9, 158 (1971).
2863 T. L. CHU, / . Electrochem. Soc, 118, 1200 (1971).
2864 Y. MORIMOTO, and S. USHIO, Jpn. J. Appl. Phys., 12, 1820 (1973).
2865 Y. MORIMOTO, K. UCHIHO and S. USHIO, / . Electrochem. Soc, 120, 1783 (1973).
2866 T. L. CHU, K. ITO, R. K. SMELTZER, and S. S. C. CHU, / . Electrochem. Soc, 121,
159 (1974).
2867 J. E. A N D R E W S and M. A. L I T T L E J O H N , / . Electrochem. Soc, 122, 1273 (1975).
2868 K. MATSUSHITA, Y. MATSUNO, T. H A R I U , and Y. SHIBATA, Thin Solid Films,
80, 243 (1981).
2869 J. I, PANKOVE, E. A. MILLER, and J. E. B E R K E Y H E I S E R , / . Luminescence, 5,
84 (1972); RCA Rev., 32, 3S3 (1971); / . Luminescence, 6, 54 (1973).
2870 J. I. PANKOVE, S. BLOOM, and G. H A R B E K E , RCA Rev., 36, 163 (1975).
2871 H. P. MARJUKA, W . C. R H I N E S , and D. A. STEVENSON, Mater. Res. Bull., 7,
777 (1972).
2872 H. P. MARUSKA, L. J. ANDERSON, and D. A. STEVENSON, / . Electrochem. Soc,
121, 1202 (1974).
2873 G. JACOB, M. BOULOU, M. FURTADO, and D. BOIS, / . Electron. Mater., 7, 499
(1978).
2874 D. RICHMAN and J. J. T I E T J E N , Trans. Met. Soc AIME, 239, 418 (1967).
2875 R. C. TAYLOR, / . Electrochem. Soc, 116, 383 (1969).
2876 G. B. S T R I N G F E L L O W , M. E. W E I N E R , and R. A. B U R M E I S T E R , / . Electron.
Mater., 4, 363 (1975).
2877 G. B. .STRINGFELLOW, and H. T. HALL, JR., / . Electrochem. Soc, 123, 916 (1976).
2878 B . W . W E S S E L S , / . Electrochem. Soc, 122, 402 (1975).
2879 H. S E K I and Y. TAKIZAWA, jpn. J. Appl. Phys., 12, 1112 (1973).
2880 K. JACOBS, / . Cryst. Growth, 56, 362 (1982).
2881 M. OGIRIMA, Jpn. J. Appl. Phys., 11, 281 (1972).
2882 L. C. L U T H E R and D. D. ROCCASECCA, / . Electrochem. Soc, 115, 850 (1968).
2883 A. USUI and K. MORI, Jpn. J. Appl. Phys., 15, 2245 (1976).
2884 D. D. ROCCASECCA, R. H. SAUL, and O. G. LORIMER, / . Electrochem. Soc, 121,
962 (1974).
2885 M. G. CRAFORD, W. O. GROVES, A. H. H E R Z O G , and D. E. H I L L , / . Appl.
Phys., 42, 2751 (1971).
2886 L. C. L U T H E R , / . Electrochem. Soc, 116, 374 (1969).
2887 H. S E K I and H. A R A K I , Jpn. J. Appl. Phys., 6, 1414 (1967).
2888 K. JACOBS and W. S E I F E R T , / . Crystal Growth, 50, 701 (1980).
2889 H. B E N E K I N G and H. R O E H L E , / . Cryst. Growth, 55, 79 (1981).
2890 R. M. B I E F E L D , / . Cryst. Growth, 56, 382 (1982).
2891 I. J. F R I T Z , R. M. B I E F E L D , and R. C. H U G H E S , Appl. Phys. Lett., 41, 974(1982).
2892 D. T. SCHLYER and M. A. RING, / . Electrochem. Soc, 124, 569 (1977).
2893 R. E. SIMON, A. H. SOMMER, J. J. T I E T J E N , and B. F . WILLIAMS, Appl. Phys.
Lett., 13, 355 (1968).
2894 G. B. S T R I N G F E L L O W and D. K E R P S , Solid-State Electron., 18, 1019 (1975).
2895 R. B. CLOUGH and J. J. T I E T J E N , Trans. Met. Soc. AIME, 245, 583 (1969).
2896 M. K A K E H I , R. SHIMOKAWA, and T. ARIZUMI, Jpn. J. Appl. Phys, 9, 1039
(1970).

605
REFERENCES

2897 T. ARIZUMI, M. K A K E H I , and R. SHIMOKAWA, / . Cryst. Growth, 9, 151 (1971).


2898 H. M. MANASEVIT and K. L. H E S S , / . Electrochem. Soc, 126, 2031 (1979).
2899 C. V. COOPER, I I I , R. R. SAXENA, and M. J. LUDOWISE, / . Electron. Mater.,
11, 1001 (1982).
2900 N. KITAMURA, M. K A K E H I , J. S H E N , and T. WADA, Jpn. J. Appl. Phys., 20,
995 (1981).
2901 R. C. CLARKE, / . Cryst. Growth, 54, 88 (1981).
2902 J. C H E V R I E R , M. ARMAND, A. M. H U B E R , and N. T. L I N H , / . Electron. Mater.,
9, 745 (1980).
2903 R . C . CLARKE and L. L. TAYLOR, / . Cryst. Growth, 3 1 , 190 (1975).
2904 R. C. CLARKE, B. D. JOYCE, and H. W. E. WILGOSS, Solid State Commun., 8,
1125 (1970).
2905 M. H O S H I N O , K. TANAKA, J. KOMENO, K. KITAHARA, K. KODAMA, and
M. O Z E K I , Appl. Phys. Lett., 48, 186 (1986).
2906 P. VOHL, C. O. BOZLER, R. W. McCLELLAND, A. CHU, and A. J. STRAUSS,
J. Cryst. Growth, 56, 410 (1982).
2907 P. J. W A N G and B. W. W E S S E L S , Appl. Phys. Lett, 49, 564 (1986).
2908 N. SUSA, Y. YAMAUCHI, H . ANDO, and H. KANBE, / . Cryst. Growth, 58, 527
(1982).
2909 H. J Ü R G E N S E N , J. KOREC, M. H E Y E N , and P . BALK, / . Cryst. Growth., 66,
73 (1984).
2910 S. N. G. CHU, F . A. STEVIE, A. T. MACRANDER, R. F . K A R L I C E K , C. C.
CHANG, C. M. JODLAUK, K. E. S T R E G E , D. L. MITCHAM, and W. D. J O H N -
STON, JR., / . Electrochem. Soc, 132, 1187 (1985). .
2911 T. F U K U I and Y. H O R I K O S H I , Jpn. J. Appl. Phys., 19, L395 (1980).
2912 G. A. ANTYPAS, Appl. Phys. Lett., 37, 64 (1980).
2913 J. YOSHINO, K. TAKASHI, and H. KUKIMOTO, / . Cryst. .Growth, 55, 74 (1981).
2914 M. OGURA, K. INOUE, Y. BAN, T. UNO, M. M O R I S A K I , and N. H A S E , Jpn.
J. Appl. Phys., 2 1 , L548 (1982).
2915 M. OGURA, M. MIZUTA, N. H A S E , and H. KUKIMOTO, Jpn. J. Appl. Phys., 22,
658 (1983).
2916 J. S. W H I T E L E Y and S. K. GHANDHI, / . Electrochem. Soc, 130, 1191 (1983).
2917 K. L. H E S S , D. L. KASEMSET, and P . D . D A P K U S , / . Electron. Mater., 13, 779
(1984).
2918 M. R A Z E G H I , B. De CREMOUX, and J. P. DUCHEMIN, / . Cryst. Growth, 68, 389
(1984).
2919 A. W. NELSON and L. P . WESTBROOK, / . Appl. Phys., 55, 3103 (1984).
2920 J. J. YANG, R. P. R U T H , and H . M. MANASEVIT, / . Appl. Phys., 52, 6729 (1981).
2921 J. A. LONG, V. G. R I G G S , and W. D. JOHNSTON, JR., / . Cryst. Growth, 69,
10 (1985).
2922 K. H U A N G and B . W . W E S S E L S , / . Appl. Phys., 60, 4342 (1986).
2923 S. N. G. CHU, S. NAKAHARA, J. A. LONG, V. G. R I G G S , and W. D. J O H N S T O N ,
JR., / . Electrochem. Soc, 132, 2795 (1985).
2924 J. S. YUAN, M. GAL, P. C. TAYLOR, and G. B. S T R I N G F E L L O W , Appl. Phys.
Lett., 47, 405 (1985).
2925 C. A. LARSEN, C. H. CHEN, M. KITAMURA, G. B. S T R I N G F E L L O W , D. W.
BROWN, and A. J. ROBERTSON, Appl. Phys. Lett., 48, 1531 (1986).
2926 M. SACILOTTI, A. MIRCEA, and R. AZOULAY, / . Cryst. Growth, 63, 111 (1983).

606
REFERENCES

2927 C. C. H S U , R. M. COHEN, and G. B. S T R I N G F E L L O W , / . Cryst. Growth, 63,


8 (1983).
2928 S. J. BASS, C. P I C K E R I N G , and M. L. YOUNG, / . Cryst. Growth, 64, 68 (1983).
2929 S. J. BASS and M. L. YOUNG, / . Cryst. Growth, 68, 311 (1984).
2930 M. D. SCOTT, A. G. NORMAN, and R. R. BRADLEY, / . Cryst. Growth, 68, 319
(1984).
2931 A. MIRCEA, R. AZOULAY, L. D U G R A N D , R. MELLET, K. RAO, and M. SACI-
LOTTI, / . Electron. Mater., 13, 603 (1984); A. MIRCEA, R. MELLET, B. R O S E ,
D. R O B E I N , H. T H I B L E R G E , G. L E R O U X , P . DASTE, S. G O D E F R O Y , P .
OSSART, and A.-M. P O U G N E T , / . Electron. Mater., 15, 205 (1986).
2932 H . R E N Z , J. W E I D L E I N , R. W. BENZ, and M. H . P I L K U H N , Electron. Lett., 6,
228 (1980).
2933 R. H . MOSS and J. S. EVANS, / . Cryst. Growth, 55, 129 (1981).
2934 K. W. BENZ, H . RENZ, J. W I E D L E I N , and M. H. P I L K U H N , / . Electron. Mater.,
10, 185 (1981).
2935 R. KARLIGEK, J. A. LONG, and V. M. DONNELY, / . Cryst. Growth, 68, 123 (1984).
2936 R. H . MOSS, / . Cryst. Growth, 68, 78 (1984).
2937 R. H . MOSS and P. C. S P U R D E N S , / . Cryst. Growth, 68, 96 (1984).
2938 A. W. N E L S O N and L. D. W E S T B R O O K , / . Cryst. Growth, 68, 102 (1984).
2939 D. J. NICHOLAS, D. ALLSOPP, B. HAMILTON, A. R. P E A K E R , and S. J. BASS,
/ . Cryst. Growth, 68, 326 (1984).
2940 F . MAURY, A. E L HAMMADI, and G. CONSTANT, / . Cryst. Growth, 68, 88 (1984).
2941 P . N. FAVENNEC, M. SALVI, M. A. Di FORTE-POISSON, and J. P . DUCHEMIN,
Appl. Phys. Lett., 43, 77 (1983).
2942 M. R A Z E G H I and J. P. DUCHEMIN, / . Cryst. Growth, 64, 76 (1983); / . Vac. Sei.
Technol., B l , 262 (1983).
2943 J. P. DUCHEMIN, M. R A Z E G H I , J. P. H I R T Z , and M. BONNET, ref. [77], p . 89.
2944 D. KASEMSET, K. L. H E S S , K. MOHAMMED, and J. L. MERZ, / , Electron. Mater.,
13, 655 (1984).
2945 T. K A M I J O H , H. TAKANO, and M. SAKUTA, / . Cryst. Growth, 67, 144 (1984).
2946 M. A. di F O R T E - P O I S S O N , C. B R Y L I N S K I , G. COLOMER, D. OSSELIN, S.
H E R S E E , J. P . DUCHEMIN, F . AZAN, D. L E C H E V A L L I E R , and J. LACOMBE,
Electron. Lett., 20, 1061 (1984).
2947 K. UWAI, N. SUSA, O. MIKAMI, and T. F U K U I , Jpn. J. Appl. Phys., 23, L121
(1984).
2948 M..A. di F O R T E - P O I S S O N , C. B R Y L I N S K I , and J. P. DUCHEMIN, Appl. Phys.
Lett., 46, 476 (1985).'
2949 L. D. ZHU, K. T. CHAN, and J. M. BALLANTYNE, Appl. Phys. Lett., 47, 471 (1985);
L. D. ZHU, K. T. CHAN, D. K. W A G N E R , and J. M. BALLANTYNE, </. Appl.
Phys., 57, 5486 (1985).
-2950 A. R. CLAWSON, and D. I. E L D E R , / . Electron. Mater., 15, 111 (1986).
2951 M. O I S H I and K. KUROIWA, / . Electrochem. Soc, 132, 1209 (1985).
2952 O. MIZUNO, H. WATANABE, and D. SHINODA, Jpn. J. Appl. Phys., 14, 184
(1975).
2953 G. R. CRONIN, R. W. CONRAD, and S. R. B O R R E L L O , / . Electrochem. Soc, 113,
1336 (1966).
2954 G. R. CRONIN and S. R. B O R R E L L O , / . Electrochem. Soc, 114, 1078 (1967).
2955 J. P. MCCARTHY, Solid-State Electron., 10, 649 (1967).
2956 H. M. MANASEVIT and W. I. SIMPSON, / . Electrochem. Soc, 120, 135 (1973).

607
REFERENCES

2957 B. J. BALIGA and S. K. GHANDHI, / . Electrochem. Soc, 121, 1642, 1646 (1974).
2958 G. ZIEGLER, Solid-State Electron., 6, 680 (1963).
2959 P. K. CHIANG and S. M. BEDAIR, / . Electrochem. Soc, 131, 2422 (1984).
2960 P. K. CHIANG and S. M. BEDAIR, Appl. Phys. Lett., 46, 383 (1985).
2961 T. MANABE, T. G E J Y O , and H. S E K I , Jpn. J. Appl. Phys., 10, 1466 (1971).
2962 H. S E K I , S. TAKEUCHI, Y. WAKASHIMA, and T. MANABE, Jpn. J. Appl. Phys.,
11, 1070 (1972).
2963 W. F . FINCH and E. W. MEHAL, / . Electrochem. Soc, 111, 814 (1964).
2964 T. KOTANI , O. UEDA, K. ARITA, Y. N I S H I T A N I , T. K U S U N O K I , and O. R Y U -
ZAN, / . Cryst. Growth, 38, 85 (1977).
2965 R. D. D U P U I S and P. D. DAPKUS, Appl. Phys. Lett., 3 1 , 466 (1977); IEEE J.
Quantum Electron., 15, 128 (1979).
2966 A. J. S P R I N G T H O R P E , F . D. K I N G , and A. BECKE, / . Electron. Mater., 4, 101
(1975).
2967 G. B. S T R I N G F E L L O W and H. T. HALL, JR., / . Cryst. Growth, 43, 47 (1978).
2968 G. B. S T R I N G F E L L O W and H. T. HALL, JR., / . Electron. Mater., 8, 201 (1979).
2969 G. B. S T R I N G F E L L O W and G. HOM, Appl. Phys. Lett., 34, 794 (1979).
2970 G. B. S T R I N G F E L L O W , / . Cryst. Growth, 55, 42 (1981).
2971 R. R. SAXENA, C. B. COOPER, I I I , M. J. L U D O W I S E , S. H I K I D O , V. M.
S A R D I , and P . G. BORDEN, / . Cryst. Growth, 55, 58 (1981).
2972 M. E. KIM, C. S. HONG, D. KASEMSET, and R. A. MILANO, IEEE Electron.
Dev. Lett., 5, (1984).
2973 R. R. B R A D L E Y , / . Cryst. Growth, 55, 223 (1981).
2974 J. P. ANDRE, M. BOULOU, and A. MIRCEA-ROUSSEL, / . Cryst. Growth, 55, 192,
(1981); J. P . A N D R E , A. B R I E R E , M. ROCCHI, and M. R I E T , / . Cryst. Growth,
68, 445 (1984).
2975 G. W. T'HOOFT, C. VAN OPDORP, H. V E E N V L I E T , and A. T. VINK, / . Cryst.
Growth, 55, 173 (1981).
2976 R. AZOULAY, N. BOUDMA, J. C. BOULEY, and L. DUGRAND, / . Cryst. Growth,
55, 229 (1981).
2977 J. H A L L A I S , J, P. A N D R E , A. MIRCEA-ROUSSEL, M. MAHIEU, J. VARON,
M. C. BOISSEY, and A. T. VINK, / . Electron. Mater. 10, 665 (1981).
2978 J. J. YANG, W. I. SIMPSON, and L. A. MOUDY, / . Appl. Phys., 53, 771 (1982).
2979 D. W. K I S K E R , J. N. MILLER, and G. B. STRINGFELLOW, Appl. Phys. Lett.,
40, 641 (1982).
2980 T. MATSUMOTO, P. K. BKATTACHARYA, and M. J. L U D O W I S E , Appl. Phys.
Lett., 4 1 , 662 (1982).
2981 R. H . WU, D. ALLSOPP, and A. R. P E A K E R , Electron. Lett., 18, 75 (1982).
2982 S. D. H E R S E E , M. A. Di FORTE-POISSON, M. A. BALDY, and J. P. DUCHEMIN,
Electron. Lett., 18, 870 (1982).
2983 Y. TAKAHASHI, T. SOGA, S. SAKAI, M. UMENO, and S. H A T T O R I , Jpn. J. Appl.
Phys., 22, 1357 (1983).
2984 K. MOHAMMED, J. MERZ, and D. KASEMSET, Mater. Lett., 2, 35 (1983).
2985 J. R. SHEALY, V. G. K R E I S M A N I S , D. K. W A G N E R , and J. M. WOODALL, Appl.
Phys. Lett., 42, 83 (1983).
2986 M. J. TSAI, M. M. TASHIMA, and R. L. MOON, / . Electron. Mater. 13, 437 (1984).
2987 P. K. BAHTTACHARYA, S. SUBRAMANIAN, and M. J. LUDOWISE, / . Appl.
Phys., 55, 3664 (1984).
2988 H . T E R A O and H. SUNAKAWA, / . Cryst. Growth, 68, 157 (1984).

608
REFERENCES

2989 P. K. BHATTACHARYA, T. MATSUMOTO, and. S. SUBRAMANIAN, / . Cryst.


Growth, 68, 301 (1984).
2990 K. TAMAMURA, T. OHHATA, H. KAWAI, and C. KOJIMA, / . Appl. Phys., 59,
3549 (1986).
2991 A. KOZEN, S. NOJIMA, J. TENMYO, and H . ASAHI, / . Appl. Phys., 59, 1156
(1986).
2992 J. P . H I R T Z , BUI-DINH-VUONG, J. P. DUCHEMIN, P. H I R T Z , B. De CREMOUX,
R. B I S ARO, P . MERENDA, M. BONNET, E. DUD A, G. M E S Q U I D A , and J. C.
CARBALLES, Appl. Phys. Lett., 36, 795 (1980).
2993 S . D . H E R S E E , M. A. Di FORTE-POISSON, M. BALDY, and J. P. DUCHEMIN,
/ . Cryst. Growth, 55, 53 (1981).
2994 M. A. Di FORTE-POISSON, Rev. Techniq. Thomson CSF, 13, 43 (1981).
2995 J. R. SHEALY, C. F . SCHAUS, and G. W. W I C K S , Appl. Phys. Lett., 47, 125 (1985).
2996 C. Y. CHANG, L. P . CHEN, and C. Y. N E E , / . Appl. Phys., 58, 609 (1985).
2997 R. D. D U P U I S and P. D. D A P K U S , Appl. Phys. Lett., 32, 473 (1978).
2998 R. D. D U P U I S and P. D. D A P K U S , Appl. Phys. Lett., 3 1 , 839 (1977).
2999 R. D. D U P U I S and P . D. D A P K U S , Appl. Phys. Lett., 32, 406 (1978).
3000 R. D. D U P U I S and P. D. D A P K U S , Appl. Phys. Lett., 33, 724 (1978).
3001 R. D. D U P U I S and P. D. DAPKUS, Appl. Phys. Lett., 33, 68 (1978). -
3002 J. J. COLEMAN and P. D. D A P K U S , Appl. Phys. Lett., 37, 262 (1980).
3003 D. F E K E T E , R. D. BURNHAM, D. R. SCIFRES, W. S T R E I F E R , and R. D.
Y I N G L I N G , Appl. Phys. Lett., 38, 607 (1981).
3004 D. R. S C I F R E S , R. D. BURNHAM, and W. S T R E I F E R , Appl. Phys. Lett., 38,
915 (1981).
3005 E. E. W A G N E R , G. HOM, and G. B. S T R I N G F E L L O W , / . Electron. Mater., 10,
239, (1981).
3006 R. D. D U P U I S , P. D. D A P K U S , R. CHIN, N. HOLONYAK, JR., and S. W. K I R -
C H O E F E R , Appl. Phys. Lett., 34, 165 (1979).
3007 R. D. D U P U I S , Appl. Phys. Lett., 35, 311 (1979).
3008 M. R. L E Y S , C. VAN OPDORP, M. P . A. V I E G E R S , and H . J. TALEN-VAN D E R
M H E E N , / . Cryst. Growth, 68, 431 (1984).
3009 H . V E E N V L I E T , C. VAN OPDORP, R. P. T I J B U R G , and J. P. A N D R E , IEEE J
Quantum Electron., 15, 762 (1979).
3010 J. E. A. W H I T E A W A Y and E. J. T H R U S H , / . Appl. Phys., 52, 1528 (1981).
3011 Y. MORI and N. WATANABE, Electron. Lett., 16, 284 (1980); / . Appl. Phys., 52,
2792 (1981).
3012 Y. MORI, O. MATSUDA, and N. WATANABE, / . Cryst. Growth, 55, 192 (1981).
3013 Y. MORI, H . SATO, M. I K E D A , O. MATSUDA, K. OSAMU, K. K A N E K O , and
N. WATANABE, Appl. Phys. Lett., 40, 293 (1982).
3014 Y. MORI; H . SATO, M. I K E D A , O. MATSUDA, K. K A N E K O , and N. WATANABE,
jpn. J. Appl. Phys., P t . 1, 2 1 , 433 (1982).
3015 Y. MORI and N. WATANABE, Jpn. Annu. Rev. Electron. Comput. Telecommun. Opt.
Device Fibres, 5, 35 (1983).
3016 H . K A W A N I S H I , M. J. H A F I C H , R. A. SKOGMAN, B . S. LENZ, and P . E.
P E T E R S E N , / . Appl. Phys., 52, 4447 (1981).
3017 C. S. HONG, J, J. COLEMAN, P . D. D A P K U S , and Y. Z. LIU, Appl. Phys. Lett.,
40, 208 (1982); C. S. HONG, D. KASEMSET, N. B. PATEL, M. E. KIM, and P. D.
DAPKUS, Electron. Lett., 18, 497 (1982).

609
REFERENCES

3018 C. S. HONG, D. KASEMSET, M. E. KIM, and R. A. MILANO, Electron. Lett., 19,


759 (1983).
3019 D . E . ACKLEY, Appl. Phys. Lett., 42, 152 (1983); D . E . ACKLEY and G. HORN,
Appl. Phys. Lett., 42, 653 (1983).
3020 J. VIELMS and R. W. H. ENGELMAN, Jpn. J. Appl. Phys., Pt. 2, 22, 455 (1983).
3021 M. KRAKOW SKI, P. HIRTZ, R. BLONDEAU, S. D. HERSEE, M. BALDY, B.
DeCREMOUX, and J. P. DUCHEMIN, Electron. Lett., 19, 1082 (1983).
3022 R. D. BURNHAM, D. R. SCIFRES, and W. STREIFER, Electron. Lett., 17, 714
(1981); Appl. Phys. Lett., 40, 118 (1982).
3023 D. R. SCIFRES, R. D. BURNHAM, and W. STREIFER, Appl. Phys. Lett., 41,
1030, (1982).
3024 H. SHTRIKMAN and D. FEKETE, / . Appl. Phys., 56, 1298 (1984).
3025 I. HASE, H. KAWAI, K. KANEKO, and N. WATANABE, / . Appl. Phys., 59, 3792
(1986).
3026 J. P. VAN DER ZIEL, R. D. DUPUIS, and J, C. BEAN, Appl. Fhys. Lett., 48, 1713
(1986).
3027 F. G. KELLERT and R. L. MOON, J. Electron. Mater. 15, 13 (1986).
3028 R. J. BOETTCHER, P. G. BORDEN, and P. E. GREGORY, IEEE Electron. Dev.
Lett., 2, 88 (1981).
3029 N. J. NLLSON, K. JOHNSON, R. L. MOON, H. A. VAN DER PLAS, and L. W.
JAMES, Appl. Phys. Lett., 33, 26 (1978).
3030 R. R. SAYENA, V. ALBI, C. B. COOPER, III, M. J. LUDOWISE, H. A. VAN D E R
PLAS, E. R. CAIRNS, T. J. MALONEY, P. G. BORDEN ,and P. E. GREGORY,
/ . Appl. Phys., 51, 4501 (1980).
3031 A. AEBI, C. B. COPPER, III, R. L. MOON, and R. R. SAXENA, / . Cryst. Growth,
55, 517 (1981).
3032 P. E. GREGORY, P. G. BORDEN, M. J. LUDOWISE, R. J. OWEN, N. KAMINAR,
R. A. LaRUE, and R. J. BOETTCHER, Solar Cells, 6, 103 (1982).
3033 H. NOBUHARA, S. SAKAI, and M. UMENO, Jpn. J. Appl. Phys., Pt. 1, 21, 89 (1982).
3034 M. J. LUDOWISE, R. A. LaRUE, P. G. BORDEN, P. E. GREGORY, and W. T.
DIETZE, Appl. Phys. Lett., 41, 550 (1982).
3035 M. J. LUDOWISE and W. T. DIETZE, /.. Appl. Phys., 55, 4318 (1984).
3036 O. WTADA, S. MIURA, H. MACHIDA, K. NAKAI, and T. SAKURAI, / . Electrochem.
Soc, 132, 1996 (1985); S. MIURA, O. WADA, M. MAKIUCHI, and K. NAKAI, Appl.
Phys., Lett., 48, 1461 (1986); S. MIURA, O. WADA, H. HAMAGUCHI, M. ITO, M.
MAKIUCHI, K. NAKAI, and T. SAKURAI, IEEE Electron. Dev. Lett., 4, 375 (1983).
3037 T. H. WINDHORN and W. D. GOODHUE, Appl. Phys. Lett., 48, 1675 (1986).
3038 R. CHIN, K. NAKANO, J. J. COLEMAN, and P. D. DAPKUS, IEEE Electron.
Dev. Lett., 2, 248 (1981).
3039 P. BANERJEE, P. K. BHATTACHARYA, M. J. LUDOWISE and W. T. DIETZE,
IEEE, Electron. Dev. Lett., 4, 283 (1983).
3040 D. BOCCON-GIBOD, J. P. ANDRE, P. BAUDET, and J. P. HALLAIS, IEEE Trans.
Electron. Dev., 27, 1141 (1980);
3041 R. AZOULAY, L. DUGRAND, D. ANKRI, and E. V. K. RAO, / . Cryst. Growth.
68, 453 (1984).
3042 R. W. GLEW and M. S. FROST, / . Cryst. Growth, 68, 450 (1984).
3043 K. TAIRA, C. TAKANO, H. KAWAI, and M. ARAI, Appl. Phys. Lett., 49, 1278
(1986).
3044 M. ALLENSON and S. J. BASS, Appl. Phys. Lett., 28, 113 (1976).

610
REFERENCES

3045 D. J. ESDALE, D. R. W I G H T , G. BALL, and P . OLIVER, / . Cryst. Growth, 68,


461 (1984).
3046 J . W . BURD, Trans. Met. Soc. AIME, 245, 571 (1969).
3047 J. J. T I E T J E N and J. A. AMICK, / . Electrochem. Soc, 113, 724 (1966).
3048 A. H. H E R Z O G , W. O. GROVES, and M. G. CRAFORD, / . Appl. Phys., 10, 1830
(1969).
3049 W. O. GROVES, A. H . H E R Z O G , and M. G. CRAFORD, Appl. Phys. Lett., 19,
181 (1971).
3050 R. A. B U R M E I S T E R , JR., G. P. P I G H I N I , and R. E. G R E E N E , Trans. Met. Soc.
AIME, 245, 587 (1969).
3051 C. B E L O U E T , / . Cryst. Growth, 13/14, 342 (1972).
3052 C. E. E. STEWART, / . Cryst. Growth, 8, 269 (1971).
3053 C. H. WU, R. SOLOMON, W. L. SNYDER, and T. L. LARSEN, / . Electron. Mater.,
7, 791 (1978).
3054 S. K I S H I N O , M. OGIRIMA, and K. KURATA, / . Electrochem. Soc, 119, 617 (1972);
M. OGIRIMA and K. KURATA, Jpn. J. Appl. Phys., 10, 1471 (1971); 11, 331 (1972).
3055 J. W. P H I L B R I C K and W. C. W U E S T E N H O E F E R , / . Electron. Mater., 3, 475
(1974).
3056 I. TERAMOTO and M. INOUE, / . Cryst. Growth, 16, 121 (1972).
3057 S. MADER and A. E. B L A K E S L E E , IBM J. Res. Dev., 19, 151 (1975).
3058 C. J. N U E S E , J. J. T I E T J E N , J. J. GANNON, and H . F . G O S S E N B E R G E R , / .
Electrochem. Soc, 116, 248 (1969).
3059 C. J. N U E S E , H. SCHADE, and D. H E R R I C K , Metall Trans., 1, 587 (1970).
3060 H . SCHADE, C. J. N U E S E , and D. H E R R I C K , / . Appl. Phys., 4 1 , 3783 (1970).
3061 H. P. MARUSKA and J. I. PANKOVE, Solid-State Electron., 10, 917 (1967).
3062 M. G. CRAFORD, D. L. K E U N E , W. O. GROVES, and A. H . H E R Z O G , / . Electron.
Mater., 2, 137 (1973); M. G. CRAFORD, R. W. SHAW, W. O. GROVES, and A. H.
H E R Z O G , / . Appl. Phys., 43, 4075 (1972).
3063 D. J. LAWRENCE, D. C. ABBAS, D. J. P H E L P S , and F . T. J. SMITH, IEEE Trans.
Electron. Dev., 30, 58Ö (1983).
3064 C. J. N U E S E , G. H. O L S E N , and M. E T T E N B E R G , Appl. Phys. Lett., 29, 54 (1976).
3065 J. J. T I E T J E N , J. I. PANKOVE, I. J. H E G Y I , and N. NELSON, Trans. Met.
Soc AIME, 239, 385 (1967).
3066 J. I. PANKOVE, H . NELSON, J. J. T I E T J E N , I. J. H E G Y I , and H, P. MARUSKA,
RCA Rev. 28, 560 (1969).
3067 J. J. T I E T J E N and S. OCHS, Proc. IEEE, 53, 180 (1965).
3068 C. J. N U E S E , A. G. SIGAI, and J. J. GANNON, Appl. Phys. Lett., 20, 431 (1972).
3069 R. E. SIMON, A. H. SOMMER, J. J. T I E T J E N , and B. F . WILLIAMS, Appl. Phys.
Lett., 15, 43 (1969).
3070 T. SAITOH and S. MINAGAWA, / . Electrochem. Soc, 120, 656 (1973).
3071 M. J. L U D O W I S E and W. T. D I E T Z E , / . Electron. Mater., 11, 59 (1982).
3072 L. SAMIELSON, P. OMLING, and H. G. GRIMMEISS, / . Cryst. Growth, 6 1 , 425
(1983).
3073 G. B. S T R I N G F E L L O W , / . Cryst. Growth, 62, 225 (1983).
3074 N. KOBAYASHI and T. F U K U I , / . Cryst. Growth, 67, 513 (1984).
3075 H . T. MINDEN, / . Electrochem. Soc, 112, 300 (1965).
3076 R. W. CONRAD, P. L. HOYT, and D. D. MARTIN, / . Electrochem. Soc, 114, 164
(1967).
3077 H. NAGAI, T. SHIBATA, and H. OKAMOTO, Jpn. J. Appl. Phys., 10, 1337 (1971).

611
REFERENCES

3078 R. E. ENSTROM, P. J. ZANZUCCHI, and J. R. A P P E R T , / . Appl. Phys., 45, 300,


(1974).
3079 G. E. STILLMAN, C. M. W O L F E , and I. MELNGAILIS, Appl. Phys. Lett., 25,
36 (1974).
3080 S. B. H Y D E R , R. R. SAXENA, and C. C. H O O P E R , Appl. Phys. Lett., 34, 584
(1979).
3081 C. J. N U E S E and R. E. ENSTROM, IEEE Trans. Electron. Dev., 19, 1067 (1972).
3082 C. J. N U E S E , R. E. ENSTROM, and M. E T T E N B E R G , Appl. Phys. Lett., 24,
83 (1974).
3083 C. J. N U E S E , M. E T T E N B E R G , R. E. ENSTROM, and H. K R E S S E L , Appl. Phys.
Lett. 24, 224 (1974).
3084 T. OTA, H. YAMAGUCHI, Y. MIZUSHIMA, T. URTSU, H. K O J I M A , and K. OE,
Jpn. J. Appl. Phys., 14, 1073 (1975).
3085 S. B. H Y D E R , / . Electrochem. Soc, 123, 1503 (1976).
3086 S. B. H Y D E R , R. R. SAXENA, S.H. CHIAO, and R. YEATS, Appl. Phys. Lett.,
35, 787 (1979).
3087 T. E. E R S T F E L D and K. P. QUINLAN, / . Electron. Mater., 11, 647 (1982); / . Electro-
chem. Soc, 131, 2722 (1984).
3088 M. TAKIKAWA, J. KOMENO, and M. OZEKI, Electron. Lett., 20, 306 (1984).
3089 A. ANTREASYAN, P. A. GARBINSKI, V. D. MATTOX, JR., N. A. OLSSON, and
H . TEMKIN, / . Appl. Phys., 60, 1536 (1986).
3090 A. ANTREASYAN, P. A. G A R B I N S K I , V. D. MATTOX, Jr., and H . T E M K I N ,
Appl. "Phys. Lett., 49, 513 (1986).
3091 S. MIURA, H . KUWATSUKA, T. MIKAWA, and O. WAD A, Appl. Phys. Lett.,
49, 1522 (1986).
3092 K. MAKITA, A. GOMYO, K. TAGUCHI, and T. SUZUKI, Appl. Phys. Lett., 46,
1069 (1985).
3093 K. MAKITA, K. TAGUCHI, and A. USUI, / . Cryst. Growth, 62, 613 (1984).
3094 G. BEUCHET, M. BONNET, P. T H E B A U L T , and J. P . DUCHEMIN, / . Cryst.
Growth, 57, 379 (1982).
3095 K. JACOBS, I. SIMON, F . B U G G E , and E. B U T T E R , / . Cryst. Growth, 69, 155
(1985).
3096 S. N. G. CHU, S. NAKAHARA, K. E. S T R E G E , and W. D. JOHNSTON, J R . ,
/ . Appl. Phys., 57, 4610 (1985).
3097 Y. MATSUSHIMA, Y. NOD A, Y. K U S H I R O , N. S E K I , and S. AKIBA, Electron.
Lett. , 20, 235 (1984).
3098 A. T. MACRANDER and K. E. S T R E G E , / . Appl. Phys., 59, 442 (1986).
3099 S. N. G. CHU, S. NAKAHARA, R. F . K A R L I C E K , K. E. S T R E G E , D. MITCHAM,
and W. D. JOHNSTON, JR., / . Appl. Phys., 59, 3441 (1986).
3100 H. KANBE, Y. YAMAUCHI, and N. SUSA, Appl .Phys. Lett., 35, 603 (1979).
3101 N. SUSA, H . K A N B E , H . ANDO, and Y. OHMACHI, Jpn. J.Appl. Phys., 19, L675
(1980).
3102 N. SUSA, Y. YAMAUCHI, H. ANDO, and H . K A N B E , Jpn. J. Appl. Phys., 19,
L17 (1980).
3103 H. KANBE, H. ANDO, Y. YAMAUCHI, and N. SUSA, Jpn. J. Appl. Phys., 20,
L771, (1981).
3104 N. SUSA, Y. YAMAUCHI, and H . K A N B E , Jpn. J. Appl. Phys., 20, L253 (1981).
3105 Y. YAMAUCHI and N. SUSA, / . Electrochem. Soc, 128, 210 (1981).
3106 N. SUSA and Y. YAMAUCHI, / . Cryst. Growth, 51, 518 (1980).

612
REFERENCES.

3107 E. D. TOWE and T. J. ZAMEROWSKI, / . Electron. Mater., U , 957 (1982).


3108 C. Y. CHEN, H. M. COX, P. A. G A R B I N S K I , and S. G. HUMMEL, Appl. Phys.
Lett., 45, 867 (1984).
3109 B. J. BALIGA and S. K. GHANDHI, / . Electrochem. Soc, 122, 638 (1975),
3110 B. J. BALIGA, R. BHAT, and S. K. GHANDHI, / . Appl. Phys., 46, 4608 (1975).
3111 A. W. MABBITT, K- AHMAD, R. NICKLIN, and D. J E N K I N S , IEEE Trans.
Electron. Dev., 26, 1844 (1979).
3112 J. P. NOAD and A. J. S P R I N G T H O R P E , / . Electron. Mater., 9, 601 (1980).
3113 C. B. COOPER, I I I , M. J. LUDOWISE, V. AEBI, and R. L. MOON, Electron. Lett.,
16, 20 ( 1980); / . Electron. Mater., 9, 299 (1980).
3114 K. L. F R Y , C. P. KUO, C. A. LARSEN, R. M. COHEN, and G. B. S T R I N G F E L -
LOW, / . Electron. Mater., 15, 91 (1986).
3115 G. J. DAVIES, R. HECKINGBOTTOM, H . OHNO, C. E. C. WOOD, and A. R.
CALAWA, Appl. Phys. Lett., 37, 290 (1980).
3116 M. J. LUDOWISE, C. B. COOPER, I I I , and R. R. SAXENA, / . Electron. Mater.,
10, 1051 (1981); M. J. LUDOWISE, W. T. D I E T Z E , and C. R. L E W I S , ref. [78], p . 93.
3117 W. T. DIETZE, M. J. LUDOWISE, and C. B. COOPER, I I I , Electron. Lett., 17,
698 (1981).
3118 J. S. W H I T E L E Y and S. K. GHANDHI, / . Electrochem. Soc. 129, 383 (1982).
3119 W. T. DIETZE, M. J. LUDOWISE, and P. E. GREGORY, Appl. Phys. Lett., 4 1 ,
984 (1982).
3120 K. H . GOETZ, D. BIMBERG, H. J U R G E N S E N , J. S E L D E R S , A. V. SOLOMO-
NOV, Q. P. G L I N S K I I , and M. R A Z E G H I , / . Appl. Phys., 54, 4543 (1983).
3121 M. J. LUDOWISE, W. T. DIETZE, R. BOETTCHER, and N. KAMINAR, Appl.
Phys. Lett., 43, 468 (1983).
3122 C. P. KUO, J. S. YUAN, R. M. COHEN, J. DUNN, and G. B. S T R I N G F E L L O W ,
Appl. Phys. Lett., 44, 550 (1984).
3023 E. J. T H R U S H , J. E. A. W H I T E A W A Y , G. WALE-EVANS, D. R. W I G H T , a n a
A. G. CULLIS, / . Cryst. Growth, 68, 412 (1984).
3124 M. HOCKLY and E. A. D. W H I T E , / . Cryst. Growth, 68, 334 (1984).
3126 K.W. CAREY, Appl. Phys. Lett., 46, 89 (1985).
3126 K. T. CHAN, L. D. ZHU, and J. M. BALLANTYNE, Appl. Phys. Lett. 47, 44 (1985).
3127 J . M . COLE, L. G. E A R W A K E R , A. G. CULLIS, N. G. C H E W , and S. J. BASS,
/ . Appl. Phys., 60, 2639 (1986).
3128 P. P H I L I P P E , P. POULAIN, K. K A Z M I E R S K I , and B. De CREMOUX, / . Appl.
Phys., 59, 1771 (1986).
3129 T. P. P E A R S A L L and J. P. H I R T Z , / . Cryst. Growth, 54, 127 (1981).
3130 J. P. H I R T Z , J. P. LARIVAIN, J. P. DUCHEMIN, T. P. P E A R S A L L , and M.
BONNET, Electron. Lett., 16, 415 (1980).
3131 J. P. H I R T Z and G. BEUCHET, Rev. Techniq. Thomson CSF, 13, 263 (1981).
3132 J. P. DUCHEMIN, J. P. H I R T Z , M. R A Z E G H I , M. BONNET, and S. D. H E R S E E ,
/ . Cryst. Growth, 55, 64 (1981).
3133 M. O I S H I and K. KUROIWA, Jpn. J. Appl.· Phys., 21, 203 (1982).
3134 A. P. ROTH, M. A. SACILOTTI, R. A. MASUT, A. MACHADO, and P. J. D'ARCY,
/ . Appl. Phys., 60, 2003 (1986).
3135 R. B. CLOUGH and J. J. T I E T J E N , Trans. Met. Soc. AIME, 245, 583 (1969).
3136 M. F . GRATTON, R. G. GOODCHILD, L. Y. J U R A V E L , and J. C. WOOLEY, / .
Electron. Mater., 8, 25 (1979).

613
REFERENCES

3137 E. R. G E R T N E R , A. M. A N D R E W S , L. O. BUBUL.\C, D. T. CHEUNG, M. J .


LUDOWISE, and D. A. R I E D E L , / . Electron. Mater., 8, 545 (1979).
3138 G . B . S T R I N G F E L L O W and M. J. CHERNG, / . Cryst. Growth, 64, 413 (1983).
3139 S. M. BEDAIR, M. L. TIMMONS, P. K. CHIANG, L. SIMPSON, and J. R. HÄUSER,
/ . Electron. Mater., 12, 959 (1983).
3140 M. J. CHERNG, R. M. COHEN, and G. B. S T R I N G F E L L O W , / . Electron. Mater.,
13, 799 (1984); M. J. CHERNG, G. B. STRINGFELLOW, and R. M. COHEN, Appl.
Phys. Lett., 44, 677 (1984).
3141 M. J. CHERNG, Y. T. CHERNG, H. R. J E N , P. H A R P E R , R. M. COHEN, and
G . B . STRINGFELLOW, / . Electron. Mater., 15, 79 (1986).
3142 J. R. SKELTON and J. R. K N I G H T , Solid-State Electron., 28, 1166 (1985).
3143 H. R. J E N , M. J. CHERNG, and G. B. S T R I N G F E L L O W , AppL Phys. Lett., 48,
1603 (1986).
3144 B. D. JOYCE, K. M. F A I R H U R S T , R. C. CLARKE, and P. J. BORN, / . Cryst.
Growth, 11, 243 (1971).
3145 C. J. N U E S E , D. RICHMAN, and R. B. CLOUGH, Metall. Trans., 2, 789 (1971).
3146 A. G. SIGAI, C. J. N U E S E , R. E. ENSTROM, and T. ZAMEROWSKI, / . Electro-
chem. Soc, 120, 947 (1973); A. G. SIGAI, C. J. N U E S E , M. S. ABRAHAMS, and
R. E. ENSTROM, / . Electrochem. Soc, 119, 98C (1972).
3147 C. J. N U E S E , A. G. SIGAI, M. S. ABRAHAMS, and J. J. GANNON, / . Electro-
chem. Soc, 120, 956 (1973); C. J. N U E S E , A. G. SIGAI, and J. J. GANNON, AppL
Phys. Lett., 20, 431 (1972).
3148 A. USUI, Y. MATSUMOTO, T. INAI, I. MITO, T. MIZUTANI, K. KOBAYASHI;
and H. WATANABE, Jpn. J. Appl. Phys., 27, L163 (1985).
3149 Y. O H K I , I. ASAO, and I. AKASAKI, / . Cryst. Growth 24/25, 244 (1972).
3150 M. H O S H I N O , K. KODAMA, K. KITAHARA, and M. OZEKI, AppL Phys. Lett.,
48, 770 (1986).
3151 G - H . OLSEN, M. E T T E N B E R G , and R.. V. D'AIELLO, AppL Phys. Lett., 33,
606 (1978).
3152 C. J. N U E S E , M. E T T E N B E R G , and G. H. OLSEN, AppL Phys. Lett., 25, 612 (1974).
3153 J. YOSHINO, T. IWAMOTO, and H. KUKIMOTO, Jpn. J. Appl. Phys., 20, L290
(1981); / . Cryst. Growth, 55, 74 (1981).
3154 H . KROEMER, / . Vac. Sei. TechnoL, Bl, 126 (1983); M. J. MONDRY and H .
K R O E M E R , IEEE Electron. Dev., Lett., 6, 175 (1985).
3155 T. IWAMOTO, K. MORI, M. MIZUTA, and H. KUKIMOTO, Jpn. J. Appl. Phys.,
22, L429 (1983).
3156 M. ISHIKAWA, Y. OHBA, H. SUGAWARA, M. YAMAMOTO, and T. NAKANISI,
Appl. Phys. Lett., 48, 207 (1986).
3157 J. R. SHEALY, C. F . SCHAUS, and L. F . EASTMAN, AppL Phys. Lett., 48, 242
(1986).
3158 C. C. HSU, J. S. YUAN, R. M. COHEN, and G. B. STRINGFELLOW, / . AppL Phys.,
59, 395 (1986).
3159 T. KIYOSAWA, K. MASUMOTO, and S. ISOMURA, / . Cryst. Growth, 30, 317 (1975).
3160 T. SUZUKI, I. HINO, A. GOMYO, and K. NISHIDA, Jpn. J. Appl. Phys., 21, L731
(1982).
3161 J. J. T I E T J E N , . H. P. MARUSKA and R. B. C L O U G H , / . Electrochem. Soc, 116,
492 (1969).
3162 H . A . ALLEN, / . Electrochem. Soc, 117, 1417 (1970).
3163 J. HALLAIS, C. SCHEMALI, and E. F A B R E , / . Cryst. Growth, 17, 173 (1972).

614
REFERENCES

3164 H . A . ALLEN and E. W. MEHAL, / . Electrochem. Soc, 117, 1081 (1970).


3165 J. R. BUCKMELTER and J. K. KENNEDY, / . Electrochem. Soc, 120, 133 (1973).
3166 O. MIZUNO and K. ARAI, Jpn. J. Appl. Phys., 13, 1955 (1974).
3167 S. S. LI, J. R. ANDERSON, and J. K. KENNEDY, / . Appl. Phys., 46, 1223(1975).
3168 P. J. WANG and B. W. WESSELS, Appl. Phys. Lett., 44, 766 (1984).
3169.G. NATAF and C. VERIE, / . Cryst. Growth, 55, 87 (1981).
3170 T. FUKUI and Y. HORIKOSHI, Jpn. J. Appl. Phys., 19, L53 (1980).
3171 A. K. SRIVASTAVA, J. L. ZYSKIND, R. M. LUM, B. V. DUTT, and J. K. KLIN-
GERT, Appl. Phys. Lett., 49, 41 (1986).
3172 N. KITAMURA, M. KAKEHI, and T. WADA, J. Cryst. Growth, 45, 176 (1978).
3173 J. SHEN, N. KITAMURA, M. K A K E H I , and T. WADA, Jpn. J. Appl. Phys., 20,
1169 (1981); 21, 1053 (1982).
3174 C. B. COOPER, III, R. R. SAXENA, and M. J. LUDOWISE, Electron. Lett., 16,
892 (1980).
3175 Y. KOIDE, H. ITOH, I. AKASAKI, and M. HASHIMOTO, / . Electrochem. Soc, 133,
1956 (1986).
3176 M. A. Di FORTE-POISSON, M. RAZEGHI, and J. P. DUCHEMIN, / . Appl. Phys.,
54, 7187 (1983).
3177 H. SEKI, A. KOUKITU, and M. MATSUMURA, / . Cryst. Growth, 54, 615 (1981).
3178 A. KOUKITU and H. SEKI, / . Cryst. Growth, 49, 325 (1980).
3179 S. B. HYDER, / . Cryst. Growth, 54, 109 (1981).
3180 P. VOHL, / . Cryst. Growth, 54, 101 (1981).
3181 H. ENDA, Jpn. J. Appl. Phys., 18, 2167 (1979).
3182 S. B. HYDER, R. R. SAXENA, and C. C. HOOPER, Appl. Phys. Lett., 34, 584 (1979))
3183 G. H. OLSEN, C. J. NUESE, and M. ETTENBERG, Appl. Phys. Lett., 34, 262 (1979).
3184 G. H. OLSEN, T. J. ZAMEROWSKI, and N. J. Di GIUSEPPE, Electron. Lett., 16,
516 (1980).
3185 T. MIZUTANI, M. YOSHIDA, A. USUI, H. WAT AN ABE, T. YUASA, and I.
HAYASHI, jpn. J. Appl. Phys., 19, L113 (1980).
3186 K. SUGIYAMA, H. KOJIMA, H. ENDA, and M. SHIBATA, Jpn. J. Appl. Phys.,
16, 2197 (1977).
3187 G. H. OLSEN and T. J. ZAMEROWSKI, Prog. Cryst. Growth Charact., 2, 309 (1979).
3188 G. H. OLSEN and T. J. ZAMEROWSKI, IEEE J. Quantum Electron., 17, 128 (1981).
3189 G. H. OLSEN and T. J. ZAMEROWSKI, RCA Rev., 44, 270 (1983); G. H. OLSEN.
in " Gain A sP Alloy Semiconductors" (T. P. Pearsall, ed.), Ch. 1, Wiley, New York, 1982.
3190 S. Y. NARAYAN, J. P. PACZKOWSKI, S. T. JOLLY, E. P. BERTIN, and R. T.
SMITH, RCA Rev., 42, 491 (1891).
3191 G. H. OLSEN, T. J. ZAMEROWSKI, and N. J. Di GIUSEPPE, / . Appl. Phys.,
54, 3598 (1983).
3192 T. L. KOTH, T. L. COLDREN, T. J. BRIDGES, E. G. BURKHARDT, P. J. CORVINI,
B. I. MILLER, and D. P. WILT, Electron. Lett., 20, 856 (1984).
3193 J. P. HIRTZ, J. P. DUCHEMIN, P. HIRTZ, B. De CREMOUX, T. PEARSALL and
M. BONNET, Electron. Lett., 16, 275 (1980).
3194 J. P. HIRTZ, M. RAZEGHI, J. P. LARIVAIN, S. H E R S E E and J. P. DUCHEMIN,
Electron. Lett., 17, 113 (1981).
3195 M. RAZEGHI, J. P. HIRTZ, P. HIRTZ, J. P. LARIVAIN, R. BLONDEAU, B. De
CREMOUX, and J. P. DUCHEMIN, Electron. Lett., 17, 597 (1981).
3196 M. RAZEGHI, P. HIRTZ, J. P. LARIVAIN, R. BLONDEAU, B. De CREMOUX,
and J. P. DUCHEMIN, Electron. Lett., 17, 643 (1981).

615
REFERENCES

3 197 G. B E U C H E T , M. BONNET, P. T H E B A U L T , and J. P. DUCHEMIN, / . Cryst.


Growth, 57, 379 (1982).
3198 M. RAZE G H I , P . H I R T Z , R. BLONDEAU, J. P . L A R I V A I N , L . N O E L , B. De
CREMOUX, and J. P . DUCHEMIN, Electron. Lett., 18, 132 (1982).
3199 M. RAZE GHI, S. H E R S E E , P. H I R T Z , R. BLONDEAU, B. De CREMOUX, and
J. P . DUCHEMIN, Electron. Lett., 19, 336 (1983).
3200 T. IWAMOTO, K. MORI, M. MIZUTA, and H. KUKIMOTO, Jpn. J. Appl. Phys.,
22, L191 (1983).
3201 S. SUGOU, A. KAMEYAMA, H . KATSUDA, Y. MIYAMOTO, K. F U R U Y A , and
Y. S U E M A t S U , Electron. Lett., 19, 1036, (1983).
3202 R. BLONDEAU, M. RAZE GHI, M. K R A K O W S K I , G. VILAIN, B. De CREMOUX,
and J. P. DUCHEMIN, Electron. Lett., 20, 850 (1984).
3203 S. SUGOU, A. KAMEYAMA, Y. MIYAMOTO, K. F U R U Y A , and Y. SUEMATSU,
Jpn. J. Appl. Phys., P t . 1, 23, 1182 (1984).
3204 A. W. NELSON, L. D. WESTBROOK, and J. S. EVANS, Electron. Lett., 19, 35
(1983).
3205 L. D. WESTBROOK, A. W. NELSON, and C. D I X , Electron. Lett., 19, 423 (1983).
3206 A. K O U K I T U and H. S E K I , Jpn. J. Appl. Phys., 2 1 , 12 (1982).
3207 I. H I N O and T. SUZUKI, / . Cryst. Growth, 68, 483 (1984).
3208 I. H I N O , K. KOBAYASHI, and T. SUZUKI, Jpn. J. Appl. Phys., 23, L746 (1984).
3209 I. H I N O , A. GOMYO, K. KOBAYASHI, T. SUZUKI, and K. NISHIDA, Appl. Phys.
Lett. 43, 987 (1983).
3210 K. KOBAYASHI, I. H I N O , and T. SUZUKI, Appl. Phys. Lett., 46, 7 (1985).
3 2 Ü I. H I N O , K. KOBAYASHI, and T. SUZUKI, Jpn. J. Appl. Phys., 23, L746 (1984).
3212 K. KOBAYASHI, S. KAWATA, A. GOMYO, I. H I N O , and T. SUZUKI, Electron.
Lett., 2 1 , 931, 1162 (1985).
3213 I. H I N O , S. KAWATA, A. GOMYO, K. KOBAYASHI, and T. SUZUKI, Appl. Phys.
Lett., 48, 557 (1986).
3214 Y. BAN, M. OGURA, M. MORISAKI, and N. HASE, Jpn. J. Appl. Phys., 23, L606
(1984).
3215 M. IKEDA, Y. MORI, M. TAKIGUCHI, K. K A N E K O , and N. WATANABE, Appl.
Phys. Lett., 45, 661 (1984).
3216 M. I K E D A , M. HONDA, Y. MORI, K. K A N E K O , and N. WATANABE, Appl. Phys.
Lett., 45, 964 (1984).
3217 M. I K E D A , K. NAKAHO, Y MORI, K. K A N E K O , and N. WATANABE, Appl. Phys.
Lett., 48, 89 (1986).
3218 M. IKEDA, Y. MORI, K. K A N E K O , and N. WATANABE, Appl. Phys. Lett., 47,
1027 (1985).
3219 J. S. YUAN, C. C. H S U , R. M. COHEN, and G. B. S T R I N G F E L L O W , / . Appl.
Phys., 57, 1380 (1985)
3220 M. J. LUDOWISE, W. T. DIETZE, C. R. L E W I S , N. HOLONYAK, JR., K. H E S S ,
M. D. CAMRAS, and M. A. NIXON, Appl. Phys. Lett., 42, 257 (1983).
3221 C. R. L E W I S and M. J. LUDOWISE, / . Electron. Mater., 13, 749 (1984); C. R.
L E W I S , C. W. F O R D , W. T. D I E T Z E , J. G. W E R T H E N , and M. J. L U D O W I S E ,
/ . Cryst. Growth, 69, 515 (1984).
3222 T. F U K U I and Y. H O R I K O S H I , Jpn. J. Appl. Phys., 20, 587 (1981).
3223 M. J. C H E R N G , G. B. S T R I N G F E L L O W , D. W. K I S K E R , A. K. SRIVASTAVA,
and J. L. Z Y S K I N D , Appl. Phys. Lett., 48, 419 (1986).
3224 A. VECHT, Phys. Thin Films, 3, 165 (1966).

616
REFERENCES

3225 H . HARTMANN, / . Cryst. Growth, 3 1 , 323 (1975).


3226 J. B. MULLI£T, S. J. C. I R V I N E , and D. J. A S H E N , / . Cryst. Growth, 55, 92 (1981).
3227 J. B . MULLIN, S. J. C. I R V I N E , and J. T U N N I C L I F F E , / . Cryst. Growth, 68, 214
(1984).
3228 B. COCKAYNE and P. J. W R I G H T , / . Cryst. Growth, 68, 223 (1984).
3229 J. L. SCHMIT, / . Vac. Sei. Technol., A3, 89 (1985).
3230 G. GALLI and J. E. COKER, Appl. Phys. Lett., 16, 439 (1970).
3231 J. M. HAMMER, D. J. CHANNIN, M.T . D U F F Y , and J. P . W I T T W E , Appl. Phys.
Lett., 2 1 , 358 (1972).
3232 A. R E I S M A N a n d J. E. L A N D S T E I N , / . Electrochem. Soc, 118, 1479 (1971).
3233 A. REISMAN, M. B E R K E N B L I T , R. GHEZ, and S. A. CHAN, / . Electron. Mater.,
1, 395 (1972).
3234 A. REISMAN, M. B E R K E N B L I T , S. A. CHAN, and J. ANGILELLO, J\ Electron.
Mater., 2, 177 (1973).
3235 M. F A U R E and A. JOUANIN, Rev. Techniq. Thompson CSF, 6, 1137 (1974).
3236 T. SHIOSAKI, S. O H N I S H I , Y. H I R O K A W A , and A. KAWABATA, Appl. Phys.
Lett., 33, 406 (1978).
3237 M. SHILOH and J. GUTMAN, / . Cryst. Growth, 11,. 105 (1971); / . Electrochem. Soc,
120, 438 (1973).
3238 D. J. CHANNIN, J. M. HAMMER, and M. T. D U F F Y , Appl. Opt., 14, 923 (1975).
3239 M. KASUGA and S. I S H I H A R A , Jpn. J. Appl. Phys., 15, 1835 (1976).
3240 M. KASUGA and M. MOCHIZUKI, / . Cryst. Growth, 54, 185 (1981).
3241 M. KASUGA and S. OGAWA, Jpn. J. Appl. Phys., 22, 794 (1983).
3242 H. IWANAGA, N. SHIBATA, O. NITTONO, and M. KASUGA, / . Cryst. Growth,
45, 228 (1978).
3243 M. AOKI, K. TADA, T. MURAI, and T. INOUE, Thin Solid Films, 83, 283 (1981).
3244 K. TOMURA, M. O H N I S H I , and M. YOSHIZAWA, Jpn. J. Appl. Phys., 13, 939
(1974).
3245 L. BEN-DOR, R. D R U I L H E , and P. GIBART, / . Cryst. Growth, 24/25, 172 (1974).
3246 J. R. SHEALY, B. J. BALIGA, R. J. F I E L D , and S. K. GHANDHI, / . Electrochem.
Soc, 128, 55S (1981).
3247 A. P . R O T H and D. F . WILLIAMS, / . Electrochem. Soc, 128, 2684 (1981).
3248 S. K. GHANDHI, R. J. F I E L D , and J. R. SHEALY, Appl. Phys. Lett., 37, 449 (1980).
3249 C. K. LAU, S. K. T I K U , and K . M . LAKIN, J. Electrochem. Soc, 127, 1843 (1980).
3250 S. K. T I K U , C. K. LAU, and K. M. LAKIN, Appl. Phys. Lett., 36, 318 (1980).
3251 P. J. W R I G H T , R. J. M. G R I F F I T H S , and B. COCKAYNE, / . Cryst. Growth, 66,
26 (1984).
3252 T. SHIOSAKI, T. YAMAMOTO, M. YAGI, and A. KAWABATA, Appl. Phys. Lett.,
39, 399 (1981).
3253 T. SHIOSAKI, M. ADACHI, and A. KAWABATA, Thin Solid Films, 96, 129 (1982).
3254 M. SHIMIZU, T. H O R I I , T. SHIOSAKI, and A. KAWABATA, Thin Solid Films,
96, 149 (1982).
3255 T. SHIOSAKI, S. O H N I S H I , and A. KAWABATA, / . Appl. Phys., 50, 3113 (1979).
3256 F . TAKEDA, T. SHIOSAKI, and A. KAWABATA, Appl. Phys. Lett., 43, 51 (1983).
3257 A. SHIMIZU, M. KANBARA, M. HADA, and M. KASUGA, Jpn. J. Appl. Phys.,
17, 1435 (1978).
3258 M. S. TOMAR and F . J. GARCIA, Thin Solid Films, 90, 419 (1982).
3259 J. M. HAMMER, D. J. CHANNIN, and M. T. D U F F Y , Appl. Phys. Lett., 23, 176
(1973).

617
REFERENCES

3260 J . M . HAMMER and W. P H I L L I P S , Appl. Phys. Lett., 24, 545 (1974).


3261 J . M . HAMMER, D. J. CHANNIN, M. T. D U F F Y , and C. C. N E I L , IEEE J. Quan-
tum Electron., 11, 138 (1975).
3262 K. L. L E W I S , G. S. A R T H U R , and S. A. BANYARD, / . Cryst. Growth, 66, 125 (1984).
3263 W. M. YIM and E. J. STOFKO, / . Electrochem. Soc, 119, 381 (1972).
3264 T. MATSUMOTO, and T. ISHIDA, Jpn. J. Appl. Phys., 17, 227 (1978).
3265 D. E T I E N N E , J. C H E V R I E R , and G. BOUGNOT, / . Cryst. Growth, 37, 147 (1977).
3266 P. VOHL, W. R. BUCHAN, and J. E. G E N T H E , / . Electrochem. Soc, 118, 1842
(1971).
3267 N. MATSUDA and I. AKASAKI, / . Cryst. Growth, 45, 192 (1978).
3268 P. L I L L E Y , P. L. J O N E S , and C. N. W. LITTING, / . Mater. Sei., 5, 891 (1970);
/ . Cryst. Growth, 13/14, 371 (1972).
3269 P. L I L L E Y , P. M. R. KAY, and C. N. W. L I T T I N G , / . Mater. Sei., 10, 1317 (1975).
3270 P. L I L L E Y , / . Cryst. Growth, 44, 446 (1978).
3271 S. F U K E , H. ARAKI, K. KAWAHARA, and T. IMAI, / . Appl. Phys., 59, 1761
(1986).
3272 P. M. R. KAY, P. L I L L E Y , and C. N. W . L I T T I N G , / . Phys., D7, 1206 (1974).
3273 P. M. R. K A Y and P. L I L L E Y , / . Cryst. Growth, 31, 339 (1975).
3274 .D. CUSANO, Solid-State Electron., 6, 217 (1963).
3275 H . M. MANASEVIT and W . I . SIMPSON, / . Electrochem. Soc, 118, 644 (1971).
3276 S. F U J I T A , Y. TOMOMURA, and A. SASAKI, jpn. J. Appl. Phys., 22, L583 (1983).
3277 A. F . CUTTELL, B. COCKAYNE, K. D E X T E R , J. KITTON, and P. J. W R I G H T ,
IEEE Trans. Electron. Dev., 30, 471 (1983).
3278 T. MURANOI and M. F U R U K O S H I , Jpn. J. Appl. Phys., 22, L517 (1983).
3279 T. MATSUMOTO, H. NONAKA, and T. SHIDA, Jpn. J. Appl. Phys., 23, 159 (1984).
3280 M. UMAR-SYED and P. L I L L E Y , / . Cryst. Growth, 66, 21 (1984).
3281 P. BESOMI and B. W. W E S S E L S , Appl. Phys. Lett., 37, 955 (1980); / . Cryst.
Growth, 55, 477 (1981).
3282 T. MURANOI and M. F U R U K O S H I , / . Electrochem. Soc, 127, 2295 (1980).
3283 G. BOUGNOT, D. E T I E N N E , J. C H E V R I E R , and C. B O H E , Mater. Res. Bull., 6,
145 (1971).
3284 J. C H E V R I E R , G. GALIBERT, D. E T I E N N E , and G. BOUGNOT, / . Cryst. Growth,
28, 109 (1975).
3285 D. E T I E N N E and G. BOUGNOT, Thin Solid Films, 35, 363 (1976).
3286 J. C H E V R I R , D. E T I E N N E , L. SOONCKINDT, J. F . B R E S S E , and G. BOUGNOT,
/ . Cryst. Growth, 38, 309 (1977).
3287 S. G. P A R K E R , / . Cryst. Growth, 9, 177 (1971).
3288 S. G. P A R K E R , J. E. P I N N E L L , and L. N. SWINK, / . Phys. Chem. Solids, 32,
139 (1971).
3289 S. G. P A R K E R and J. E. P I N N E L L , / . Appl. Phys. 42, 3012 (1971).
3290 W. STUTIUS, Appl. Phys. Lett., 33, 656 (1978); 38, 352 (1981); / . Appl. Phys., 53,
239, 284 (1982).
3291 S. F U J I T A , Y. MATSUDA, and A. SASAKI, Jpn. J. Appl. Phys., 23, L360 (1984).
3292 A. YOSHIKAWA, K. TANAKA, S. YAMAGA, and H. KASAI, Jpn. J. Appl. Phys.
23, L424 (1984).
3293 W. STUTIUS and F . A. PONCE, / . Appl. Phys., 58, 1548 (1985).
3294 P. BLANCONNIER, M. CERCLET, P. HENOC, and A. M. JEAN-LOUIS, Thin Solid
Films, 55, 375 (1978).

618
REFERENCES

3295 S. S R I T H A R A N and K. A. J O N E S , / . Cryst. Growth, 66, 231 (1984); S. S R I T H A R A N ,


K. A. J O N E S , and K. M. MOTYL, / . Cryst. Growth, 68, 656 (1984).
3296 G. FAN, J. I. DAVIES, N. MAUNG, M. J. P A R R O T T , and J. O. W I L L I A M S ,
/ . Electron. Mater., 15, 251 (1986).
3297 N. MINO, M. KOBAYASHI, M. KONAGAI, and K. T A K A H A S H I , / . Appl. Phys.,
59, 2216 (1986).
3298 S. ODA, R. KAWASE, T. SATO, I. SHIMIZU, and H. KOKADO, Appl. Phys. Lett.,
48, 33 (1986).
3299 F . CHERNOW, G. F . R U S E , and G. W. E L D R I D G E , / . Electrochem. Soc, 122,
1365 (1975).
3300 H. J. H O V E L and A. G. M I L N E S , / . Electrochem. Soc, 116, 843 (1969).
3301 P. J. ROBINSON, and Z. K. KUN, Appl. Phys. Lett., 27, 74 (1975).
3302 M. N I S H I O , K. T S U R U , and H. OGAWA, Jpn. J. Appl. Phys., 18, 1909 (1979).
3303 H. OGAWA, M. N I S H I O , and T. ARIZUMI, / . Cryst. Growth, 52, 263 (1981).
3304 H. OGAWA and M. N I S H I O , Jpn. J. Appl. Phys., 20, 2251 (1981).
3305 M. N I S H I O , Y. NAKAMURA, and H. OGAWA, Jpn. J. Appl. Phys., 22, 1101, 1227,
1346 (1983).
3306 T. L. CHU, S. S. GHU, F . F I R Z S T , and C. H E R R I N G T O N , / . Appl. Phys., 59,
1259 (1986).
3307 M. A. H. EVANS and J. O. WILLIAMS, Thin Solid Films, 87, L I (1982).
3308 N. NAKAYAMA, H. MATSUMOTO, K. YAMAGUCHI, a n d S. IKEGAMI, jpn.
/ . Appl. Phys., 15, 2281 (1976).
3309 K. YAMAGUCHI, N. NAKAYAMA, H. MATSUMOTO, Y. H I O K I , and S. I K E G A M I ,
Jpn. / . Appl. Phys., 14, 1397 (1975).
3310 K. YAMAGUCHI, H . MATSUMOTO, N. NAKAYAMA, and S. I K E G A M I , Jpn. J.
Appl. Phys., 15, 1575 (1976).
3311 A. YOSHIKAWA and Y. SAKAI, / . Appl. Phys., 45, 3521 (1974); Jpn. J. Appl.
Phys., 13, 1353 (1974).
3312 A. YOSHIKAWA, R. KONDO, and Y. SAKAI, Jpn. J. Appl. Phys., 12, 1353 (1973).
3313 K. YAMAGUCHI, N. NAKAYAMA, H. NATSUMOTO, and S. IKEGAMI, Jpn. J.
Appl. Phys., 16, 1203 (1977).
3314 M. B E T T I N I , K. J. BACHMANN, and J. L. SHAY, / . Appl. Phys., 49, 865 (1978);
M. B E T T I N I and G. B R 4 N D T , / . Appl. Phys., 50, 6938 (1979).
3315 W. M. YIM and E. J. STOFKO, / . Electrochem. Soc, 121, 965 (1974).
3316 P. I. KUZNETSOV, M. SAFAEV, V. V. SHEMET, I. NODIN, and A. V. NOVO-
SELOVA, Inorg. Mater. USSR, 19, 787 (19S3).
3317 J. SARAIE, M. AKIYAMA, and T. TANAKA, Jpn. J. Appl. Phys., 11, 1758 (1972).
3318 A. L. F A H R E N B R U C H , V. VASILCHENKO, F . BUCH, K. M I T C H E L L „ a n d R. H.
BUBE, Appl. Phys. Lett., 25, 605 (1974).
3319 K. MITCHELL, A. L. F A H R E N B R U C H , and R. H. B U B E , / . Vac Sei. Technpl.,
12, 909 (1975).
3320 R. H. BUBE, A.L. F A H R E N B R U C H , R. SINCLAIR, T. C. A N T H O N Y , C. F O R T -
MANN, W. H U B E R , C.-T. L E E , T. T H O R P E , and T. YAMASHITA, IEEE Trans.
Electron. Dev., 31, 528 (1984).
3321 T. L. CHU, S. S. CHU, Y. PAULEAU, K. MURTHY, E. D. S T O K E S , and P. E.
RUSSELL, / . Appl. Phys., 54, 398 (1983).
3322 K. MOCHIZUKI, it. X I N J I A N , and T. L. CHU, / . Cryst. Growth, 67, 420 (1984).
3323 T. L. CHU, S. S. CHU, F . F I R Z S T , H. A. NASEEM, and R. STAWSKI, / . Appl.
Phys., 58, 1349 (1985).

619
REFERENCES

3324 P. I. KUZNETSOV, L. A. ZHURAVLEV, I. N. ODIN, V. V. SHEMET, and


A. V. NOVOSELOVA, Inorg. Mater. USSR, 18, 779 (1982).
3325 S. J . C . I R V I N E and J. B. MULLIN, / . Cryst. Growth, 55, 107 (1981).
3326 J. B. MULLIN and S. J. C. I R V I N E , / . Phys., D14, L149 (1981).
3327 P. P : KUZNETSOV, V. V. SHEMET, I. N. ODIN, A. P . POROTIKOV, and
A. V. NOVOSELOVA, Dokl. Akad. Nauk USSR, 252, 115 (1980).
3328 S. J. C. I R V I N E , J. T U N N I C L I F F E , and J. B. MULLIN, / . Cryst. Growth, 65,
479 (1983).
3329 H. S. COLE, H. H. WOODBURY, and J. F . SCHETZINA, / . Appl Phys., 55, 3166
(1984).
3330 S. K. GHANDHI and I. BHAT, Appl. Phys. Lett., 45, 678 (1984).
3331 C. H . WANG, K. Y. CHENG, S. J. YANG, and F . C. HWANG, / . Appl. Phys..
58, 757 (1985).
3332 S. K. GHANDHI, N. R. TASKAR, and I. B. BHAT, Appl. Phys. Lett., 47, 742 (1985).
3333 W. E. H O K E , R. TRACZEWSKI, V. G. K R E I S M A N I S , R. K O R E N S T E I N , and P. J .
LEMONIAS, Appl. Phys. Lett., 47, 276 (1985).
3334 I. B. BHAT, N. R. TASKAR, and S. K. GHANDHI, / . Vac. Sei. TechnoL, A4, 2230
(1985).
3335 N. R. TASKAR, I. £ . BHAT, J. M. B O R R E G O , and S. K. G H A N D H I , / . Electron.
Mater., 15, 4 6 5 (1986).
3336 W. E. H O K E and P. J. LEMONIAS, Appl. Phys. Lett., 46, 398 (1985); 48, 1669 (1986).
3337 P . L. ANDERSON, / . Vac. Sei. TechnoL, A4, 2162 (1986).
3338 P.-Y. LU, L. M. WILLIAMS, and S. N. G. CHU, / . Vac. Sei. TechnoL, A4, 2137 (1986).
3339 J. E. H A I L S , G. J. RUSSELL, A. W. BRINKMAN, and J. WOODS, / . Appl. Phys.,
60, 2624 (1986).
3340 B. J. FELDMAN, J. L. BOONE, and T. VAN DOREN, Appl. Phys. Lett.*, 38, 703
(1981).
3341 Y. IWAMURA, Jjm. J. Appl. Phys., 19, 2023 (1980).
3342 O. N. T U F T E and E. L. STELZER, / . Appl. Phys., 40, 4559 (1969).
3343 T. F . K U E C H and J. O. McCALDIN, / . Electrochem. Soc, 128, 1142 (1981).
3344 I. BHAT and S. K. GHANDHI, / . Electrochem. Soc, 131, 1923 (1984).
3345 S. J . C . I R V I N E , J. B. MULLIN, and A. ROYLE, / . Cryst. Growth, 57, 15 (1982).
3346 C.-H. WANG, P.-Y. LU, and L. M. WILLIAMS, Appl. Phys. Lett., 48, 1085 (1986).
3347 M. A. H E R M A N and M. PESSA, / . Appl. Phys., 5 7 , 2 6 7 1 (1985).
3348 J. SARAIE, S. F U R U K A W A , B. SAWA, and T. TANAKA, Jpn. J. Appl. Phys., 12,
1259 (1973).
3349 S. J . C . I R V I N E and J. B. MULLIN, / . Cryst. Growth, 55, 107 (1981).
3350 J. B. MULLIN and S. J . C . I R V I N E , / . Vac. Sei. TechnoL, 2 1 , 178 (1982).
3351 W. E. H O K E and R. TRACZEWSKI, / . Appl. Phys., 54, 5087 (1983).
3352 J. B. MULLIN, S. J. C. I R V I N E , A. ROYLE, J. T U N N I C L I F F E , G. BLACKMORE,
and R. HOLLAND, / . Vac. Sei. TechnoL, A l , 1612 (1983).
3353 W. E. H O K E , P. J. LEMONIAS, and R. TRACZEWSKI, Appl. Phys. Lett., 45, 1046,
1092 (1984).
3354 S. K. G H A N D H I and I. BHAT, Appl. Phys. Lett., 44, 779 (1984).
3355 S. H. S H I N and J. G. PASKO, Appl. Phys. Lett., 44, 423 (1984).
3356 J. T U N N I C L I F F E , S. J. C. I R V I N E , O. D. DOSSER, and J. B. MULLIN, / . Cryst,
Growth, 68, 245 (1984).
3357 E. R. G E R T N E R , S.H. SHIN, D. D. EDWALL, L. O. BUBULAC, D. S. LO, and
W. E. TENNANT, Appl. Phys. Lett., 46, 851 (1985).

620
REFERENCES

3358 P. M. RACCAH, J. W. GARLAND, Ζ. ZHANG, U. L E E , S. UGUR, S. MIOC, S. K.


GHANDHI, and I. BHAT, / . Appl. Phys., 57, 2014 (1985).
3359 P.-Y. LU, C.-H. WANG, L. M. WILLIAMS, S. N. G. CHU, and C. M. STILES, Appl.
Phys. Lett., 49, 1372 (1986).
3360 M. J. H Y L I A N D S , J. THOMPSON, M. J. BEVAN, K. T. W O O D H O U S E , and V.
VINCENT, / . Vac. Sei'. Technol., A4, 2217 (1986).
3361 S. K. GHANDHI, L B . BHAT, and N. R. TASKAR, / . Appl. Phys., 59, 2253 (1986).
3362 L. T. SPECHT, W. E. H O K E , S. OGUZ, P. J. LEMONIAS, V. G. K R E I S M A N I S ,
and R. K O R E N S T E I N , Appl. Phys. Lett., 48, 417 (1986).
3363 P. FRANZOSI, C. GHEZZI, and E. GOMBIA, / . Cryst. Growth, 44, 306 (1978); 51
314 (1981).
3364 T. A. CHYNOWETH and R. H . B U B E , / . Appl. Phys., 5 1 , 1844 (1980).
3365 D. E T I E N N E , L. SOONCKINDT, and G. BOUGNOT, / . Electrochem. Soc, 127, 1800
(1980).
3366 W. L E I G H and B. W. W E S S E L S , Appl. Phys. Lett., 4 1 , 165 (1982); Thin Solid Films,
9 7 , 2 2 (1982).
3367 W. STUTIUS, / . Electron. Mater., 10, 95 (1981).
3368 S. F U J I T A , Y. MATSUDA, and S. SASAKI, Jpn. J. Appl. Phys., 23, L360 (1980);
J. Cryst. Growth, 68, 231 (1984).
3369 M. CLAYBOURN, M. D. SCOTT, J. O. W I L L L I A M 3 , and R. C. G O D D F E L L O W ,
/ . Cryst. Growth, 58, 417 (1982).
3370 S. E. R. HISCOCKS, / . Cryst. Growth, 1 7 ; 222 (1972).
3371 H . M. MANASEVIT and W. I. SIMPSON, / . Electrochem. Soc, 122, 444 (1975).
3372 S. G. P A R K E R , / . Electrochem. Soc, 123, 920 (1976).
3373 D. W. BELLAVANCE and M. J. JOHNSON, / . Electron. Mater., 5, 363 (1976).
3374 W. M. YIM, E. J. STOFKO, and R. T. SMITH, / . Appl. Phys., 43, 254 (1972).
3375 W. M. YIM, J. P . D I S M U K E S , and H. K R E S S E L , RCA Rev., 3 1 , 662 (1970).
3376 B. J. CURTIS, F . P . EMMENEGER, and R. N. N I T S C H E , RCA Rev., 3 1 , 647 (1970).
3377 J. P . DISMUKES, W. M. YIM, J. J. T I E T J E N , and R. E. NOVAK, RCA Rev.,31,
680 (1970).
3378 H . L. P I N C H and L. EKSTROM, RCA Rev., 3 1 , 692 (1970).
3379 J. D. F I L B Y and S. N I E L S E N , Brit. J. Appl. Phys., 18, 1357 (1967).
3380 T. S. LaCHAPELLE, A. MILLER, and F . L. MORRITZ, in "Progress in Solid State
Chemistry" (H. Riess, ed.), Vol. 3, p. 1, Pergamon Press, New York, 1967.
3381 J . F . ALLISON, D. J. DUMIN, F . P. H E I M \ N , C. W. M U E L L E R , and P . H .
ROBINSON, Proc. IEEE, 57, 1490 (1969).
3382 G. W. CULLEN, ref. [44], Ch. 2, p . 6.
3383 VASUDEV, P . K., ref. [2339], Ch. 4.
3384 H . M. MANASEVIT, / . Cryst. Growth, 13/14, 305 (1972).
3385 H . M. MANASEVIT, / . Cryst. Growth, 22, 125 (1974).
3386 C. C. WANG, ref. [44], Ch. 3, p . 106.
3387 A. M I L L E R and H . M. MANASEVIT, / . Vac. Sei. Technol., 3, 68 (1966).
3388 B. A. JOYCE, / . Cryst. Growth, 3/4, 43 (1968); Rep. Prog. Phys., 37, 363 (1974).
3389 J. D. F I L B Y , in "Modern Oxide Materials" (B. Cockayne and D. W. Jones, eds.), p . 203,
Academic Press, New York, 1972.
3390 H . M. MANASEVIT and W. I. SIMPSON, / . Appl. Phys., 35, 1349 (1964).
3391 R . W . BICKNELL, B. A. JOYCE, J. H . NEAVE, and G. V. SMITH, Philos. Mag.,
14, 31 (1966).
3392 W. H E Y W A N G , Mat. Res. Bull., 3, 315 (1968).

621
REFERENCES

3393 M. D R U M I N S K I and C. W I E C Z O R E K , / . Electrochem. Soc, 122, 82C (1975).


3394 C. W. M U E L L E R and R. H . ROBINSON, Proc. IRE, 52, 1487 (1964).
3395 H. M. MANASEVIT, A. MILLER, F . L. MORRITZ, and R. NOLDER, Trans. Met,
Soc. AIME, 233, 540 (1965).
3396 H . M. MANASEVIT and F . L. MORRITZ, / . Electrochem. Soc, 114, 204 (1967).
3397 H . M. MANASEVIT, / . Electrochem. Soc 115, 434 (1968).
3398 H . M. MANASEVIT, D. H . F O R B E S , and I. B. CADOFF, Trans. Met. Soc. AIME,
236, 275 (1966).
3399 H . M. MANASEVIT, I. GOLECKI, L. A. MOUDY, J. J. YANG, and J. E. MEE,
/ . Electrochem. Soc, 130, 1752 (1983).
3400 J. D. F I L B Y and S. N I E L S E N , / . Electrochem. Soc, 113, 1091 (1966).
3401 M. D R U M I N S K I and H . SCHLÖTTERER, / . Cryst. Growth, YJ9 249 (1972).
3402 P. B. H A R T , P. J. E T T E R , B. W. J E R V I S , and J. M. F L A N D E R S , Brit. J. AppL·
Phys., 18, 1389 (1967).
3403 H . S E I T E R and C. ZAMINER, Z. Angew Phys., 20, 158 (1965).
3404 G. W. CULLEN, G. E. GOTTLIEB, C. C. WANG, and K. H . ZAININGER, /.
Electrochem. Soc, 116, 1444 (1969).
3305 C. C. CHANG, / . Vac. Sei. TechnoL, 8, 500 (1971).
3406 J. MERCIER, Rev. Phys. AppL, 3, 127 (1968); / . Electrochem. Soc, 117, 666, 812
(1970); 118, 962 (1971).
3407 J. D. F I L B Y , / . Electrochem. Soc, 113, 1085 (1966).
3408 D. J. DUMIN, J. AppL· Phys., 38, 1909 (1967).
3409 P . H . R O B I N S O N and D. J. DUMIN, / . Electrochem. Soc, 115, 75 (1968).
3410 J. M. G R E E N E , / . Electrochem. Soc, 119, 1765 (1972).
3411 Y. S. CHIANG and G. W. LOONEY, / . Electrochem. Soc, 120, 550 (1973).
3412 G. W. CULLEN and F . C. D O U G H E R T Y , / . Cryst. Growth, 17, 230 (1972).
3413 H . M. MANASEVIT, / . Electrochem. Soc, 126, 1785 (1979).
3414 G. W. CULLEN and C. C. WANG, / . Electrochem. Soc, 118, 640 (1971).
3415 G.. W. C U L L E N and J. F . CORBOY, / . Electrochem. Soc, 121, 1345 (1974).
3416 A. C. I P R I , AppL· Phys. Lett., 20, 1 (1972); / . AppL· Phys., 43, 2770 (1972).
3417 H . J. STEIN, Solid-State Electron., 15, 1209 (1972).
3418 C. K Ü H L , H . SCHLÖTTERER, and F . S C H W I D E S F K Y , / . Electrochem. Soc, 121,
1496 (1974).
3419 C. K Ü H L , M. D R U M I N S K I , and K. W1TTMAACK, Thin Solid Films, 37, 317 (1976).
3420 H . S C H L Ö T T E R E R , / . Vac. Sei. TechnoL, 13, 29 (1976).
3421 G. W. CULLEN, J. F . CORBOY, and R. T. SMITH, / . Cryst. Growth, 31, 274 (1975).
3422 M. S. ABRAHAMS and C. J. BUIOCCHI, AppL· Phys. Lett., 27, 325 (1975).
3423 M. D R U M I N S K I , / . Electrochem. Soc, 127, 957 (1980).
3424 G. W. CULLEN, G. E. B O T T L I E B , and C. C. WANG, RCA Rev., 31, 355 (1970).
3425 D. J. DUMIN, P. H. ROBINSON, G. W. CULLEN, and G. E. GOTTLIEB, RCA
Rev., 31, 620 (1970).
3426 G. W. CULLEN, / . Cryst. Growth, 9, 107 (1971).
3427 G. E. GOTTLIEB and J. F . CORBOY, / . Cryst. Growth, 17, 261 (1972).
3428 H . M. MANASEVIT, F . M. ERDMANN, an£ A. C. T H O R S E N , / . Electrochem. Soc,
123, 52 (1976).
3429 D. J. DUMIN and P. H . ROBINSON, / . AppL· Phys., 39, 2759 (1968).
3430 J. AMANO and K . . W . CAREY, / . Cryst. Growth, 56, 296 (1982).
3431 W. E. HAM, M. S. ABRAHAMS, C. J. BUIOCCHI, and J. BLANC, / . Electrochem-
Soc, 124, 634 (1977).

622
REFERENCES

3432 H. M. MANASEVIT and D . H . FORBES, / . Appl. Phys., 37, 734 (1966).


3433 C. C. WANG, G. E. GOTTLIEB, G. W. CULLEN, S. H. McFARLANE, and K. H.
ZAININGER, Trans. Met. Soc. AIME, 245, 441 (1969).
3434 R. L. NOLDER and I. B. CADOFF, Trans. Met. Soc. AIME, 233, 549 (1965).
3435 R. L. NOLDER, D. J. KLEIN, and D. H. FORBES, / . Appl. Phys., 36, 3444 (1965).
3436 B. A. JOYCE, R. J. BENNETT, R. W. BICKNELL, and P. J. ETTER, Trans. Met.
Soc. AIME, 233, 556 (1965).
3437 H. SCHLÖTTERER and C. ZAMINER, Phys. Stat. Sol., 15, 399 (1966).
3438 H. SCHLÖTTERER, Solid-State Electron., 11, 947 (1968).
3439 D. J. DUMIN and P. H. ROBINSON, / . Cryst. Growth, 3/4, 214 (1968).
3440 D. J. DUMIN and E. C. ROSS, / . Appl. Phys., 41, 3139 (1970).
3441 M. L. ZORRILLA-CARFAGNINI, J. TRILHE, M. PITAVAL, and P. MORIN, / .
Electrochem. Soc, 128, 385 (1981).
3442 S. HAMAR-THIBAULT, / . Electrochem. Soc, 128, 581 (1981).
3443 G. W. CULLEN, M. S. ABRAHAMS, J. F. CORBOY, M. T. DUFFY, W. E. HAM,
L. JASTRZEBSKI, R. T. SMITH, M. BLUMENFELD, G. H A R B E K E , and J.
LAGOWSKI, / . Cryst, Growth, 56, 281 (1982).
3444 M. T. DUFFY, J. F. CORBOY, G. W. CULLEN, R. T. SMITH, R. A. SOLTIS,,
G. HARBEKE, J. R. SANDERCOCK, and M. BLUMENFELD, / . Cryst. Growth,
58, 10 (1982).
3445 M. T. DUFFY, P. J. ZANZUCCHI, W. E. HAM, J. F. CORBOY, G. W. C U L L E N
and R. T. SMITH, / . Cryst.'Growth, 58, 19 (1982).
3446 F. MOSER and R. BESERMAN, / . Appl. Phys., 54, 1033 (1983).
3447 W. E. HAM, Appl. Phys. Lett., 21, 440 (1972).
3448 A . C . IPRI and J. N. ZEMEL, / . Appl. Phys., 44, 744 (1973).
3449 J. HYNECEK, / . Appl. Phys., 45, 2631, 2806, (1974).
3450 T. I. KAMINS and E. S. MEIERAN, / . Appl. Phys., 44, 5064 (1973).
3451 D. J. DUMIN, / . Appl. Phys., 36, 2700 (1965).
3452 A . C . THORSEN and A. J. HUGHES, Appl. Phys. Lett., 21, 579 (1972).
3453 A. J. HUGHES, / . Appl. Phys., 46, 2849 (1975).
3454 A. J. HUGHES and A. C. THORSEN, / . Appl. Phys., 44, 2304 (1973).
3455 D. J. DUMIN, Solid-State Electron., 13, 415 (1970).
3456 D. J. DUMIN and R. S. SILVER, Solid-State Electron., 11, 353 (1968).
3457 F. P. HEIMAN, IEEE Trans. Electron. Dev., 14, 781 (1967).
3458 C. B. NORRIS, Appl. Phys. Lett., 20, 187 (1972).
3459 C. W. MUELLER, / . Vac. Sei. Technol., 7, 147 (1970).
3460 P. H. ROBINSON and F. P. HEIMAN, / . Electrochem. Soc, 115, 75 (1968).
3461 D. K. SCHRODER and P. RAI-CHOUDHURY, Appl. Phys. Lett., 22, 445 (1973}.
3462 P. J. McGREIVY and C. R. VISWANATHAN, Appl. Phys. Lett., 25, 505 (1974).
3463 F. P. HEIMAN and P. H. ROBINSON, Solid-State Electron., 11, 411 (1968).
3464 R. S. RONEN and P. H. ROBINSON, Proc IEEE, 59, 1506 (1971).
3465 S. R. HOFSTEIN, IEEE Trans. Electron. Dev., 13, 849 (1966).
3466 A. C. IPRI and J. C. SARACE, IEEE J. Solid State Circ, 11, 329 (1976).
3467 A . C . IPRI and D. W. FLATLEY, IEEE Trans. Electron. Dev., 23, 1110 (1976).
3468 E. J. BOLEKY, RCA Rev., 31, 372 (1970); E. J. BOLEKY, J. R. BURNS, J. E.
MEYER, and J. H. SCOTT, Electronics 40 (7), 82 (1970).
3469 B. V. VONDERSCHMITT, RCA Eng., 24, 4 (1979); Semicond. Int., 1 (1), 77 (1978).
3470 E. PREUSS and H. SCHLÖTTERER, in Proc. Solid State Devices 77, Brighton, G. B.,
1977; Inst. Phys. Conf. Ser. 40, p. 7, Inst. Phys., London, 1978.

623
REFERENCES

3471 D. J. DUMIN, / . Electrochem. Soc, 117, 95 (1970).


3472 D. J. DUMIN, / . Cryst. Growth, 8, 33 (1971).
3473 R. A. OUTLAW and .P. HOPSON, JR., / . Appl. Phys., 55, 1461 (1984).
3474 R. A. OUTLAW, P . HOPSON, JR., G. H . W A L K E R , R. K. CROUCH, and W. A.
J E S S E R , / . Vac. Sei. Technol., A3, 692 (1985).
3475 M. P . CALLAGHAN, E. PATTERSON, B. P. R I C H A R D S , and C. A. WALLACE,
/ . Cryst. Growth, 22, 85 (1974).
3476 W. M. YIM, E. J. STOFKO, P. J. ZANZUCCHI, J. I. PANKOVE, M. E T T E N B E R G ,
and S. L. G I L B E R T , / . Appl. Phys., 44, 292 (1973).
3477 H . M. MANASEVIT, F . M. ERDMANN, and W. I. SIMPSON, / . Electrochem. Soc,
118, 1864 (1971).
3478 M. T. DUFFY, C. C. WANG, G. D. O'CLOCK, JR., S. H . McFARLANE, III, and
P . J. ZANZUCCHI, / . Electron. Mater., 2, 359 (1973).
3479 F . A. PIZZARELLO and J. E. COKER, / . Electrochem. Mater., 4, 25 (1975).
3180 B. B. KOSICKI and D. KAHNG, / . Vac. Sei. Technol., 6, 593 (1969).
3481 G. JACOB, R. MAD AR, and J. HALLAIS, Mater. Res. Bull., 11, 445 (1976).
3482 M. ILEGEMS, / . Cryst. Growth, 9, 158 (1971); 13/14, 360 (1972).
3483 J. I. PANKOVE, E. A. MILLER, D. RICHMAN, and J. E. B E R K E Y H E I S E R , / .
Luminescence, 4, 63 (1971).
3484 J. I. PANKOVE, J. E. B E R K E Y H E I S E R , and E. A. MILLER, / . Appl. Phys.,
45, 1280 (1974).
3485 J . I . PANKOVE, / . Luminescence, 7, 114 (1973).
3486 J. I. PANKOVE and P. E. N O R R I S , RCA Rev., 33, 377 (1972).
3487 J. I. PANKOVE and M. A. LAMPERT, Phys. Rev. Lett., 33, 361 (1974).
3488 M. I L E G E M S and H . C. MONTGOMERY, / . Phys. Chem. Solids, 34, 885 (1973).
3489 M. A. KHAN, R. A. SKOGMAN, M. GERSHENZON, and R. G. SCHULZE, Appl.
Phys. Lett., 42, 430 (1983).
3490 M. HASHIMOTO, H . AMANO, N. SAWAKI, and I. AKASAKI, / . Cryst. Growth,
68, 163 (1984).
3491 T. KAWABATA, T. MATSUDA, and S. K O I K E , / . Appl. Phys., 56, 2367 (1984).
3492 H . AMANO, N. SAWAKI, I. AKASAKI, and Y. TOYODA, Appl. Phys. Lett., 48,
353 (1986).
3493 D. K. GASKILL, N. BOTTKA, and M. C. LIN, Appl. Phys. Lett., 48, 1449 (1986).
3494 S. ZEMBUTSU and T. SASAKI, Appl. Phys. Lett., 48, 870 (1986).
3495 J. P . DISMUKES, W. M. YIM, and V. S. BAN, / . Cryst. Growth, 13/14, 365 (1972).
3496 C. C. W A N G and S. H . McFARLANE, I I I , / . Cryst. Growth, 13/14, 262 (1972).
3497 C. C. WANG, I. LADANY, S. H. McFARLANE, I I I , and F . C. D O U G H E R T Y , / .
Cryst. Growth, 24/25, 239 (1974).
3498 H . M. MANASEVIT and W. I. SIMPSON, / . Electrochem. Soc, 118, 291C (1971).
3499 H . M. MANASEVIT, / . Electrochem. Soc, 118, 647 (1971).
3500 W. A. G U T I E R R E Z , H. D. POMMERRENIG, M. A. J A S P E R , and A. P . MANT-
ZOURANIS, Solid-State Electron., 13, 1199 (1970).
3501 H . M. MANASEVIT, Appl. Phys. Lett., 12, 156 (1968).
3502 H . M. MANASEVIT and A. C. T H O R S E N , / . Electrochem. Soc, 119, 99 (1972); Metall.
Trans., 1, 623 (1970).
3503 A. C. T H O R S E N and H . M. MANASEVIT, / . Appl. Phys., 42, 2519 (1971).
3504 H . M. MANASEVIT and W. I. SIMPSON, / . Electrochem. Soc, 116, 1725 (1969).
3505 C. C. WANG, F . C. D O U G H E R T Y , P . J. ZANZUCCHI, and S. H . McFARLANE, III,
/ . Electrochem. Soc, 121, 571 (1974).

624
REFERENCES

3506 S. H . McFARLANE, I I I and C. C. WANG, / . Appl. Phys., 43, 1724 (1972).


3507 A. C. T H O R S E N , H. M. MANASEVIT, and R. H. HARADA, Solid-State Electron.,
Y7, 855 (1974).
3508 I. LADANY and C. C. WANG, / . Appl. Phys., 43, 236 (1972).
35Ü9 J . M . OWENS, Proc. IEEE, 59, 930 (1971).
3510 Y. Z. LIU, J . L . MOLL, and W. E. SPICER, Appl. Phys. Lett., 17, 60 (1970).
3511 I. LADANY and C. C. WANG, Solid-State Electron., 17, 573 (1974).
3512 J . H . COLLINS, P. J. HAGON, and G. R. PULLIAM, Ultrasonics, 8, 218 (1970).
3513 C O . BOZLER, Solid-State Electron., 17, 395 (1974).
3514 H. M. MANASEVIT and K. L. H E S S , / . Electrochem. Soc, 126, 2031 (1979).
3515 H . M. MANASEVIT and W . I . SIMPSON, / . Electrochem. Soc, 118, 644 (1971).
3516 B. G R E E N B E R G , W. K. ZWICKER, and I. CADOFF, Thin Solid Films, 141, 89
(1986).
3517 A. G. M I L N E S and D. L. FEUCHT, "Heterojunctions and Metal-Semiconductor Junc-
tions", Academic Press, New York, 1972.
3518 S. S. COHEN and G. S. GILDENBLAT, "Metal-Semiconductor Contacts and Devices",
ref. [5353], Vol. 13 (1986); B. L. SHARMA, "Metal-Semiconductor Schottky Barrier
Functions and Their Applications", Plenum, New York, 1984.
3519 B. L. SHARMA and R. K. P U R O H I T , "Semiconductor Heterojunctions", Pergamon
Press, London, 1974.
3520 Proc. Int. Conf. Phys. and Chem. Semicond. Heterojunctions (G. Szigeti, ed.), Akademiai
Kiado, Budapest, 1971.
3521 R. L. TALLMAN, T. L. CHU, G. A. GRUBER, J. J. OBERLY, and E. D. WOLLE Y,
/ . Appl. Phys., 37, 1588 (1966).
3522 D. M. JACKSON, J R . and R. W. H O W A R D , Trans. Met. Soc AIME, 233, 468 (1965).
3523 J. B E R T O T I , / . Mater. Sei., 5, 1073 (1970).
3524 G. Z E I D E N B E R G S and R. L. ANDERSON, Solid-State Electron., 10, 113 (1967).
3525 G. M. OLESZEK and R. L. ANDERSON, / . Electrochem. Soc, 120, 554 (1973).
3526 K. J. M I L L E R and M. J. GRIECO, / . Electrochem. Soc, 109, 70 (1962).
3527 H . AHARONI, A. BAR-LEV, I. A. BLECH, and S. MARGALIT, Thin Solid Films,
11, 313 (1972).
3528 J. BROWNSON, / . Appl. Phys., 35, 1356 (1964); Trans. Met. Soc AIME, 233, 450
(1965).
3529 H. AHARONI, A. BAR-LEV, and S. MARGALIT, / . Cryst. Growth, Yl, 254 (1972).
3530 S. SUZUKI and T. ITOH, / . Appl. Phys., 54, 6385 (1983).
3531 R. C. NEWMAN and J. W A K E F I E L D , / . Electrochem. Soc, 110, 1068 (1963).
3532 R. R I B E N , D. L. F E U C H T , and W. G. OLDHAM, / . Electrochem. Soc, 113, 245
(1966).
3533 T. F . K U E C H , M. MAENPAA and S. S. LAU, Appl. Phys. Lett., 39, 245 (1981).
3534 J. P . D O N N E L L Y and A. G. M I L N E S , / . Electrochem. Soc, 113, 297 (1966).
3535 H . AHARONI, / . Cryst. Growth, 54, 600 (1981).
3536 D. J. DUMIN, / . Cryst. Growth, 8, 33 (1971).
3537 H . AHARONI and D. DUREMBERGOVA, Thin Solid Films, 102, 327 (1983).
3538 M. NUNOSHITA, A. ISHIZU, and J. YAMAGUCHI, Jpn. J. Appl. Phys., 8, 1133
(1969).
3539 H . I S H I I and Y. T A K A H A S H I , Appl. Phys. Lett., 47, 863 (1975).
3540 J. C. MARINACE, IBM J. Res. Dev., 4, 248, 280 (1960).
3541 R. L. ANDERSON, IBM J. Res. Dev., 4, 283 (1960).

625
REFERENCES

3542 T. B. L I G H T , M. B E R K E N B L I T , and A. REISMAN, / . Electrochem. Soc, 115, 969


(1968).
3543 M. I. NATHAN and J. C. MARINACE, Phys. Rev., 128, 2149 (1962).
3544 A. LOPEZ and R. L. ANDERSON, Solid-State Electron., 7, 695 (1964).
3545 M. M. F A N G and W. E. H O W A R D , / . Appl. Phys., 35, 612 (1964).
3546 P . W. K R U S E , S. T. LIU, R. G. SCHULZE, and S. R. P E T E R S O N , / . Appl. Phys.,
40, 5401 (1969).
3547 J. K. K E N N E D Y and W. D. POTTER, / . Cryst. Growth, 13/14, 3151 (1972).
3548 S. A. PAPAZIAN and A. REISMAN, / . Electrochem. Soc, 115, 961 (1968). .
3549 M. R. NAMORDI, D. W. SHAW, and F . H . DOERBECK, IEEE Trans. Electron.
Dev., 26, 1074 (1979).
3550 L. J. VAN RUYVEN, J. M. P. P A P E N H U I JZEN, and A. C. J. VERHOVEN, Solid
State Electron., 8, 631 (1965).
3551 L. L. CHANG, Solid State Electron., 8, 86, 721 (1965).
3552 A. J. S P R I N G T H O R P E , R. J. H A R V E Y , and B. R. PAMPLIN, / . Cryst. Growth,
6, 13 (1969).
3553 F . J. R E I D , S. E. M I L L E R , and H . L. GOERING, J. Electrochem. Soc, 113, 467
(1966).
3554 D . E . B O L G E R and B . E. B A R R Y , Nature, 199, 4900, 1287 (1963).
3555 K. R. FAULKNER, D. K. W I C K E N D E N , B. J. I S H E R W O O D , B. P. R I C H A R D ,
and I. H. SCOBEY, / . Mater. Sei., 5, 308 (1970).
3556 O. I G A R A S H I , / . Electrochem. Soc, 119, 1430 (1972); Jpn. J. Appl. Phys., 16,
1863 (1977).
3557 R. W. THOMAS, / . Electrochem. Soc, 116, 1450 (1969).
3558 J. NOACK and W. MOHLING, Phys. Stat. Sol., A3, K229 (1970).
3559 H . B. POGGE, B. M. KEMLAGE, and R. W. BROADIE, / . Cryst. Growth, 37,
13 (1977).
3560 J. P. ANDRE, J. HALLAIS, and C. SCHILLER, / . Cryst. Growth, 31, 147 (1975).
3561 T. KATODA and M. K I S H I , / . Electron. Mater., 9, 783 (1980).
3562 K. MORIZANE, / . Cryst. Growth, 38, 249 (1977).
3563 H. KASANO, / . Appl. Phys., 43, 1792 (1972); M. AOKI and H. KASANO, Jpn. J.
Appl. Phys., Suppl., 39, 234 (1970).
3564 M. WEINSTEIN, R. O. BELL and A. A. MENNA, / . Electrochem. Soc, 111, 674
(1964).
3565 S.W. ING, JR. and H. T. MINDEN, / . Electrochem. Soc, 109, 995 (1962).
3566 R. K. P U R O H I T , Phys. Stat. Sol., 24, K57 (1967).
3567 G. S. KAMATH and D. BOWMAN, / . Electrochem. Soc, 114, 192 (1967).
3568 R. NICKLIN, A. W. R Ü S S E L and P. C. NEWMAN, Electron. Lett., 3, 363 (1967).
3569 J. MICHEL and D. F A H N Y , J. .Mater. Sei., 2, 299 (1967).
3570 C. J. FROSCH, C. D. T H U R M O N D , H . G. W H I T E , and J. A. MAY, Trans. Met.
Soc AIME, 239, 365 (1967).
3571 R. C. TAYLOR, J. F . WOODS, and M. R. LORENZ, / . Appl. Phys., 30, 5404 (1968).
3572 Y. F U R U K A W A , G. I WANE, and S. ANDO, Jpn. J. Appl. Phys., 8, 973 (1969).
3573 L. C. L U T H E R , Metall. Trans., 1, 593 (1970).
3574 E . G . D I E R S C H K E and G. L. P E A R S O N , / . Appl. Phys., 41, 321 (1970).
3575 K. R I C H T E R , / . Cryst. Growth, 17, 207 (1972).
3576 H. F L I C K E R , B. G O L D S T E I N , and P. A. H O S S , / . Appl. Phys., 35, 2959 (1974).
3577 Y. ITOH, T. N I S H I O K Ä , A. YAMAMOTO, and M. YAMAGUCHI, Appl. Phys. Lett.,
49, 1614 (1984).

626
REFERENCES

3578 R. P. GALE, J. C. C. FAN, B.-Y. TSAUR, G. W. T U R N E R , and F . M. DAVIS, IEEE


Electron. Dev. Lett., 2, 169 (1981).
3579 G. M. METZE, H. K. CHOI, and B.-Y. TSAUR, Appl. Phys. Lett., 45, 1107 (1984).
3580 T. N A K A N I S H I , T. UDAGAWA, A. TANAKA, and K. KAMEI, / . Cryst. Growth,
55, 4578 (1985).
3581 B.-Y. TSAUR, R. W. McCLELLAND, J. C. C. FAN, R. P . GALE, J. P. SALERNO,
B. A. VOJAK, and C. O. BOZLER, Appl. Phys. Lett., 41, 347 (1982).
3582 Y. SHINODA, T. NOSHIOKA, and Y. OHMACHI, Jpn. J. Appl. Phys., 22, L450
(1983).
3483 R. M. F L E T C H E R , D. K. W A G E R , and J. M. BALLANTYNE, Appl. Phys. Lett.,
44, 967 (1984).
3584 M. AKIYAMA, Y. KAWARADA, and K. K A M I N I S H I , / . Cryst. Growth, 68, 21 (1984);
Jpn. J. Appl. Phys., 23, L483 (1985).
3585 T. SOGA, S. H A T T O R I , S. SAKAI, M. TAKEYASU, and M. UMENO, / . Appl.
Phys., 57, 4578 (1985).
35S6 S. SAKAI, T. SOGA, M.· TAKEYASU, and M. UMENO, Jpn. J. Appl. Phys., 24,
L666 (1985); Appl. Phys. Lett., 48, 413 (1986).
3587 R. N. GHOSH, B. G R I F F I N G , and J. M. BALLANTYNE, Appl. Phys. Lett.,
48, 370 (1986).
3588 S. K. SHASTRY and S. ZEMON, Appl. Phys. Lett., 49, 467 (1986).
3589 R. R. M O E S T a n d B . R. S H U P P , / . Electrochem. Soc, 109, 1061 (1962).
3590 T. GABOR, / . Electrochem. Soc, 111, 817, 821, 825 (1964).
3591 R. G. SCHULZE, Appl. Phys. Lett., 37, 4295 (1966).
3592 T. T. ANH-NGUYEN and J. VUILLOD, Rev. Phys. Appl., 1, 161 (1968).
3593 H . KASANO and S. IIDA, Jpn. J. Appl. Phys., 6, 1038 (1967).
3594 Έ . S. M E I E R A N , / . Electrochem. Soc, 114, 292 (1967).
3595 R. KONTRIMAS and A. E. B L A K E S L E E , Electrochem. Tech., 6, 78 (1968).
3596 D. K. J A D U S and D. L. FEUCHT, IEEE Trans. Electron. Dev., 16, 102 (1969).
3597 G. O. LADD, J R . and D. L. FEUCHT, Metall. Trans., 1, 609 (1970).
3598 J. A. AMICK, ref. [2318], p. 283.
3599 M. E. DAVIS, G. Z E I D E N B E R G S , and R. L. ANDERSON, Phys. Stat. Sol., 34,
385 (1969).
3600 T. IDA, M. K A K E H I , and T. ARIZUMI, Jpn. J. Appl. Phys., 10, 1388 (1971).
3601 J. P E R R I N and L. CAPELLA, Compt. Rend. Acad. Sei., 267, 218 (1968).
3602 S. J. J. T E N G , Microware J., 28 (12), 138 (1985).
3603 S. J. J. T E N G , J. M. BALLINGALL and F . J. ROSENBAUM, Appl. Phys. Lett.,
48, 1217 (1986).
3604 W. G. OLDHAM, and A. G. MILNES, Solid-State Electron., 6, 121 (1963).
3605 H. S E K I and M. KINOSHITA, Jpn. J. Appl. Phys., 7, 1142 (1968).
3606 J. P. A N D R E , E. P. MENU, M. ERMAN, M. H . M E Y N A D I E R , and T. NGO, / ,
Electron. Mater., 15, 71 (1986).
3607 J. J. T I E T J E N , H. P. MARUSKA, and R. B. CLOUGH, / . Electrochem. Soc, 116,
492 (1969).
3608 M. I N U I S H I and B. W. W E S S E L S , Thin Solid Films, 88, 195 (1982).
3609 R. A. SCRANTON, / . Appl. Phys., 50, 893 (1979).
3610 H . T. MINDEN, / . Electrochem. Soc, 112, 300 (1965).
3611 A. HASHIMOTO, Y. KAWARADA, T. K A M I J O H , A. AKIYAMA, N. WATANABE,
and M. SAKUTA, Appl. Phys. Lett., 48, 1617 (1986).
3612 J. F . BLACK and S. M. KU, / . Electrochem. Soc, 113, 249 (1966).

627
REFERENCES

3613 H. J Ä G E R and E. S E I P P , / . AppL Phys., 49, 3317 (1978).


3614 R. A. B U R M E I S T E R , JR., and R. W. R E G E H R , Trans. Met. Soc. AIME, 245, 565
(1969).
3615 H . TAKAKURA, H. KITAMURA, and Y. HAMAKAWA J. Cryst.. Growth, 24/25,
249 (1974).
3616 H . KASANO, Solid-State Electron., 16, 913 (1973).
3617 S. M. KU, / . Electrochem. Soc, 110, 991 (1963); 113, 813 (1967).
3618 T. B. RAMACHANDRAN and W. J. MORONEY, Proc. IEEE, 52, 1358 (1964).
3619 T. B. RAMACHANDRAN, K. K. CHOW, M. J. WORONEY, and P. OLENDZENSKY,
/ . AppL Phys., 36, 2594 (1965).
3620 M. S. ABRAHAMS, L. R. W E I S B E R G , C. J. BUIOCCHI, and J. BLANC, / . Mater.
Sei., 4, 223 (1969).
3621 M. S. ABRAHAMS, L. R. W E I S B E R G , and J. J. T I E T J E N , / . AppL Phys., 40,
3754 (1969).
3622 M. G. CRAFORD, W. O. GROVES, and M. J. FOX, / . Electrochem. Soc, 118, 355
(1971).
3623 M. I N O U E and K. ASAHI, Jpn. J. AppL Phys., 11, 919 (1972).
3624 T. MATSUMOTO, T. MORITA, and T. ISHIDA, Jpn. J. AppL Phys., 20, 435 (1981).
3625 J. B E R T O T I , M. F A R K A S - J A H N K E , E. LENDVAY, and T. N E M E T H , / . Mater.
Sei., 4, 699 (1969).
3626 N. MATSUDA and I. AKASAKI, / . Cryst. Growth, 45, 192 (1978).
3627 R. J. CAVENEY, / . Cryst. Growth, 2, 85 (1968).
3628 L. J. VAN R U Y V E N and INDRADEV, / . AppL Phys., 37, 3324 (1966).
3629 H . J. H O V E L and A. G. MILNES, / . Electrochem. Soc, 116, 843 (1969); Int. J.
Electron., 25, 201 (1968); IEEE Trans. Electron. Dev., 16, 766 (1966).
3630 F . CHERNOW, G. F . RUSE, and G. W. E L D R I D G E , / . Electrochem. Soc, 122, 1365
(1975).
3631 R. MACH, W. L U D W I G , G. EICHHORN, and H. ARNOLD, Phys. Stat. Sol., A2,
701 (1970).
3632 E. J. BAWOLEK and B. W. W E S S E L S , Thin Solid Films, 131, 173 (1985).
3633 K. J. S L E G E R and A. G. MILNES, Int. J. Electron. 33, 565 (1972).
3634 T. MORIIZUMI and K. TAKAHASHI, Jpn. J. AppL Phys., 9, 849 (1970).
3635 H. SERIZAWA, O. EGUCHI, Y. TSUJIMOTO, and M. F U K A I , / . AppL Phys.,
41, 5032 (1970).
3636 Y. TSUJIMOTO and M. F U K A I , jpn. J. AppL Phys., 6, 1013, 1024 (1967).
3637 T. IDO, S. OSHIMA, and M. S A J I , Jpn. J. AppL Phys., 7, 1141 (1968).
3638 C. PAORICI, C. PELOSI, G. BOLZONI, and G. ZUCCALLI, / . Mater. Sei., 10,
2117 (1975).
3639 C. GHEZZI, C. PAORICI, C. PELOSI, and M. S E R V I D O R I , / . Cryst. Growth, 42,
181 (1977).
3640 H. VAN D I J K and J. GOORISSEN, in "Crystal Growth" (H. S. Peiser, ed.), p. 531,
Pergamon Press, London, 1967.
3641 M. W E I N S T E I N and G. A. W O L F F , in "Crystal Growth" (H. S. Peiser, ed.), p . 537,
Pergamon Press, London, 1967).
3642 B. J. CURTIS and H. B R U N N E R , / . Cryst. Growth, 6, 269 (1969).
3643 M. MOULIN, A. H U B E R , and M. DUGUE, / . Cryst. Growth, 17, 212 (1973).
3644 H. SEILER, P. R E I M E R S , and INDRADEV, Mat. Res. Bull., 4, 119 (1969).
3645 M. AVEN and Wr. GARWACKI, / . Electrochem. Soc, 110, 401 (1963).

628
REFERENCES

3646 H. ARNOLD, T. KAUFMANN, and R. MACH, Phys. Stat. Sol., A l , K 5 (1970).


3647 R.-L. CHOU, M.-S. LIN, and K.-S. CHOU, Appl. Phys. Lett., 48, 523, (1986).
3648 M. W E I N S T E I N , G. A. W O L F F , and B. N. DAS, Appl. Phys. Lett., 6, 73 (1965).
3649 J. E. A N D R E W S , H. H. STRADELMAIER, M. A. L I T T L E J O H N , and J. COMAS,
/ . Electrochem. Soc, 128, 1563 (1981).
3650 H . L. HWANG, C. Y. SUN, C. S. FANG, S. D. CHANG, C. H. CHENG, M. H . YANG,
H . H . L I N , and H. TUWAN-MU, / . Cryst. Growth, 55, 116 (1981).
3651 S. VARMA, K. V. RAO, and S. KAR, J. Appl. Phys., 56, 2812 (1984).
3652 T. I. KAMINS, IEEE Traits. Parts, Hybrids, and Packaging, 10, 221 (1974).
3653 A. C. ADAMS, ref. [10], Ch. 3, p. 93.
3654 C. C. MAI, T. S. W H I T E H O U S E , R. C. THOMAS, a n d D. R. GOLDSTEIN, / .
Electrochem. Soc, 118, 331 (1971).
3655 F . C. E V E R S T E Y N and B. H. PUT, / . Electrochem. Soc, 120, 106 (1973).
3656 L. H . HALL, K. M. K O L I W A D , and L. N. SWINK, Thin Solid Films, 18, 145 (1973).
3657 J. MUROTA, E. ARAI and K. KUDO, / . Electrochem. Soc, 127, 1188 (1980).
3658 W. A. P. CLAASSEN, J. BLOEM, and F . H. P. M. H A B R A K E N , / . Electrochem. Soc,
130, 1586 (1983).
3659 T. I. KAMINS, M. M. MANDURAH, and K. C. SARASWAT, / . Electrochem. Soc, 125,
927 (1978).
3660 T. I. KAMINS, / . Electrochem. Soc, 126, 833, 1019 (1979).
3661 A. D I X I T , C. S. CHEN, and C. E. VOLK, / . Electrochem. Soc, 127, 2239 (1980).
3662 S. P. MURARKA, A . K . SINHA, and H. J, LEVINSTEIN, / . Electrochem. Soc, 127,
2446 (1980).
3663 G. H A R B E K F , L. KRAUSBAUER, E. F . S T E I G M E I E R , A. E. W I D M E R , H . F .
K A P P E R T , a n d G. N E U G E B A U E R , Appl. Phys. Lett., 42, 249 (1983); RCA Rev.,
44, 287 (1983); / . Electrochem. Soc, J31, 675 (1984).
3664 H. K U R O K A W A , / . Electrochem. Sccf 129, 2620 (1982).
3665 Y. FURÜMURA, F . MIENO, T. NISHIZAWA, and M. MAEDA, / . Electrochem. Socf
133, 379 (1986).
3666 C. H. J. VAN DEN B R E K E L and L. J. M. BOLLEN, / . Cryst. Growth, 54, 310 (1981).
3667 W. A. P. CLAASSEN, J. BLOEM, W. G. J. N. V A L K E N B U R G , and C. H. J. VAN
DEN B R E K E L , / . Cryst. Growth, 57, 259 (1982).
366S A. BAUDRANT and M. SACILOTTI, / . Electrochem. Sec, 129, 1109 (1982).
3569 M. D U F F Y , J. T. McGINN, J. M. SHAW, R. T. SMITH, R. A. SOLTIS, and G.
H A R B E K E , RCA Rev., 44, 313 (1983).
3670 N. C. C. LU, W. R E U T E R , C. Y. LU, M. K. L E E , C. C. S H I H and C. S. WANG,
/ . Electrochem. Soc, 131, 897 (1984).
3671 B. S. MEYERSON and W. OLBRICHT, / . Electrochem. Soc, 131, 2361 (1984); B. S.
M E Y E R S O N and M. L. YU, / . Electrochem. Soc, 131, 2366 (1984).
3672 J.-J. J. HA J JAR, R. R E I F , and D. ADLER, / . Electron. Mater., 15, 279 (1986).
3673 A. BROKMAN, R. GAT, and Y. A L P E R N , Appl. Phys. Lett., 49, 382 (1986).
3674 Z. IQBAL, A. P. W E L B , and S. V E P R E K , Appl. Phys. Lett., 36, 163 (1980).
3675 T. I. KAMINS and H. L. CHIANG, / . Electrochem. Soc, 129, 2326, 2331 (1982).
3676 F . MORIN and M. MOREL, Appl. Phys. Lett., 35, 686 (1979).
3677 R. M. ANDERSON, / . Electrochem. Soc, 120, 1540 (1973).
3578 N. NAGASIMA and N. KUBOTA, Jpn. J. Appl. Phys., 14, 1105 (1975).
3679 A. L. F R I P P , R. L. STERMER, and A. CATLIN, . / . Electrochem. Soc, 117, 1569
(1970).
3680 A. EMMANUEL and H. M. POLLOCK, / . Electrochem. Soc, 120, 1586 (1973).

629
REFERENCES

3681 T . I . KAMINS, / . Elecfrochem. Soc, 121, 681 (1974).


3692 J. J. WANG, W. I. SIMPSON, H . M. MANASEVIT, and R. P. R U T H , / . Appl. Phys.
55, 2995 (1984).
3693 L.MEI, M. R I V I E R , Y. K W A R K , and R. W. DUTTON, / . Electrochem. Soc, 129,
1791 (1982).
3684 E. A. I R E N E and D. W. DONG, / . Electrochem. Soc, 129, 1347 (1982).
3685 M. H E N D R I C K S , S. RADELAAR, A. M. B E E R S , and J. BLOEM, Thin Solid Films,
113, 59 (1984).
3686 J. Y. W. SETO, ref. [57], p . 241.
3687 M. E. COWHER and T. O. SEDGWICK, / . Electrochem. Soc, 119, 1565 (1972).
3688 A. L. F R I P P , J. Appl. Phys., 46, 1240 (1975).
3689 T. I. KAMINS, / . Appl. Phys., 42, 4357 (1971).
3690 J. Y. W. SETO, / . Άρρΐ. Phys., 46, 5247 (1975).
3691 P. RAI-CHOUDHURY and P. L. H O W E R , / . Electrochem. Soc, 120, 1761 (1973).
3692 M. M. MANDURAH, K. C. SARASWAT, and T. I. KAMINS, / . Electrochem. Soc,
126, 1019 (1979); IEEE Trans. Electron. Dev., 28, 1163, 1171 (1981).
3693 K. E. BEAN, H . P. HENTZSCHEL, and D. COLMAN, / . Appl. Phys., 40, 2358
(1969).
3694 J. D. J O S E P H and T. I. KAMINS, Solid-State Electron., 15, 355 (1972).
3695 A. L. F R I P P and L. H . SLACK, / . Electrochem. Soc, 120, 145 (1973).
3696 Z. L I E B L I C H and A. BAR-LEV, / . Electrochem. Soc, 123, 1573 (1976).
3697 M. B R I S K A and A. K I O F S K Y , / . Electrochem. Soc, 121, 972 (1974).
3698 J. Y. W. SETO, / . Appl. Phys., 47, 5167 (1976).
3699 N. C.-C. LU, L. G E R Z B E R G , C.-Y. LU, and J. D. MEINDL, IEEE Electron. Dev. Lett.,
2, 95(1981).
3700 J. BLOEM and W. A. P . CLAASSEN, Appl. Phys. Lett., 40, 725 (1982).
3701 D. R. CAMPBELL, Appl. Phys. Lett., 36, 604 (1980).
3702 J. R. LLOYD, M. R. POLCARI, and G. A. MacKENZIE, Appl. Phys. Lett., 36,
428 (1980).
3703 T. I. KAMINS, J. MANOLIU, and R. N. TUCKER, / . Appl. Phys., 43, 83 (1972).
3704 M. TAKAGI, K. NAKAYAMA, C. TERADA, and H . KAMIOKA, / . Jpn. Soc Appl.
Phys., Suppl. 42, 101 (1973).
3705 H . KAMIOKA, K. I S H I I , and M. TAKAGI, Fujitsu Sei. Tech. J., 8, 147 (1972).
3706 K. K I R I T A , T. MORIYA, Y. T S U J I , and Y. YASUDA, ref. [57], p . 706.
3707 T. SAKAI, Y. SUNOHARA, Y. SAKAKIBARA, and J. MUROTA, Jpn. J. Appl.
Phys., Suppl., 16-1, 43 (1977).
3708 Y. WADA and M. ASHIKAWA, Jpn. J. Appl. Phys., 15, 389 (1976).
3709 U. S. DAVIDSON and F . L E E , Proc IEEE, 57, 1532 (1969).
3710 T. SUZUKI, A. MIMURA, T. KANAI, and T. OGAWA, / . Electrochem. Soc, 127,
1537 (1980).
3711 I. KOBAYASHI, IEEE Trans. Electron. Dev., 18, 45 (1971); 20, 399 (1973).
3712 H . C. De GRAAF and M. H U Y B E R S , Solid-State Electron., 25, 67 (1982).
3713 F . F A G G I N and T. K L E I N , Solid-State Electron., 13, 1125 (1970).
3714 C. K. KIM and E. H . SNOW, Appl. Phys. Lett., 20, 514 (1972).
3715 L. E. CLARK and D. S. ZOROGLU, Solid-State Electron., 15, 653 (1972). .
3716 T. O. SEDGWICK, M. E. COWHER, I. F . CHANG, and J. F . O'HANLON, J. Elec-
tron. Mater., 2, 309 (1973).
3717 F . D . K I N G , J. SHEWCHUN, D. A. THOMPSON, H . D. BARBER, and W. A.
PIECZONKA, Solid-State Electron., 16, 701 (1973).

630
REFERENCES

3718 Y. AMEMIYA, T. ONO, and K. ΚΑΤΟ, IEEE Trans. Electron. Dev.t 26 1738 (1979).
3719 M. TANIMOTO, J. MUROTA, Y. OHMORI, and N. TEDA, IEEE Trans. Electron.
Dev., 27, 517 (1980).
3720 J. E. MAHAN, Appl. Phys. Lett., 41, 479 (1982); J. E. MAHAN, D. S. NEWMAN, and
M. R. GULETT, IEEE Trans Electron. Dev., 30, 45 (1983).
3721 H . C. De GRAAFF and M. T. M. H U Y B E R S , / . Appl. Phys., 54, 2504 (1983).
3722 Y. SATO, K. MURASE, and H. HARADA, / . Electrochem. Soc. 129, 1635 (1982).
3723 C. Y. LU., N. C. C. LU, and C. C. SHIN, / . Electrochem. Soc, 132, 1193 (1985).
3724 S. D. S. MALHI, P. K. C H A T T E R J E E , R. F . PINIZZOTTO, H . W. LAM, C. E. C.
CHEN, H . S H I C H I J O , R. R. SHAN, and D. W. BELLAVANCE, IEEE Electron.
Dev. Lett., 4, 369 (1983).
3725 K. MAEDA, K. S H I R A I , and J. NAKANO, Jpn. J. Appl. Phys., 13, 1173 (1974).
3726 C. H . FA and T. T. J E W , IEEE Trans. Electron. Dev., 13, 290 (1966).
3727 T. I. KAMINS, Solid-State Electron., 15, 789 (1972).
3728 Z. L I E B L I C H and A. BAR-LEV, IEEE Trans. Electron. Dev., 24, 1025 (1977).
3729 J. MANOLIU and T. I. KAMINS, Solid-State Electron., 15, 1103 (1972).
3730 E. MUNOZ-MERINO, Phys. Stat. Sol., A15, K167 (1973).
3731 D. K. B R O W N and C. A. B A R I L E , / . Electrochem. Soc, 130, 1579 (1983).
3732 H . J. LEAMY, R. C. F U J E , K. K. NG, G. K. C E L L E R , E. I. POVILONIS, and
S. M. SZE, Appl. Phys. Lett., 40, 598 (1982).
3733 K. OKADA, K. AOMURA, T. NAKAMURA, and H . SHIBA, IEEETrans. Electron.
Dev., 26, 385 (1979).
3734 J. P . MONICO-GARCIA and E. MUNOZ-MERINO, Solid-State Electron., 16, 1513
(1973).
3735 S. ONGA, Y. MIZUTANI, Y. TANIGUCHI, M. K A S H I W A G I , K. SHIBATA, and
S. KOHYAMA, jpn. J. Appl. Phys., 2 1 , 1472 (1982).
3736 K. L. KAVANAGH, J. W. MAYER, C. W. MAGEE, J. S H E E T S , J. TONG, P. D.
K I R C H N E R , J. M. WOOD ALL, and I. H A L L E R, J. Electrochem. Soc, 133, 1176
(1986).
3737 C. A. CHANG and W. H . S I E K H A N O , / Appl. Phys., 46, 3402 (1975).
3738 T. L. CHU, H . C. MOLLENKOPF, and S. S. CHU, / . Electrochem. Soc, 122, 1681
1975; 123, 106 (1976).
3739 K. OKUDA, H. OKAMOTO, and Y. HAMAKAWA, Jpn. J. Appl. Phys., 22, L603
(1983).
3740 A. B. M E I N E L and M. P. M E I N E L , Physics Today, 25 (2), 44 (1972).
3741 V. J. KAPOOR, IEEE Electron. Dev. Lett., 2, 92 (1981).
3742 S. N I S H I D A , M. KONAGAI, and K. T A K A H A S H I , Thin Solid Films, 112, 7 (1984).
3743 M. E. LUNNON and D. W. GREVE, / . Appl. Phys., 54, 3278 (1983).
3744 T. MATSUSHITA, T. AOKI, T. OHTSU, H . YAMOTO, H. H A Y A S H I , M. OKAYAMA,
and Y. KAWANA, IEEE Trans. Electron. Dev., 23, 826 (1976); Jpn. J. Appl. Phys.,
Suppl., 15, .35 (1976).
3745 T. MATSUSHITA, N. OH-UCHI, H. H A Y A S H I , and H . YAMATO, Appl. Phys.
Lett., 35, 549 (1979).
3746 N. ZOMMER, IEEE Trans. Electron. Dev., 27, 2056 (1980).
3747 J. N I a n d E. ARNOLD, Appl. Phys. Lett., 39, 554 (1981).
3748 D. I O S I F , V. SOLTUZ, and G. DINOIU, Thin Solid Films, 75, 125 (1981).
3749 Y. K W A R K and R. M. SWANSON, / . Electrochem. Soc, 129, 197 (1982).
3750 A. E. W I D M E R , G. H A R B E K E , L. K R A U S B A U E R , and E. F . S T E I G M E I E R , /.
Electrochem. Soc, 133, 1880 (1986).

631
REFERENCES

3751 W. R. KNOLLE and Η. R. MAXWELL, JR., / . Eledrochem. Soc, 127, 2254 (1980);
Η . R. MAXWELL, J R . and W. R. KNOLLE, / . Eledrochem. Soc, 128, 576 (1981).
3752 E. YABLONOVITCH and T. GMITTER, IEEE Electron. Dev. Lett., 6, 597 (1985).
3753 M. HAMASAKI, T. ADACHI, S. WAKAYAMA, and M. K I K U C H I , / . Appl. Phys.,
49, 3987 (1978).
3754 T. ADACHI and C. R. HELMS, / . Eledrochem. Soc, 127, 1617 (1980).
3755 J . T . McGINN and A . M . GOODMAN, Appl. Phys. Lett., 34, 601 (1979).
3756 M. L. HITCHMAN and A. E. W I D M E R , / . Cryst. Growth, 55, 501 (1982).
3757 J. WONG, D. A. J E F F E R S O N , T. G. SPARROW, J.M. THOMAS, R. H . MILNE,
A. H O W I E , and E. F . KOCH, Appl. Phys. Lett., 48, 65 (1986).
3758 T. L. CHU, S. S. CHU, K. Y. D U H , and H . C. MOLLENKOPF, / . Appl.. Phys.,
48, 3576 (1977).
3759 T. WARABISAKO and T. SAITOH, IEEE Trans. Electron. Dev., 24, 446 (1977).
3760 P. H, ROBINSON and R. V. D'AIELLO, Appl. Phys. Lett., 39, 63 (1981).
3761 C. LANZA and H. J. HOVEL, IEEE Trans. Electron., Dev., 24, 392 (1977).
3762 T. L. CHU, S. S. CHU, and E. D. STOKES, Solar Energy Mater., 2, 265(1979/1980).
3763 W. J. SCHINS, J. BEZEMER, H . HOLTROP, and S. RADELAAR, / . Eledrochem.
Soc, 127, 1193 (1980).
3764 K. R. S H A R M A a n d M. J. RICE, JR., / . Cryst. Growth, 56, 313 (1982).
3765 S. S. CHU, T. L. CHU, and M. GILL, Thin Solid Films, 46, L I (1977).
3766 H . K R O G E R , D. W. J I L L I E , L. N., SMITH, L. E. P H A N E U F , C. N. P O T T E R ,
D. M. SHAW, E. J. CUKANSAS, and M. N I S E N O F F , Appl. Phys. Lett., 44, 562
(1984).
3767 G. A. STEVENSON, B. TUCK, and S. J . T . OWEN, / . Mater. Sei., 6, 413 (1971).
3768 S. S. CHU, T. L. CHU, H . T. YANG, and K. H. HONG, / . Eledrochem. Soc, 125,
1668 (1978).
3769 S. S. CHU, T. L. CHU, and Y. T. L E E , IEEE Trans. Electron. Dev., 27, 640 (1980).
3770 S. M. VERNON, A. E. B L A K E S L E E , and M. J. HOVEL, / . Eledrochem. Soc, 126,
703 (1979).
3771 A. E. B L A K E S L E E and S. M. VERNON, IBM. J. Res. Dev., 22, 346 (1978).
3772 K. P. PANDE, Y.-S. H S U , J. M. B O R R E G O , and S. K. GHANDHI, Appl. Phys.
Lett., 33, 717 (1978).
3773 S. K. GHANDHI, J. M. B O R R E G O , D. R E E P , Y.-S. H S U , and K. P. P A N D E ,
Appl. Phys. Lett., 344, 699 (1979).
3774 K. P A N D E , D. R E E P , A. SRIVASTAVA, S. T I W A R I , J. M. B O R R E G O , and S. K.
G H A N D H I , / . Eledrochem. Soc, 126, 300 (1979).
3775 K. P. PANDE, D. H . R E E P , S. K. SHASTRY, A. S. W E I N E R , J. M. B O R R E G O ,
and S. K. GHANDHI, IEEE Trans. Electron. Dev., 27, 635 (1980).
3776 S. K. GHANDHI, S. K. SHASTRY, and J. M. B O R R E G O , Appl. Phys. Lett., 38,
25 (1981).
3777 S. K. SHASTRY and S. K. G H A N D H I , / . Cryst. Growth, 6 1 , 458 (1983).
3778 S. K. G H A N D H I and D. H. R E E P , / . Eledrochem. Soc, 129, 2778 (1982).
3779 J. J. J. YANG, P. D. D A P K U S , R. D. D U P U I S , and R. D. YINGLING, / . Appl.
Phys., 51, 3794 (1980).
3780 W. D. JOHNSTON, JR., / . Cryst. Growth, 39, 117 (1977).
3781 W. D. JOHNSTON, J R . and W. M. CALLAHAN, / . Eledrochem. Soc, 125, 977 (1978).
3782 K. J. BACHMANN, E. B U E H L E R , J. L. SHAY, S. W A G N E R , and M. B E T T I N I ,
/ . Eledrochem. Soc, 123, 1509 (1976).

632
REFERENCES

3783 T. SAITOH, S. MATSUBARA, and S. MINAGAWA, / . Electrochem. Soc, 123, 403


(1976).
3784 T. SAITOH and S. MATSUBARA, / . Electrochem. Soc, 124, 1065 (1977).
3785 M. I N U I S H I and B. W. W E S S E L S , / . Electrochem. Soc, 127, 2747 (1980).
3786 K. J. BACHMANN, E. B U E H L E R , J. L. SHAY, and S. W A G N E R , Appl. Phys.
Lett., 29, 121 (1976).
3787 J. L. SHAY, S. W A G N E R , M. B E T T I N I , K. J. BACHMANN, and E. B U E H L E R ,
IEEE Trans. Electron. Dev., 24, 483 (1977).
3788 M. B E T T I N I , K. J. BACHMANN, and J. L. SHAY, / . Appl. Phys., 49, 865 (1978).
3789 H . KATO, A. Y O S H I D A . and T. ARIZUMI, Jpn. J. Appl. Phys., 15, 1819 (1976).
3790 T. F E N G , A. K. G H O S H , and C. FISHMAN, Appl. Phys. Lett., 35, 266 (1979).
3791 S. ASHOK, P . O . SHARMA and S. J. F O N A S H , IEEE Trans.. Electron. Dev., 27,
725 (1980).
3792 T. L. CHU, S. S. CHU, K. MURTHY, E. D. S T O K E S , and P. E. R U S S E L L , / . Appl.
Phys., 54, 2063 (1983).
3793 M. B H U S H A N , Appl. Phys. Lett., 40, 51 (1982).
3794 M. P. B E N D E T T , and R. C. H U N S P E R G E R , / . Electron. Mater., 10, 559 (1981).
3795 J. LONG, / . Electrochem. Soc, 130, 725 (1983).
3796 T. SUDA, M. SUZUKI, and S. K U R I T A , Jpn. J. Appl. Phys., 22, L656 (1983).
3797 S. K. G H A N D H I , R. J. F I E L D , and J. R. SHEALY, Appl. Phys. Lett., 37, 449
(1980).
3798 A. P . R O T H and D. F . WILLIAMS, / . Appl. Phys., 52, 6685 (1981).
3799 K. L. L E W I S , D. J. COOK, and P . B. ROSCOE, / . Cryst. Growth, 56, 614 (1982).
3800 S. TSUKAHARA, T. SATOH, and T. TSUSHIMA, / . Cryst. Growth, 24/25, 158 (1974).
3801 H. A. NASEEM, L. C. BURTON, and J. E. A N D R E W S , JR., Thin Solid Films,
129, 49 (1985).
3802 J. D. JOANNOPOULOS and G. LUCOVSKY, eds., "The Physics of Hydrogenated Amor-
phous Silicon", Vols. I & II, Springer, New York, 1984.
3803 J. I. PANKOVE, ed., "Hydrogenated Amorphous Silicon", P a r t s A, B, C & D, Academic
Press, New York 1984.
3804 D. ADLER, B. B. SCHWARTZ, and M. C. S T E E L E , eds., "Physical Properties of
Amorphous Materials", Plenum, New York, 1985.
3805 L. L. K A Z M E R S K I , ed., "Poly cry stalline and Amorphous Thin Films and Devices",
Academic Press, New York, 1980.
3806 M. H . B R O D S K Y , ed., "Amorphous Semiconductors", in "Topics in Applied Physics",
vol. 36, Springer Verlag, New York, 1979.
3807 Y. HAMAKAWA, ed., "Amorphous Semiconductors: Technologies and Devices", in "JAREC",
Vols. 2, 6 & 16, North-Holland, Amsterdam, 1381, 1983 & 1984.
3808 R. GRIGOROVICI, Thin Solid Films, 9, 1 (1971); 12, 153 (1972).
3809 S. R. O V S H I N S K Y and H . F R I T Z S C H E , IEEE Trans. Electron. Dev.., 20, 91 (1973).
3810 Proc. 4th Int. Conf. on Amorphous and Liquid Semicond., Ann Arbor, MI, 1971 (M.H.
Cohen and G. Lucovsky, eds.), / . Non-Cryst. Solids, 8—10 (1972).
3811 Proc. 5th. Int. Conf. on Amorphous and Liquid Semicond., Garmisch-Partenkirchen,
1973 (J. Stuke and W. Brenig, eds.), Taylor and Francis, London, 1974.
3812 Prcc. 6th. Int. Ccnf. on Amorphous and Liquid Semicond., Leningrad, 1975 (B. T
Kolomiets, ed.), Nauka, Leningrad, 1976.
3813 Proc. 7th.Int. Conf. on Amorphous and Liquind Semicond., Edinburgh, 1977 (W. E
Spear, ed.), CICL, Edinburgh, or Dundee University Press, Dundee, 1977.

633
REFERENCES

3814 Proc. 8th Int. Conf. on Amorphous and Liquid Semicond., Cambridge, MA, 1979 (W.
Paul and M. Kastner, eds.), / . Non-Cryst. Solids, 35/36 (1980).
3815 Proc. 9th Conf. on Amorphous and Liquid Semicond., Grenoble, 1981 (B. K. Chakra-
verty and D. Kaplan, eds.), / . Fhys. (Paris), Colloq. C4, 42 (1981).
3816 Proc. .10th Int. Conf. on Amorphoys and Liquid Semicond., Tokyo, 1983 (K. Tanaka
and T. Shimizu, ed.), / . Kon. Cry st. Solids, 59/60 (1983).
3817 Proc. 11th Int. Conf. on Amorphous and Liquid Semicond., Rome 1985, (F. Evanghe-
listi and J. Stuke, eds.), / . Non-Cryst. Solids. 77/78 (1986).
3818 D. CARLSON, ref. [3805], p . 175.
3819 M. H . BRODSKY, Thin Solid Films, 50, 57 (1978).
3820 H . F R I T S C H E , Solar Energy Mater., 3, 447 (1980).
3821 A. H. MAHAN and J. L. STONE, eds., "Amorphous Silicon Bibliography ( 1 9 6 5 - 1980)",
Solar Cells, 4 ( 3 - 4 ) (1981); "Amorphous Silicon Bibliography 1981 & 1982" Solar Cells,
7 (4) ( 1 9 8 2 - 1 9 8 3 ) ; J. L. CRWLEY, ed., "Amorphous Silicon Bibliography 1983,
1984 & 1985", Solar Cells, 13 (4) 1985; 14 (4) (1985); 17 (4) 1986.
3822 D. CARLSON, Solar Energy Mater., 3, 503 (1980).
3823 J. C. K N I G H T S and G. LUCOVSKY, CRC Crit. Rev. Sol. St. Mat. Sei., 9, 210 (1980).
3824 W. PAUL and D. A. ANDERSON, Solar Energy Mater., 5, 229 (1981).
3825 J. G E I G E R , Thin Solid Films, 126, 1 (1985).
3826 H . FRITZSCHE, C. C. TSAI, and P. P E R S A N S , Solid State Technol., 21 (1), 55(1978).
3827 W. E. SPEAR, Advan. Phys., 26, 811 (1977).
3828 W. E. SPEAR, P . G. LeCOMBER, A. J. SNELL, and R. A. GIBSON, Thin Solid
Films, 90, 359 (1982).
3829 R. C. CHITTICK, J. H . A L E X A N D E R , and H . F . S T E R L I N G , / . Electrochem. Soc,
116, 77 (1969).
3830 W. E. S P E A R and P . G. LeCOMBER, Solid State Commun., 17, 1193 (1975); Philos.
Mag., 33, 935 (1976).
3831 M. H . B R O D S K Y , M. A. F R I S C H , J. F . Z I E G L E R , and W. A. LANFORD, Appl.
Phys. Lett., 30, 561 (1977).
3832 M. H . BRODSKY, M. CARDONA, and J. J. CUOMO, Phys. Rev., B16, 3556 (1977).
3833 D. E. CARLSON and C. R. W R O N S K I , Appl. Phys. Lett., 28, 671 (1976).
3834 C. R. W R O N S K I , IEEE Trans. Electron. Dev., 24, 351 (1977).
3835 D. E. CARSLON, IEEE Trans Electron. Dev., 24, 449 (1977).
3836 J. C. K N I G H T S , Philos. Mag., 34, 663 (1976).
3837 J. C. K N I G H T S , R. A. L U J A N , M. P. A L E X A N D E R , R. A. S T R E E T , and D. K.
B I E G E L S E N , Appl. Phys. Lett., 38, 331 (1981).
3838 H . OKAMOTO, Y. NITTA, T. ADACHI, and Y. HAMAKAWA, Surf. Sei., 86, 444
(1979).
3839 B . A. SCOTT, M. H . BRODSKY, D. C. G R E E N , P . B. K I R B Y , R. M. P L E C E N I K ,
and E. E. SIMONYI, Appl. Phys. Lett., 37, 725 (1980).
3840 T. HAMASAKI, M. UEDA, A. CHAYAHARA, M. H I R O S E , and Y. OSAKA, Appl.
Phys. Lett., 44, 600 (1984).
3841 Y. OHASHI, J. K E N N E , M. KO GAN AI, and K. T A K A H A S H I , Appl. Phys. Lett.,
42, 1028 (1983).
3842 P . N. D I X I T , R. BHATTACHARYA, O. S. P A N W A R , and V. V. SHAH, Appl.
Phys. Lett., 44, 991 (1984).
3843 O. S. PANWAR, P. N. D I X I T , R. BHATTACHARYA, and V. V. S H A H , / . Appl.
Phys., 59, 1578 (1986).
3844 L. J. DIMMEY, P . L. J O N E S , and F . H . COCKS, Thin Solid Films, 6 7 , L 1 3 (1980).

634
REFERENCES

3845 A. MATSUDA, T. KAGA, H . TANAKA, L. MALHOTRA, and K. TANAKA, Jpn.


J. Appl. Phys., 22, L115 (1983),
3846 G. B. SMITH and D. R. McKENZIE, Solar Energy Mater., 11, 43 (1984).
3847 S. J. H U D G E N S , A. G. JOHNCOCK, and S. R. OVSHINSKY, / . Non. Cryst. Solids,
77/78, 809 (1985).
3848 S. KATO and T. AOKI, / . Non-Cryst. Solids, 77/78, 813 (1985).
3849 J. P. HARBISON, A. J. WILLIAMS, and D. V. LANG, / . Appl. Phys., 55, 946
(1984).
3850 J. K E N N E , Y. OHASHI, T. MATSUSHITA, M. KONAGAI, and K. T A K A H A S H I ,
J. Appl. Phys., 55, 560 (1984); J. K E N N E , M. KONAGAI, and K. T A K A H A S H I ,
Appl. Phys. Lett., 44, 965 (1984).
3851 P . E. VANIER, F . J. KAMPAS, R. R. CORDERMAN, and G. R A J E S W A R A N , / .
Appl, Phys., 56, 1812 (1984).
3852 Y. TOYOSHIMA, K. KUMATA, U. ITOH, K. ARAI, A. MATSUDA, N. W A S H I D A ,
G. INOUE, and K. KATSUUMI, Appl. Phys. Lett., 46, 584 (1985).
3853 S. R. O V S H I N S K Y and A. MADAN, Nature, 276, 482 (1978).
3854 A. MADAN, S. R. O V S H I N S K Y , and E. B E N N , Philos. Mag., B40, 259 (1979).
3855 A. MADAN, S. R. OVSHINSKY, and W. CZUBATYJ, / . Electron. Mater., 9, 385
(1980).
3856 .A. MADAN and S. R. OVSHINSKY, / . Non-Cryst. Solids, 35/36, 171 (1980).
3857 M. SHUR, W. CZUBATYJ, and A. MADAN, / . Non-Cryst. Solids, 35/36,73(1980).
3858 A. MADAN, J. McGILL, W. CZUBATYJ, J. YANG, and S. R. O V S H I N S K Y , Appl.
Phys. Lett., 37, 826 (1980).
3859 M. KONAGAI and K. T A K A H A S H I , Appl. Phys. Lett., 36, 599 (1980).
3860 A. MATSUDA, S. YAMASAKI, K. NAKAGAWA, H . O K U S H I , K. TANAKA, S.
IIZIMA, M. MATSUMURA, and H. YAMAMOTO, Jpn. J. Appl. Phys., 19, L305
(1980).
3861 T. SHIMIZU, K. NAKAZAWA, M. KUMED, and S. UEDA, Jpn. J. Appl. Phys.
Lett., 2 1 , L351 (1972).
3862 R. W E I L , M. JANAI, B. BRATT, K. L E V I N , and F . MOSER, / . Phys., (Paris),
Colloq. C4, 42, 643 (1981).
3863 M. JANAI, L. F R E Y , R. W E I L , and B . P R A T T , Solid State Commun., 48, 521 (1983).
3864 M. JANAI, R. W E I L , and B. PRATT, / . Non-Cryst. Solids, 59/60, 743 (1983).
3865 H. KOINUMA, T. MANAKO, H . NATSZUAKI, H . F U J I O K A , and K. F U E K I ,
/ . Non-Cryst. Solids, 77/78, 801 (1985).
3866 Y. NAKAYAMA, K. WAKIMURA, S. T A K A H A S H I , H . KITA, and T. KAWAMURA,
/ . Non-Cryst. Solids, 77/78, 797 (1985).
3867 Y. NAKAYAMA, K. AKIYAMA, and T. KAWAMURA, Jpn. J. Appl. Phys., 22,
754(1983).
3868 G. BRUNO, P. CAPEZZUTO, G. CICALA, and F . CRAMAROSSA, / . Non-Cryst.
Solids, 77/78, 805 (1985).
3869 G. BRUNO, P. CAPEZZUTO, and F . CRAMAROSSA, Thin Solids Films, 106, 145
(1983); Thin Solid Films, 129, 217 (1985).
3870 G. BRUNO·, P. CAPEZZUTO, F . CRAMAROSSA, and R. D'AGOSTINO, Thin Solid
Films, 67, 103 (1980).
3871 V. AUGELLI,, T. LIGONZO, R. M U R R I , and L. SCHIAVULLI, Thin Solid Films,
125, 9 (1985); / . Appl. Phys., 59, 2863 (1986).
3872 C. E. MOROSANU and V. SOLTUZ, Rom. P a t . 78,529 (1981); Vide, 201 (6), 70(1981).
3873 M. TANIGUCHI, M. H I R O S E , and Y. OSAKA, / . Cryst. Growth, 45, 126 (1978).

635
REFERENCES

3874 M. JANAI, D. D. ALLRED, D. C. BOOTH, and B. O. S E R A P H I N Solar. Energy


Mater., 1, 11 (1979).
3875 M. H I R O S E , / . Phys. (Paris), C4, 42, 705 (1981).
3876 A . M . B E E R S and J. BLOEM, AppL Phys. Lett., 41, 153 (1892).
3877 A. M. B E E R S and J. BLOEM, ref. [35].
3878 A. M. B E E R S , H. T. J. M. H I N T Z E N , H . G. SCHAEKEN, and J. BLOEM, / . CrysL
Growth, 64, 563 (1982).
3879 A . M . B E E R S , H. T. J. M. H I N T Z E N , and J. BLOEM, / . Elcctrochem. Soc., 130,
1426(1983).
3880 J. BLOEM and A . M . B E E R S , Thin Solid Films, 12o, 93 (1985).
3881 Y. ASHIDA, Y. MISHIMA, M. H I R O S E , and Y. OSAKA, / . AppL Phys., 55, 1425
(1984).
3882 Y. ASHIDA, Y. MISHIMA, M. H I R O S E , Y. OSAKA, and K. KOJIMA, Jpn. J. AppL
Phys., 23, L129 (1984).
3883 T. L. CHU, S. S. CHU, S. T. ANG, D. H. LO, A. DUONG, and C. G. HWANG, / .
AppL Phys., 59, 1319 (1986).
3884 T. L. CHU, S. S. CHU, S. T. ANG, A. DUONG, and C. G. HWANG, / . AppL Phys.y
59, 3122 (1986).
3885 T. L. CHU, S. S. CHU, S. T. ANG, A. DUONG, Y. X. H A N , and Y. H . L I U ,
J Appl. Phys., 60, 4268 (1986).
3886 S. R. KURTZ, J. PROSCIA, and R. G. GORDON, / . Appl. Phys., 59, 249 (1986).
3887 F . B. E L L I S , JR., R. G. GORDON, W. PAUL, and B. G. YACOBI, / . AppL Phys.,
55, 4309 (1984).
3888 F . B. E L L I S , J R . , and R. G. GORDON, / . AppL Phys., 54, 5381 (1983).
3889 M. JANAI, R. W E I L , K. H . LEVIN, B. PRATT, R. K A L I S H , G. B R A U N S T E I N ,
and M. T E I C H E R , / . AppL Phys., 52, 3622 (1981).
3890 M. JANAI, S. AFTERGOOD, R. B. W E I L , and B. PRATT, / . Electrochem. Soc.y
128, 2660 (1981).
3891 H . MATSUMURA, H. IHARA, H. TACHIBANA, and H . TANAKA, / . Non-Cryst.
Solids, 77/78, 793 (1985).
3892 H. MATSUMURA and H. TACHIBANA, Appl. Phys. Lett., 47, 833 (1985).
3893 B. A. SCOTT, ref. [3803].
3894 B. A. SCOTT/ R. M. P L E C E N I K , and E. E. SIMONYI, AppL Phys. Lett., 39, 73
(1981); / . Phys. (Paris), C-4 42,635 (1981); B. A. SCOTT, J. A. R E I M E R , R. M. P L E -
CENIK, E. E. SIMONYI, and W. R E U T E R , AppL Phys. Lett., 40, 973 (1982).
3895 B. A. SCOTT, W. L. OLBRICHT, B. A. MEYERSON, J. A. R E I M E R , and D. J.
W O L F O R D , / . Vac. Sei. TechnoL, A2, 450 (1984).
3896 J. KANICKI, B. A. SCOTT, T. INUSHIMA, and M. H . BRODSKY, / . Non-Cryst.
Solids, 77/78, 789 (1985).
3897 B. A. SCOTT, J. A. R E I M E R , and P. A. LONGEWAY, / . AppL Phys., 54, 6853
(1983).
3898 B. S. MEYERSON, B. A. SCOTT, and D. J. WOLFORD, / . Appl. Phys., 54, 1461,
(1983).
3899 A. E. WIDMER, R. FEHLMANN, and C. W. MAGEE, / . Non-Cryst. Solids, 54,
199 (1983).
3900 R. BISARO, J. MAGARINO, N. PROUST, and K. ZELLAMA, / . AppL Phys., 59,
1167 (1986).
3901 G. TURBAN, Y. C A T H E R I N E , and B. GROLLEAU, Thin Solid Films, 60, 147 (1979);
67, 309 (1980).

636
REFERENCES

3902 P. E. VANIER, F . J. KAMPAS, R. R. CORDERMAN, and G. R A J E S W A R A N , / .


Appl. Phys., 56, 1812 (1984).
3903 R. R O B E R T S O N and A. GALLAGHER, / . Appl. Phys., 59, 3402 (1986).
3904 R. R. CORDERMAN and P. E. VANIER, / . Appl. Phys., 54, 3987 (1983).
3905 N. HATA, A. MATSUDA, K. TANAKA, K. KAJIYAMA, N. MORO, and K. SAJIKI,
Jpn. J. Appl. Phys., 22, L I (1983).
3906 A. MATSUDA and K. T A N A K A , - / . Appl. Phys., 60, 2351 (1986).
3907 J. M. J A S I N S K I , E. A. W H I T T A K E R , G. C. B J O R K L U N D , R. W. D R E Y F U S ,
R. D. E S T E S , and R. E. W A L K U P , Appl. Phys. Lett., 44, 1155 (1984).
3908 J. P E R R I N and J. P. M. SCHMITT, Chem. Phys., 67, 167 (1982).
3909 J. C. K N I G H T S , J. P. M. SCHMITT, J. P E R R I N , and G. GNELACHVILI, / . Chem.
Phys., 76, 3414 (1982).
3910 J. P E R R I N , J. P. M. SCHMITT, G. DsROSNY, B. DREVILLON, J. HUC, and
A. LLORET, Chem. Phys., 73, 383 (1982).
3911 S. R H E E and J. SZEKELY, / . Electrochem. Soc, 133, 2194 (1986).
3912 W. E. SPEAR, P. G. LeCOMBER, S. KINMOND, and M. H. BRODSKY, Appl
Phys. Lett., 28, 105 (1976).
3913 D . I . J O N E S , P . G. LeCOMBER, and W. E. SPEAR, Philos. Mag., 36, 541 (1977).
3914 P . G. LeCOMBER, D. I. J O N E S , and W. W. SPEAR, Philos. Mag., 35, 1173 (1977).
3915 W. E. SPEAR, P. G. LeCOMBER, and A. J. SNELL, Philos. Mag., 38, 303 (1978).
3916 O. J . R E I L L Y and W. E. SPEAR, Philos. Mag., 38, 295 (1978).
3917 H . F R I T Z S C H E , M. TANIELIAN, C. C. TSAI, and P. J. GACZI, / . Appl. Phys.,
50, 3366 (1979).
3918 C. C. TSAI and H . F R I T Z S C H E , Solar Energy Mater., 1, 29 (1979) .
3919 M . H . BRODSKY, Thin Solid Films, 40, L23 (1977).
3920 J. C. K N I G H T S , G. LUCOVSKY, and R. J. NEMANICH, Philos. Mag., B37, 467
(1978).
3921 G. LUCOVSKY, R. J. NEMANICH, and J. C. K N I G H T S , Phys. Rev., B19, 2064
(1979).
3922 J. C. K N I G H T S and R. A. L U J A N , Appl. Phys. Lett., 35, 244 (1979).
3923 J. C. K N I G H T S , / . Non-Cryst. Solids, 35/36, 159 (1980).
3924 J . C . K N I G H T S , Jpn. J. Appl. Phys., Suppl., 1 8 - 1 , 101 (1979).
3925 M. TANIELIAN, H. FRITZSCHE, C. C. TSAI, and E. SYMBALISTY, Appl. Phys.
Lett., 33, 353 (1978).
3926 H. FRITZSCHE and C. C. T S M , Solar Energy Mater., 1, 471 (1979).
3927 D . L . STAEBLER and C. R. W R O N S K I , Appl. Phys. Lett., 3 1 , 292 (1977).
3928 J. I. PANKOVE, M. A. LAMPERT, and M. L. TARNG, Appl. Phys. Lett., 32, 439
(1978).
3929 M. J. STEIN and P. S. PEERCY, Appl. Phys. Lett., 34, 604 (1979).
3930 P. J. ZANZUCHI, C. R. W R O N S K I , and D. E. CARLSON, / . Appl. Phys., 48,
5227 (1977).
3931 C. R. W R O N S K I , D. E. CARLSON, and R. E. DANIEL, Appl. Phys. Lett., 29,
602 (1976).
3932 J. I. PANKOVE and D. E. CARLSON, Appl. Phys. Lett., 29, 620 (1976).
3933 D . E . CARLSON, U. S. Pat. 4,064,521 (1977).
3934 J. I. B. WILSON, J. McGILL, and S. KINMOND, Nature, 272, 152 (1978).
3935 Y. HAMAKAWA, Solar Energy Mater., 8, 101 (1982).
3936 Y. HAMAKAWA, H. OKAMOTO, and Y. NITTA, / . Non-Cryst. Solids, 3 5 - 3 6 , 201
(1980).

637
REFERENCE'S

3937 Y. HAMAKAWA, K. FUJIMOTO, K. OKUDA, Y. KASHIMA, S. NONOMURA, and


H . OKAMOTO, Appl. Phys. Lett., 43, 644 (1983).
3938 T. MATSUSHITA, K. KOMORI, M. KONAGAI, and K. TAKAHASHI, Appl. Phys,
Lett., 44, 1092 (1984).
3939 Y. HAMAKAWA, Thin Solid Films, 108, 301 (1983).
3940 D. L. STAEBLER, / . Appl. Phys. 50, 3648 (1979).
3941 M. A. BOSCH, Appl. Phys. Lett., 40, 8 (1982).
3942 Staff Article, Semicond. Int., 9 (5), 28 (1986).
3943 A. D E N E U V I L L E and M. H . B R O D S K Y , Bull. Am. Phys. Soc, 23, 247 (1978).
3944 A. J. SNELL, K. D. M a c K E N Z I E , W. E. SPEAR, P. G. LeCOMBER, and A. J.
H U G H E S , Appl. Phys., 24, 357 (1981).
3945 H . C. TUAN, M. J. THOMPSON, N. M. JOHNSON, and R. J. LUJAN, IEEE
Electron. Dev. Lett., 3, 357 (1982).
3946 K. D. MACKENZIE, A. J. SNELL, I. F R E N C H , P. G. LeCOMBER, and W. E.
SPEAR, Appl. Phys., A31, 87 (1983).
3947 M. J. POWELL, Appl. Phys. Lett., 43, 597 (1983).
3948 S. L E E and I. CHEN, Appl. Phys. Lett., 41, 558 (1982).
3949 T. KODAMA, N. TAKAGI, S. KAWAI, Y. NASU, S. YANAGISAWA, and K.
ASAMA, IEEE Electron. Dev. Lett., 3, 187 (1982).
3950 J. I. PANKOVE and M. L. TARNG, Appl. Phys. Lett., 34, 156 (1979); M. L.
T A R N G and J. I. PANKOVE, IEEE Trans. Electron. Dev., 26, 1728 (1979).
3951 K. I S H I B A S H I and M. MATSUMURA, Appl. Phys. Lett., 4 1 , 454 (1982).
3952 N. SZYDLO, E. C H A R T I E R , N. PROUST, and J. MAGARINO, Appl. Phys. Lett.,
44, 205 (1984).
3953 A. S H O J I , F . SHINOKI, S. KOSAKA, and H. HAYAKAWA, Jpn. J. Appl. Phys.,
20, L587 (1981).
3954 F . S H I N O K I , A. S H O J I , S. KOSAKA, S. TAKADA, and H. HAYAKAWA, Appl.
Phys. Lett., 38, 285 (1981).
3955 M. S H I Z U K U I S H I , I. SHIMIZU, and E. INOUE, Jpn. J. Appl. Phys., 20, 2359
(1981).
3956 S. K I S H I D A , Y. NARA, O. KOBAYASHI, and M. MATSUMURA, Appl. Phys. Lett.,
41, 1154 (1982).
3957 K. KODATE, H . TAMURA, Y. OKABE, and T. KAMIYA, Jpn. J. Appl. Phys.,
23, 382 (1984).
3958 H . M I K I , M. HAYAMA, K. KOBAYASHI, N. NAKAGAWA, M. OTANI, and
Y. ONISHI, / . Non-Cryst. Solids, 77/78, 1417 (1985).
3959 C. Y. CHANG, B. S. WU, Y. K. FANG, and R. H. L E E , Appl. Phys. Lett., 47, 49
(1985).
3960 J. MORT, F . JANSEN, S. GRAMMATICA, M. MORGAN, and I. CHEN, J.Appl.
Phys., 55, 3197 (1984).
3961 I. SHIMIZU T. KOMATSU, K. SAITO, and E. INOUE, / . Ken-Cryst. Solids, 35, 773,
(1980.
3962 I. SHIMIZU, / . Non-Cryst. Solids, 77/78, 1363 (1985).
3963 G. A. N. CONNELL and R. A. STREET, ref. [98], p . 689.
3964 B. L. ZALPH, L. J. DIMMEY, H . P A R K , P . L. J O N E S , and F . H. COCKS., Phys.
Stat. Sol., A62, K185 (1980J
3965 F . H . COCKS, P. L. J O N E S , and L.J. DIMMEY, Appl. Phys. Lett., 36, 970 (1980)
3966 J. I. PANKOVE, and W. V HOUGH, / . Appl. Phys., 50, 6018 (1979).
3967 B. DISCHLER, A B U B E N Z E R , and P. KOIDL, Appl. Phys. Lett., 42, 636 (1983).

638
REFERENCES

3968 A. B U B E N Z E R , B. DISCHLER, G. BRANDT, and P. KOIDL, / . AppL Phys., 54,


4590 (1983).
3969 D . H . ANDERSON, Philos. Mag., 35, 17 (1977).
3970 L. HOLLAND and S. M. O J H A , Thin Solid Films, 38, L17 (1976); 48, 421 (1978);
58, 107 (1979).
3971 H . VORA and T. J. MORAVEC, / . AppL Phys., 52, 6151 (1981).
3972 B. DISCHLER, A. B U B E N Z E R , and P. KOIDL, Solid State Commun., 48, 105 (1983).
3973 S. MATSUMOTO, Y. SATO, M. TSUTSUMI, and N. SETAKA, / . Mater. Sei., 17,
3106 (1982).
3974 M. KAMO, Y. SATO, S. MATSUMOTO, and N. SETAKA, / . Cryst. Growth, 6 2 ,
642 (1983).
3975 J. C. ANGUS, J. E. STULTZ, P. J. SCHILLER, J. R. MacDONALD, M. J. MIR-
TICH, and S. DOMITZ , Thin Solid Films, 118, 311 (1984).
3976 R. E. SAH, B . DISCHLER, A. B U B E N Z E R , and P. KOIDL, AppL Phys. Lett., 46,
739 (1985).
3977 J. D. W A R N E R , J. J. POUCH, S. A. ALTEROWITZ, D. C. LIU, and W. A. LAN-
F O R D , / . Vac. Sei. TechnoL, A3, 900 (1985).
3978 A. R. N Y A I E S H , R. E. K I R B Y , F . K. K I N G , and E. L. GARWIN, / . Vac. Sei.
TechnoL, A3, 610 (1985).
3979 J. D. LAMB and J. A. WOOLLAM, / . AppL Phys., 57, 5420 (1985).
3980 K. KOBAYASHI, N. MUTSUKURA, and Y. MACHI, / . AppL Phys., 59, 910 (1986).
3981 K. NODA, A. NAKAJIMA, and T. ITOH, / . AppL Phys., 60, 1540 (1986).
3982 J. W A G N E R and P . LAUTENSCHLAGER, / . AppL Phys., 59, 2044 (1986).
3983 S. A. ALTEROWITZ, J. D. W A R N E R , D. C. LIU, and J. J. POUCH, / . Electro-
chem. Soc, 133, 2339 (1986).
3984 C. B . ZAROWIN, / . AppL Phys., 57, 929 (1985).
3985 C. B. ZAROWIN, N. VENKATARAMANAN, and R. R. POOLE, AppL Phys. Lett.,
48, 759 (1986).
3986 J. M. MACKOWSKI, R. P I G N A R D , N. VEDOVOTTO, P. R O B E R T , and A. DON-
NADIEU, / . Non-Cryst. Solids, 77/78, 837 (1985).
3987 S. B E R G and L. P . ANDERSON, Thin Solid Films, 58, 117 (1979).
3988 B. M E Y E R S O N and F . W. SMITH, / . Non-Cryst. Solids, 35/36, 435 (1980).
3989 K. F U J I I , N. SHOHATA, M. MIKAMI, and M. YONEZAWA, AppL Phys. Lett., 47,
370 (1985).
3990 R. C. ROSS, S. S. CHAO, J. E. T Y L E R , W. CZUBATYJ, and G. LUCOVSKY, / .
Vac. Sei. TechnoL, A3, 958 (1985).
3991 J. A. R E I M E R , B. A. SCOTT, D. J. W O L F O R D , and J. N I J S , AppL Phys. Lett.,
46, 369 (1985).
3992 C. R. W R O N S K I , T. T I E D J E , P . P E R S A N S , B . A B E L E S , and M. H I C K S , AppL
Phys. Lett., 49, 1378 (1986).
3993 R. C. CHITTICK, / . Non-Cryst. Solids, 3, 255 (1970).
3994 A. M. ANTOINE, B. DREVILLON, and P. ROCA I CABARROCAS, J.Non-Cryst.
Solids, 77/78, 769 (1985).
3995 D. I. J O N E S , W. E. SPEAR, and P . G. LeCOMBER, / . Non-Cryst. Solids, 20, 259
(1976).
3996 D. I. J O N E S , W. E. SPEAR, P. G. LeCOMBER, S. LI, and R. MARTINS, Philos.
Mag., B39, 147 (1979).
3997 D. HAUSCHILDT, R. F I S C H E R , and W. F U H S , Phys. Stat. Sol., B102, 563 (1980).
3998 C. C. TSAI, Phys. Rev., B19, 204 (1979).

639
REFERENCES

3999 K. P. CHIK, N. DU, P. K. JOHN, E. OU, A. C. RASTOGT, K. H . TAM, B. Y.


TONG, S. K. WONG, X. W. WU, and J. YAO, / . Non-Cryst. Solids, 77/78, 961 (1985).
4000 M. C. CRETELLA and J. A. GREGORY, / . Electrochem. Soc, 129, 2850 (1982).
4001 S. TSUDA, H . TARUI, H. HAKU, Y. NAKASHIMA, Y. H I S H I K A W A , S. NAKANO,
and Y. KUWANO, / . Non-Cryst. Solids, 77/78, 845 (1985).
4002 Y. C A T H E R I N E and G. TURBAN, Thin Solid Films, 70, 101 (1980).
4003 K. MATSUSHITA, Y. MATSUNO, T. H A R U I , and Y. SHIBATA, Thin Solid Films,
80, 243 (1981).
4004 K. MURASE, Y. AMEMIYA, and Y. MIZUSHIMA, / . Appl. Phys., 21, 1559 (1982).
4005 M. SUZUKI, K. MURASE, K. ASAI, and K. KURUMADA, IEEE Electron. Dev.
Lett., 4, 358 (1983).
4006 D. A. ANDERSON and W. SPEAR, Philos. Mag., 35, 1 (1977).
4007 Y. C A T H E R I N E and G. TURBAN, Thin Solid Films, 60, 193 (1979).
4008 D. C. BOOTH and K . J . VOSS, / . Phys. (Paris), C4, 42, 1033 (1981).
4009 D. ENGEMANN, R. F I S C H E R , and J. KNECHT, Appl. Phys. Lett., 32, 567 (1978).
4010 H . E I E D E R , M. CARDONA, and C. R. G U A R N I E R I , Phys. Stat. Sol. 92, 99 (1979).
4011 Y. C A T H E R I N E , G. TURBAN, and B. GROLLEAU, Thin Solid Films, 76, 23 (1981).
4012 Y . TAWADA , K. TSUGE, M. KONDO, H . OKAMOTO, and Y. HAMAKAWA,
J.' Appl. Phys., 53, 5273 (1982).
4013 Y. TAWADA, H. OKAMOTO, and Y. HAMAKAWA, Appl. Phys. Lett., 39, 237(1980).
4014 S. N I S H I N O , Y. HAZUKI, H. MATSUNAMI, and T. TANAKA, / . Electrochem. Soc,
127, 2674 (1980).
4015 I. WATANABE, Y. HATA, A. MORIMOTO and T. SHIMIZU, Jpn. J. Appl. Phys.,
21, L613 (1982).
4016 O. KUBOI, / . Electrochem. Soc, 130, 1749 (1983).
4017 Y. TAWADA, M. KONDO, H. OKAMOTO, and Y. HAMAKAWA, Solar Energy
Mater., 6, 237, 299 (1982).
4018 Y. TAWADA, K. TSUGE, M. KONDO, H. OKOMOTO, and Y. HAMAKAWA, / . Appl.
Phys., 53, 5273 (1982).
4019 H. OKAMOTO, H. KIDA, S. NONOMURA, and Y. HAMAKAWA, Solar Cells, 8,
317 (1983).
4020 C. Y. CHANG, Y. K. FANG, C. F . HUANG, and B. S. WU, / . Electrochem. Soc,
132, 418 (1985).
4021 H. MATSUMURA, T. U E S U G I , and H. IHARA, / . Non-Cryst. Solids, 77/78, 841
(1985).
4022 H . MUNEKATA, S. MURASATO, and H. KUKIMOTO, Appl. Phys. Lett., 37, 536
(1980).
4023 W. E. SPEAR, P.G. LeCOMBER, S. V E P R E K , and R. W I L D , Philos. Mag., B37,
349 (1978).
4024 J.C. KNIGHTS, Bull. Am. Phys. Soc, 23, 295 (1978).
4025 P.A. TICK, N . W . WALLACE, and M.P. TETER, / . Vac Sei. Technol., 11, 709, (1974).
4026 YOSHIKAWA, S. YAMAGA, and K. TANAKA, jpn. Appl. Phys., 23,L388 (1984).
4027 H. F R I T Z S C H E , V. SMID, H. UGUR, and P. J. GACZI, / . Phys. (Paris), C4, 42,
699 (1981).
4028 T. MATSUMOTO and T. I S I H I D A , / . Cryst. Growth, 67, 135 (1984).
4029 D. BLANC and J. I. B. WILSON, / . Non-Cryst. Solids, 77/78, 1129 (1985).
4030 F . E V A N G H E L I S T I , / . Non-Cryst. Solids. 77/78, 969 (1985).
4031 W. A. P L I S K I N , D. R. K E R R , and J. A. P E R R I , Phys. Thin Films, 4, 257 (1967).
4032 W. A. P L I S K I N , / . Vac Sei. Technol., 14, 1064 (1977).

640
REFERENCES

4033 B. L. SHARMA, Solid State TechnoL, 21 (2), 48 (1978).


4034 A. H. AGAJANIAN, Solid State TechnoL, 20 (1), 36 (1977).
4035 E. S. SCHLEGEL, IEEE Trans. Electron. Dev., 14, 728 (1967); 15, 951 (1968).
4036 G. W. H E U N I S C H , Anal. Chim. Ada, 48, 405 (1969).
4037 P. L. J O R G E N S E N , / . Chem. Phys., 37, 874 (1962).
4038 H. EGAGAWA, Y. MORITA, and S. MAEKAWA, Jpn. J. Appl. Phys., 2, 765 (1963).
4039 J. K L E R E R , / . Electrochem. Soc, 108, 1070 (1961); 112, 503 (1965).
4040 E. L. JORDAN, / . Electrochem. Soc, 108, 478 (1961).
4041 J . M . ALBELLA, A. CRIADO, and E. M. MERINO, Thin Solid Films, 36, 479 (1976).
4042 P. M. DUNBAR and J. R. H Ä U S E R , / . Electrochem. Soc, 117, 674 (1970).
4043 Y. AVIGAL, I. B E I N G L A S S , and M. SCHIEBER, / . Electrochem. Soc, 121, 1103
(1974).
4044 M. J. RAND, / . Electrochem. Soc, 114, 274 (1967).
4045 F . M. K U I S L and W. L A N G H E I N R I C H , Telefunken Ztg., 39, 339 (1966).
4046 M. J. RAND and J. L. A S H W O R T H , / . Electrochem. Soc, 113, 48 (1966).
4047 W. STEINMAIER and J. BLOEM, / . Electrochem. Soc, 111, 206 (1964).
4048 S. K. T U N G and R. E. C A F F R E Y , Trans. Met. Soc. AIME, 233, 572 (1965).
4049 Y. HANETA and S. NAKANUMA, Jpn. J. Appl. Phys., 6, 1176 (1967).
4050 C. R. BARNES and C. R. GEESNER, / , Electrochem. Soc, 107, 98 (1960); 110
361 (1963).
4051 R. C. G. SWANN and A. E. P Y N E , / . Electrochem. Soc, 116, 1014 (1969).
4052 A. K. GAIND, G. K. ACKERMANN, V. J. LUCARINI, and R. L. BRATTER,
/ . Electrochem. Soc, 123, 111 (1976).
4053 A. K. GAIND, G. K. ACKERMANN, A. NAGARAJAN, and R. L. B R A T T E R ,
/ . Electrochem. Soc, 123, 238 (1976).
4054 Y. HANETA, Jpn. J. Appl. Phys., 8, 929 (1969).
4055 Y. HANETA and S. NAKANUMA, / . Electrochem. Soc. Japan, 36, 28 (1968).
4056 T. L. CHU, J. R. SZEDON, and G. A. G R U B E R , Trans. Met. Soc AIME, 242,
532 (1968).
4057 T. L. CHU and G. A. G R U B E R , Trans. Met. Soc. AIME, 233, 568, (1965).
4058 N. GOLDSMITH and W. K E R N , RCA Rev., 28, 153 (1967).
4059 M. L. HAMMOND and G. M. B O W E R S , Trans. Met. Soc AIME, 242, 546 (1968).
4060 T. TOKUYAMA, T. MIYAZAKI, and M. H O R I U C H I , ref. [85], p . 297.
4061 W. K E R N and A. W. F I S C H E R , RCA Rev., 31, 715 (1970).
4062 M. L. B A R R Y , ref. [24], p. 595.
4063 B. J. BALIGA and S. K. G H A N D H I , / . Appl. Phys., 44, 990 (1973).
4064 J. GRAHAM, High Temp. - High Pressures, 6, 677 (1974).
4065 J. M I D D E L H O E K and A. J. K L I N K H A M E R , ref. [27], p . 30.
4066 R. MÖLLER, L. FABIAN, H. W E I S E , and C. WEISSMANTEL, Thin Solid Films,
29, 349 (1975).
4067 W. K E R N , G. L. SCHNÄBLE, and A. W. F I S C H E R , RCA Rev., 37, 3 (1976).
4068 C. COBIANU and C. PAVELESCU, / . Electrochem. Soc, 130, 1888 (1983); Thin Solid
Films, 102, 361 (1983); 117, 211 (1984); 143, 109 (1986); Rev. Roum. Chim., 28, 57
(1983); / . Mater. Sei. Lett., 3, 979 (1984).
4069 C. PAVELESCU, C. COBIANU, L. CONDRIUC, and E. SEGAL, Thin. Solid Films,
114, 291 (1984).
4070 C. PAVELESCU, C. COBIANU, and A. VANCU, / . Electrochem. Soc, 130, 975 (1983).
4071 C. PAVELESCU, C. COBIANU, and E. SEGAL, / . Mater. Sei. Lett., 3, 643 (1984);
4, 1280 (1985).

641
REFERENCES

4072 C. COBIANU, C. PAVELESCU, and A. PAUNESCU, / . Mater. Sei. Lett., 4, 1419


(1985).
4073 W. K E R N and J. P. W H I T E , RCA Rev. 3 1 , 771 (1970).
4074 E. TANIKAWA, T. OKABE, and K. MAEDA, Denkt Kagaku, 41, 491 (1973).
4075 E. TANIKAWA, O TAKAYAMA, and K. MAEDA, ref. [26], p . 261.
4076 K. WATANABE, T. T A N I G A K I , and S. WAKAYAMA, / . Electrochem. Soc., 128,
2630 (1981).
4077 M. HUFFMAN, A. NAVROTSKY, and F . S. PINTCHOVSKI, / . Electrochem. Soc,
133, 164 (1986).
4078 A. J. LEARN and R. B. JACKSON, / . Electrochem. Soc, 132, 2975 (1985).
4079 P. J. TOBIN, J. B. P R I C E , and L. M. CAMPBELL, / . Electrochem. Soc, 127, 2222
(1980).
4080 U. MACKENS and U. M E R K T , Thin 'Solid Films, 97, 53 (1982).
4081 A . C . ADAMS, Solid State Technol., 26, (1), 135 (1983).
4082 G. KAGANOWICZ, V. S. BAN, and J. W. ROBINSON, / . Vac. Sei. Technol., A2,
1233 (1984).
3083 G. C. SMITH and A. J. P U R D E S , / . Electrochem. Soc, 132, 2721 (1985).
4084 A. TAKAMATSU, N. SHIBATA, M. ISHIDA, H . SAKAI, and T. YOSHIMI,
/ . Electrochem. Soc, 133, 443 (1986).
4085 J. BATEY and E. T I E R N E Y , / . Appl. Phys., 60, 3136 (1986).
4086 G. LUCOVSKY, P . D. RICHARD, D. V. TSU, S. Y. LIN, and R. J. MARKUNAS,
/ . Vac. Sei. Technol., A4, 681 (1986);
4087 P . PAN, L. A. NESBIT, R. W. DOUSE, and R. T. GLEASON, / . Electrochem.
Soc, 132, 2012 (1985).
4088 W. K E R N , U. S. Pat. 3, 481, 781 (1969).
4089 C. C. MAI and T. S. W H I T E H O U S E , IEEE Trans. Reliab., 19, 71 (1970).
4090 G. L. SCHNÄBLE and R. S. K E E N , in "Advances in Electronics and Electron Physics"
(L. Marton, ed.), Vol. 30, p . 79, Academic Press, New York, 1971.
4091 L. K. KARSTADT, W . G. B U R G E R , C. M. H S I E H , and W. A. COSGROVE, Solid
State Technol., 16 (8), 41 (1973).
4092 A. J. LEARN, / . Vac. Sei. Technol., ß 4 , 774 (1986).
4093 J. L. VOSSEN, G. L. SCHNÄBLE, and W. K E R N , / . Vac. Sei. Technol., 11, 60
(1974).
4094 B. MATTSON, Solid State Technol., 23 (1), 60 (1980).
4095 N. C. TOMBS and F . A. SEWELL, JR., / . Electrochem. Soc, 115, 101 (1968).
4096 D. F . BARBE, Proc. IEEE, 63, 38 (1975).
4097 R. KONDO, E. TAKEDA, T. HAGIWARA, M. H O R I U C H I , and Y. ITOH, IEEE
Trans. Electron. Dev., 25, 369 (1978).
4098 J . M . W H I T E and S. G. CHAMBERLAIN, IEEE J. Solid State Circ, 13, 51 (1978).
4099 R. M. L E V I N and T. T. SHENG, / . Electrochem. Soc, 130, 1894 (1983).
4100 S. H . DHONG and E. J. P E T R I L L O , / . Electrochem. Soc, 133, 389 (1986).
4101 B. J. SEALY and J. M. R I T C H I E , Thin Solid Films, 35, 127 (1976).
4102 J. D. O B E R S T A R and B. G. S T R E S T M A N , Thin Solid Films, 103, 17 (1983).
4103 A. B . GLASER and G. E. SUBAK-SHARPE, "Integrated Circuit Engineering", p . 250.
Addison-Wesley, Reading, MA, 1977.
4104 J. F . W A G E R and C. W. WILMSEN, J. Vac Sei. Technol, 17, 800 (1980),
4105 D. FRITZSCHE, Electron, Lett., 14, 51 (1978).

642
REFERENCES

4106 D. L. L I L E , D. A. COLLINS, L. G. M E I N E R S , and L. MESSICK, Electron. Lett.,


14, 657 (1978).
4107 L. MESSICK, D. L. L I L E , and A. R. CLAWSON, Appl. Phys. Lett., 32, 494 (1978).
4108 L. MESSICK, Solid-State Electron., 22, 71 (1979).
4109 K. P. PANDE and V. K. R. NAIR, / . Appl. Phys., 55, 3109 (1984).
4110 D. TOMBS, IEEE Spectrum, 15 (4), 22 (1978).
4111 K. L. W A N G and P. V. GRAY, / . Electrochem. Soc, 123, 1392 (1976).
4112 J . A . W I L S O N and V. A. COTTON, / . Vac. Sei. Technol., A3, 199 (1985).
4113 C.-Y. W E I , K. L. WANG, E. A. TAFT, J. M. SWAB, M. D. GIBBONS, W. E.
DAVERN, and D . M . BROWN, IEEE Trans. Electron. Dev., 27, 170 (1980).
4114 D. DONG, E. A. I R E N E , and D. R. YOUNG, / . Electrochem. Soc, 125, 819 (1978).
4115 E. A. I R E N E , N. J. CHOU, D. W. DONG, and E. T I E R N E Y , / . Electrochem. Soc,
127, 2518 (1980).
4116 A. H A R T S T E I N , J. C. TSANG, D.-J. DiMARIA, and D . W . DONG, Appl. Phys.
Lett., 36, 836 (1980).
4117 S. YOKOYAMA, D . W . DONG, D. J. DiMARIA, and S. K. LAI, / . Appl. Phys.,
54, 7058 (1983).
4118 D. J. DiMARIA, K. M. DeMEYER, C. M. SERRANO, and D . W . DONG, / . Appl.
Phys., 52, 4825 (1981); D. J. DiMARIA, / . Appl. Phys., 50, 5826 (1979).
4119 D. J. DiMARIA, K. M. DeMEYER, and D. W. DONG, IEEE Electron. Dev. Lett., 1,
179 (1980); IEEE Trans. Electron. Dev., 28, 1047 (1981).
4120 D. J. DiMARIA, D . W . DONG, C. FALCONY, and S. D. BRORSON, IEEE Electron.
Dev. Lett., 3, 191 (1982); C. FALCONY, D. J. DiMARIA, D . W . DONG, and K. M.
DeMEYER, / . Appl. Phys., 53, 43 (1982).
4121 D. J. DiMARIA, D. W. DONG, F . L. PESAVENTO, C. LAM, and S . D . BRORSON,
/ . Appl. Phys., 55, 3000 (1984); D. J. DiMARIA, D. W. DONG, C. FALCONY, T. N.
T H E I S , J. R. K I R T L E Y , T. C. TSANG, D. R. YOUNG, F.L. PESAVENTO, and S. D.
BRORSON, / . Appl. Phys., 54, 5801 (1983).
4122 S . K . L A I , D. J. DiMARIA, and F . F . FANG, IEEE Trans. Electron. Dev., 30, 894
(1983).
4123 D. J. R O B B I N S , C. FALCONY, D. J. DiMARIA, D . W . D O N G , J. F . D e G E L O R M O ,
I. F . CHANG, and D. B. DOVE, IEEE Electron. Dev. Lett., 3, 148 (1982).
4124 D. J. R O B B I N S , D. J. DiMARIA, C. FALCONY, and D. W. DONG, / . Appl. Phys.,
54, 4553 (1983).
4125 D. J. DiMARIA, J. R. K I R T L E Y , E. J. P A K U L I S , D. W. DONG, T. S. KUAN,
F . L. PESAVENTO, T. N. T H E I S , J. A. CUTRO, and S. D. BRORSON, / . Appl.
Phys., 56, 401 (1984).
4126 H . T A K E U C H I and J. MUROTA, / . Electrochem. Soc, 131, 403 (1984).
4127 Y. SHIOYA and M. MAEDA, / . Electrochem. Soc, 133, 1943 (1986).
4128 R. A. B O W L I N G and G. B. L A R R A B E E , / . Electrochem. Soc, 132, 141 (1985).
4129 W. K E R N and G. SCHNÄBLE, RCA Rev., 43, 423 (1982).
4130 Y. MIURA, S. TANAKA, Y. MATUKURA, and H. OSAFUNE, / . Electrochem. Soc,
113, 399 (1966).
4131 R. A. GDULA and P . C . LI, Electrochem. Soc. Extend Abstr., 76-2, 634 (1976).
4132 M. GHEZZO, / . Electrochem. Soc, 119, 1428 (1972).
4133 E. M. J U L E F F , Microelectron., 6, 21 (1975).
4134 W. K E R N and R. C. HEIM, / . Electrochem. Soc, 117, 562, 568 (1970).
4135 W. K E R N , RCA Rev., 37, 55, 18 (1976).
4136 L. M. W I N K L E and C. W. NELSON, Solid State Technol., 24 (10), 123 (1981).

643
REFERENCES

4137 M. M. SCHLACTER, E. S. SCHLEGEL, R. S. K E E N , R. A. L A T H L A E N , a n d G. L.


SCHNÄBLE, IEEE Trans. Electron. Dcv., 17, 1077 (1970).
4138 R. A. LEVY, S. M. VINCENT, and T. E. McGAHAN, / . Electrochem. Soc, 132,
1472 (1985).
4139 S. S H A N F I E L D and S. B A Y , / . Electrochem. Soc, 131,2202 (1984).
4140 A. TAKAMATSU, M. SHIBATA, H. SAKAI, and T. YOSHIMI, / . Electrochem. Soc,
131, 1865 (1984).
4141 Z. I. ALEXIEVA, M. A. TZONEVA, and D. A. DICHKOV, Thin Solid Films, 140,
269 (1986).
4142 R. M. L E V I N and A . C . ADAMS, / . Electrochem. Soc, 129, 1588 (1982).
4143 R. M. LEVIN, / . Electrochem. Soc. 129, 1765 (19S2).
4144 J. M. E L D R I D G E and P. BALK, Trans. Met. Soc. AIME, 242, 539 (1968).
4145 L. H. KAPLAN and M. E. KOWE, / . Electrochem. Soc, 118, 1649 (1971).
4146 A . C . ADAMS, C. D. CAPIO, S. E. HASZKO, G. I. P A R I S I , E. I. POVILONIS, and
McD. ROBINSON, / . Electrochem. Soc, 126, 313 (1979).
4147 M. NOYORI and Y. NAKATA, / . Electrochem. Soc, 131, 1109 (1984).
4148 R. B. COMIZZOLI, RCA Rev., 37, 473 (1976).
4149 D. R. K E R R , . J. S. LOGAN, P. J. B U R K H A R D T , and W. A. P L I S K I N , IBM J.
Res. Dev., 8, 376 (1964).
4150 P. BALK and J. M. E L D R I D G E , Proc IEEE, 57, 1558 (1969).
4151 J. L. LAMBERT, K. ROY, and M. R E E S E , Solid-State Electron., 10, 877 (1967).
4152 P. K. CHOUDHARI, R. A. MICHAUD, and R. M. QUINN, / . Electrochem. Soc, 124,
1897 (1977).
4153 G. L. SCHNÄBLE, Solid State Technol., 21 (10), 69 (1978).
4154 B. J. BALIGA and S. K. G H A N D H I , IEEE Trans. Electron. Dev., 19, 761 (1972).
4155 W. E. ARMSTRONG and D. L. TOLLIVER, / . Electrochem. Soc, 121, 307 (1974).
4156 L. K. W H I T E , / . Electrochem. Soc, 127, 2687 (1980).
4157 J. GÖTZLICH and H. R Y S S E L , / . Electrochem. Soc, 128, 617 (1981).
4158 A. C. ADAMS and C. D. CAPIÖ, / . Electrochem. Soc, 128, 423 (1981).
4159 A. NAUMANN and J. T. BOYD, / . Electrochem. Soc, 127, 1414 (1980); / . Vac Sei.
Technol., 17, 529 (1980).
4160 D. M. BROWN, W. E. E N G E L E R , M. G A R F I N K E L , and P . V. GRAY, Solid-
State Electron., 11, 1105 (1968); / . Electrochem. Soc, 115, 874 (1968).
4161 K. M. W H I T T L E and G. L. VICK, / . Electrochem. Soc, 115, 874 (1968).
4162 W. K E R N , RCA Rev., 32, 429 (1971).
4163 A. W. F I S C H E R and J. A. AMICK, RCA Rev., 29, 549 (1968).
4164 D. M. B R O W N and P. R. KENNICOTT, / . Electrochem. Soc, 118, 293 (1971).
4165 A. S. T E N N E Y , / . Electrochem. Soc, 118, 1658 (1971).
4166 E. A. TAFT, / . Electrochem. Soc, 118, 1985 (1971).
4167 A. S. T E N N E Y and J. WONG, / . Chem. Phys., 56, 5516 (1972).
4168 D. B. L E E , Solid-State Electron., 10, 623 (1967).
4169 E. ARAI and Y. TERUNUMA, Jfm. J. Appl. Phys., 9, 691 (1970).
4170 P . C . P A R E K H , D. R. GOLDSTEIN, and T. C. CHAN, Solid-State Electron., 14,
281 (1971).
4171 J. W O N G and M. GHEZZO, / . Electrochem. Soc, 118, 1413 (1972).
4172 J. WONG, / . Electrochem. Soc, 119, 1071, 1080 (1972); 120, 122 (1973).
4173 R. B. F A I R , / . Electrochem. Soc, 119, 1389 (1972).
4174 M. GHEZZO and D. M. BROWN, / . Electrochem. Soc, 120, 110 (1973).

644
REFERENCES

4175 T. ABE, K. SATO, M. KONAKA, and A. MIYAZAKI, Jpn. J. AppL Phys., Suppl.,
39, 88 (1970).
4176 F. C. E V E R S T E I J N , Philips Res. Rep., 21, 379 (1966).
4177 D. P E T E R S O N , IEEE Trans. Comp. Parts, 10, 119 (1963).
4178 Y. KOGA, M. MATSUSHITA, M. KOBAYASHI, Y. NAKAIDO, and S. TOYOSHIMA,
ref. [85], p . 355.
4179 Y. NAKAIDO and S. TOYOSHIMA, / . Ehctrochem. Soc, 115, 1094 (1968).
4180 S. K. T U N G and R. E. CAFFREY, / . Ehctrochem. Soc, 117, 91 (1970).
4181 P.-C. L I and P. J. TSANG, / . Ehctrochem. Soc, 129, 165 (1982).
4182 W. K E R N and G. L. SCHNÄBLE, RCA Rev., 43, 423 (1982); W. K E R N , W. A.
K U R Y L O and C. J. TINO, RCA Rev., 46, 117 (1985).
4183 W. K E R N and R. K. SMELTZER, Solid State Technol., 28 (6), 171 (1985).
4184 M. SUSA, Y. HIROSHIMA, K. SENDA, and T. TAKAMURA, / . Ehctrochem. Soc,
133, 1517 (1986).
4185 T. FOSTER, G. H O E Y E , and J. GOLDMAN, / . Ehctrochem. Soc, 132, 505 (1985).
4186 F . S. BECKER, D. PAWLIK, H. SCHÄFER, and G. STANDIGL, / . Vac Sei.
Technol., B4, 732 (1986).
4187 J. E. TONG, K. S C H E R T E N L E I B , . a n d R. A. CARPIO, Solid State Technol., 27,
(1), 161(1984); Y. AVIGAL, Solid State Technol., 26 (10), 217 (1983); 27 (2) 139 (1984).
4188 M. SUSA, Y. HIROSHIMA, K. SENDA, T. KURIYAMA, S. MATSUMOTO, S. TERA-
KAWA, and T. TAKAMURA, / . AppL Phys., 58, 3880 (1985).
4189 T. MATSUO, Jpn. J. AppL Phys., 12, 1862 (1973).
4190 P. J. TSANG, R. M. ANDERSON, and S. CRIKEVICH, / . Ehctrochem. Soc, 123,
57 (1976).
4191 N. HASHIMOTO, Y. KOGA, and E. YAMADA, ref. [85], p. 327.
4192 D. R. M E S S I E R and P. WONG, / . Ehctrochem. Sec, 118, 7772 (1971).
4193 F . L. G I T T L E R and R. A. P O R T E R , / . Ehctrochem. Soc, 117, 1551 (1970).
4194 W. VON MUENCH, Solid-State Electron., 9, 619 (1966).
4195 C. F . GIBBON and D. R. KETCHOW, / . Ehctrochem. Soc, 118, 975 (1971),
4196 M. HUFFMAN, A. NAVROTSKY, and F . S. PINTCHOVSKI, / . Ehctrochem. Soc,
133 431 (1986).
4197 H . NAMIKAWA, K. AR AI, K. KUMATA, Y. I S H I I , and H. TANAKA, Jpn. J.AppL
Phys., 21, L360 (1982).
4198 H. NAMIKAWA, Y. I S H I I , K. KUMATA, K. AR AI, and I. IIDA, Jpn. J. AppL
Phys., 23, L409 (1984).
4199 K. ARAI, H. NAMIKAWA, K. KUMATA, Y. ISHII, H. TANAKA, and I. IIDA,
Jpn. J. AppL Phys., 22, L397 (1983).
4200 G. M. DiGIACOMO, / . Ehctrochem. Soc, 116, 313 (1969).
4201 J. P. D I S M U K E S , J. KANE, B. B I N G G E L I , and H. P. SCHWEIZER, ref. [26],
p. 275.
4202 S. K. TUNG and R. E. CAFFREY, / . Ehctrochem. Soc, 114, 275C (1967).
4203 V. Y. DOO and P. J. TSANG, / . Ehctrochem. Soc, 116, 116C (1969).
4204 T. V. MITCHELL, M. KAMOSHIDA, and -J. W. MAYER, / . AppL Phys., 42, 4378
(1971).
4205 M. KAMOSHIDA, I. V. MITCHELL, and J. W. MAYER, Appl . Phys. Lett., 18,
292'(1971).
4206 T. T S U J I D E , S. NAKANUMA, and Y. IKUSHTMA, / . Ehctrochem. Soc, 117, 703
(1970)
4207 P. WONG and McD. ROBBINSON, / . Am. Ccram. Soc, 53, 617 (1970).

645
REFERENCES

4208 K. M. SCHLESIER, J. M. SHAW, and C. W. BENYON, JR., RCA Rev., 37, 358
(1976).
4209 D. R. M E S S E I R and P . WONG, / . Electrochem. Soc. 118, 772 (1971).
4210 V. J. S I L V E S T R I , C M . OSBURN, and D. W. ORMOND, / . Electrochem. Soc, 126,
902 (1978).
4211 F . B. MICHELETTI, P. E. N O R R I S , K. M. SCHLESIER, and D. M. SHAW, Solid
State Technol., 14 (12), 37 (1971).
4212 C. S. P A R K , J. G. KIM, and J. s! CHUN, / . Electrochem. Soc, 130, 1607 (1983).
4213 J. V. K E R R I G A N , / . Appl. Phys., 34, 3408 (1963).
4214 P. BALK and F . STEPHANY, / . Electrochem. Soc./ 118, 1634 (1971).
4215 J. A. ABOAF, / . Electrochem. Soc, 114, 948 (1967).
4216 M. T. D U F F Y and W. K E R N , RCA Rev., 31, 754 (1970).
4217 M. MUTOH, Y. MIZOKAMI, H. MATSUI, S. HAGIWARA, and N. INO, / . Electro-
chem. Soc, 122, 987 (1975).
4218 C. DHANAVANTRI, R. N. K A R E K A R , and V. J. RAO, Thin Solid Films, 127, 85
(1985).
4219 L. A. RYABOVA and Y. S. SAVITSKAYA, / . Vac. Sei. Technol., 6, 934 (1969).
4220 V. F . KORZO, N. S. IBRAIMOV, and B. D. H A L K I N , / . Appl. Chem., 42, 989
(1969).
4221 L. H A L L and B.' R O B I N E T T E , / . Electrochem. Soc, 118, 1624 (1971).
4222 J. SARAIE, J. K W O N , and Y. YODOGAWA, / . Electrochem. Soc, 132, 890 (1985).
4223 R. L. H O U G H , ref. [25], p . 232.
4224 H . KATTO and Y. KOGA, / . Electrochem. Soc, 118, 1619 (1971).
4225 K. P . PANDE, V. K. R. NAIR, and D. G U T I E R E Z , / . Appl. Phys., 54, 5436 (1983).
4226 M. T. D U F F Y and A. G. REVESZ, / . . Electrochem. Soc, 117, 372 (1970).
4227 C. A. T. SALAMA, / . Electrochem. Soc, 118, 1993 (1971).
4228 K. OHTA and K. HAMANO, Jpn. J. Appl. Phys., 11, 546 (1972).
4229 K. IIDA, Jpn. J. Appl. Phys., 11, 288 (1972).
4230 T. T S U J I D E , Jpn. J. Appl. Phys., 11, 62 (1972).
4231 T. T S U J I D E and K. IIDA, Jpn. J. Appl. Phys., 11, 600, 1599 (1972).
4232 K. IIDA and T. T S U J I D E , Jpn. J. Appl. Phys., 11, 840 (1972).
4233 D. A. MEHTA, S. R. B U T L E R , and F . J. F E I G L , / . Electrochem. Soc, 120, 1707
(1973).
4234 A. P. GNADINGER and W. ROSENZWEIG, / . Electrochem. Soc, 121, 700 (1974).
4235 S. S I N G H and K. A. AN AND, Thin Solid Films, 37, 453 (1976).
4236 K. TANÄKA, H . TAKAHASHI, S. K U N I Y O S H I , and H . O H K I , Solid-State Electron.,
17, 751 (1974).
4237 T. ITO and Y. SAKAI, Solid-State Electron., 17, 751 (1974).
4238 Y. SHINODA and T. KOBAYASHI, Jpn. J. Appl. Phys., 19, L299 (1980).
4239 M. OKAMURA and T. KOBAYASHI, Jpn. J. Appl. Phys., 19, 2151 (1980).
4240 T. KOBAYASHI, M. OKAMURA, E. YAMAGUCHI, Y. SHINODA, and Y. HIRATO,
/ . Appl. Phys., 52, 6434 (1981).
4241 Y. SHINODA, M. OKAMURA, Έ . Y. YAMAGUCHI, and T. KOBAYASHI, Jpn.
J. Appl. Phys., 19, 2301 (1980).
4242 Y. SHINODA and T. KOBAYASHI, / . Appl. Phys., 52, 6386 (1984).
4243 S. K R O N G E L B , / . Electrochem. Soc, 116, 1583 (1969).
4244 R. N. GHOSHTAGORE and A. J. N O R E I K A , / . Electrochem. Soc, 117, 1310 (1970).
4245 R. N. GHOSHTAGORE, / . Electrochem. Soc, 117, 529 (1970).

646
REFERENCES

.4246 M. BALOG, M. S C H I E B E R , S. PATAI, and M. MICHMAN, / . Cryst. Growth, 17,


298 (1972).
4247 C. C. WANG, K. H . ZAININGER, and M. T. D U F F Y , RCA Rev., 31, 728 (1970).
4248 S. H A Y A S H I and T. H I R A I , / . Cryst. Growth, 36, 157 (1976); 41, 41 (1977); 52,
269 (1981).
4249 A. E. F E U E R S A N G E R , Proc. IEEE, 52, 1463 (1964).
4250 E. T. FITZGIBBONS, K. J. SLADEK, and W. H . H A R T W I G , / . Electrochem. Soc,
119, 735 (1972).
4251 K. J. SLADEK and W. W. G I B E R T , ref. [25], p . 215.
4252 K. L. H A R D E E and A. J. BARD, / . Electrochem. Soc, 122, 739 (1975).
4253 W. K E R N and E. TRACY, / . Vac. Sei. TechnoL, 17, 374 (1980).
4254 L. M. WILLIAMS and D. W. H E S S , / . Vac. Sei. TechnoL, A l , 1810 (1983); Thin
Solid Films, 115, 13 (1984).
4255 R. N. TAUBER, A. C. DUMBRI, and R. E. C A F F R E Y , / . Electrochem. Soc, 118,
747 (1971).
4256 K. H O H and T. SUGANO, / . ]pn. Soc. Appl. Phys., Suppl., 39, 177 (1970).
4257 M. BALOG, M. SCHIEBER, M. MICHMAN, and S. PATAI, Thin Solid Films, 47,
109 (1977); / . Electrochem. Soc, 126, 1203 (1979).
4258 J. S H A P P I R , A. ANIS, and I. P I N S K Y , IEEE Trans. Electron. Dev., 33, 442 (1986).
4259 M. BALOG, M. SCHIEBER, M. MICHMAN, and S. PATAI, Thin Solid Films, 4 1 ,
247 (1977).
4260 M. T. D U F F Y , C. C. WANG, A. WAXMAN, and K. H . ZAININGER, / . Electrochem.
Soc, 116, 234 (1969).
4261 H . R. B R U N N E R , F . P . EMMENEGER, M. L. A. ROBINSON, and H . RÖTSCHI,
/ . Electrochem. Soc, 115, 1287 (1968).
4262 E. KAPLAN, M. BALOG, and D. FROHMAN-BENTCHKOWSKY, / . Electrochem.
Soc, 123, 1570 (1976).
4263 W. H. K N A U S E N B E R G E R and R. N. TAUBER, / . Electrochem. Soc, 120, 927 (1973).
4264 M. K U I S L , Solid-Staie Electron., 15, 595 (1972).
4265 D. R. SECRIST and J. D. MACKENZIE, Bull. Am. Ceram. Soc, 45, 784 (1966).
4266 L. G. M E I N E R S , Thin Solid Films, 113, 85 (1984).
4267 H . L. CHANG, L. G. M E I N E R S , and C. J. SA, Appl. Phys. Lett., 48, 375 (1986).
4268 L. V. GREGOR, ref. [85J, p . 447.
4269 M. T. D U F F Y and W. K E R N , RCA Rev., 3 1 , 742 (1970).
4270 K. N I I H A R A and T. H I R A I , / . Mater. Sei., 11, 539, 604 (1976); 12, 1233 (1977).
4271 H. S E K I and K. MORIYAMA, Jpn J. Appl. Phys., 6, 1345 (1967).
4272 T. L. CHU, C. H . L E E , and G. A. G R U B E R , / . Electrochem. Soc, 114, 717 (1967).
4273 S. M. SZE, / . Appl. Phys., 38, 2951 (1967).
4274 M. J. GRIECO, F . L. W O R T H I N G , and B. SCHWARTZ, / . Electrochem. Soc, 115,
525 (1968).
4275 Y. OKA and K. SHOHNO, Jpn. J. Appl. Phys., 7, 441 (1968).
4276 B. E. DEAL, E. L. MacKENNA, and P. L. CASTRO, / . Electrochem. Soc, 116,
997 (1969).
4277 W. A. K O H L E R , Metall. Trans., 1, 735 (1970).
4278 H . F I S C H E R , Z. Phys. Chemie (Leipzig), 246, 357 (1971).
4279 E. MacKENNA and P. KODAMA, / . Electrochem. Soc, 119, 1094 (1972).
4280 P. C. P A R E K H and J. R. MOLEA, Solid-State Electron., 16, 954 (1973).
4281 T. H I R A I , K. N I I H A R A , and T. GOTO, / . Mater. Sei., 12, 631 (1977).
4282 K. K I J I M A , N. SETAKA, and H. TANAKA, / . Cryst. Growth, 24/25, 183 (1972).

647
REFERENCES

4283 S. M. H U , / . Electrochem. Soc, 113, 693 (1966).


4284 V. Y. DOO, D. R. NICHOLS, and G. A. SILVEY, / . Electrochem. Soc, 113, 1279
(1966).
4385 T. L. CHU, J. R. SZEDON, and C. H. L E E , Solid-State Electron., 10, 897 (1967).
4286 K. E. BEAN, P. S. GLEIM, R. L. Y E A K L E Y , a n d W. R. RUNYAN, / . Electrochem.
Soc, 114, 733 (1967).
4287 V. Y. DOO, D. R. K E R R , and D. R. NICHOLS, / . Electrochem. Soc, 115, 61 (1968):
4288 T. SUGANO, K. H I R A I , K. KUROIWA, and K. H Ö H , Jpn. J. Appl. Phys., 7,
122 (1968).
4289 J. R. YEARGAN and H. L. TAYLOR, / . Electrochem. Soc, 115, 273 (1968).
4290 E. J. M. KENDALL, / . Phys., D l , 1409 (1968).
4291 G. A. BROWN, W. C. R O B I N E T T E , JR., and H. G. CARLSON, / . Electrochem.
Soc, 115, 448 (1968).
4292 J. R. YEARGAN and H. L. TAYLOR, / . Electrochem. Soc, 115, 273 (1968).
4293 W. L A N G H E I N R I C H and D. E I S B R E N N E R , Metallober., 23, 129 (1969).
4294 P. S. C H A F F E R and B. SWARROOP, Am. Ceram. Soc Bull., 49, 536 (1970).
4295 B. SWAROOP and P. S. SCHAFFER, / . Phys., D3, 803 (1970).
4296 J. H. SANCHEZ-LASSISEand J. R. Y E A R G A N , / . Electrochem. Soc, 120, 423 (1973).
4297 P. K. CHAUDHARI, J. K. FRANZ, and C. P. ACKER, / . Electrochem. Soc, 120,
991 (1973).
4298 K. TANABASHI and K. KOBAYSAHI, Jpn. J. Appl. Phys., 12, 641 (1973).
4299 K. KOBAYASHI and K. OHTA, Jpn. J. Appl. Phys., 12, 881 (1973).
4300 C. A. BARILE, R. C. DOCKERTY, and A. NAGARAJAN, / . Electrochem. Soc, 121,
907 (1974).
4301 Y. MISAWA and H. YAGI, Jpn. J. Appl. Phys., 15, 1045 (1976).
4302 J. A. ABOAF, / . Electrochem. Soc, 116, 1736 (1969).
4303 S. YOSHIOKA and S. TAKAYANAGI, / . Electrochem. Soc, 114, 962 (1967).
4304 D. J. DeLONG, Solid State Technol., 15 (10), 29 (1972).
4305 C. E. MOROSANU, Rev. Roum. Phys., 23, 595 (1978).
4306 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chün. 24, 1423 (1979).
4307 C. E. MOROSANU and E. SEGAL, Mater. Chem., 7, 79 (1982).
4308 C. E. MOROSANU, Ph. D. Thesis, Polytechnical Institute of Bucharest, Bucharest,
1982; St. Cere Fiz., 36, 248 (1984); Rom. Pats. 71,157 (1979); 73,290 (1979); 73, 291
(1979).
4309 H. F I S C H E R , Z. Fhys. Chemie, (Leipzig), 252, 213 (1973); 255, 773 (1974).
4310 A. R. R E I N B E R G , U . S . Pat, 4,200,666 (1980).
4311 B. A R K L E S , / . Electrochem. Soc, 133, 233 (1986).
4312 T. L. CHU and H. F. JOHN, Fr. Pat. 1,433,751 (1966).
4313 C. E. MOROSANU and E. SEGAL, Rev. Roum. Chim., 25, 315 (1980).
4314 P. PAN and W. B E R R Y , / . Electrohcem. Soc, 132, 3001 (1985).
4315 T. MAKINO, / . Electrochem. Soc, 130, 450 (1983).
4316 J. J. GEBHARDT, R. A. TANZILLI, and T. A. H A R R I S , / . Electrochem. Soc,
123, 1578 (1976).
4317 F . GALASSO, U. KUNTZ, and W. J. CROFT, / . Am. Ceram . Soc, 55, 431 (1972):
4318 E. A. TAFT, / . Electrochem. Soc, 118, 1341 (1971).
4319 G. TURBAN and Y. C A T H E R I N E , Thin Solid Films, 35, 179 (1976).
4320 Y. C A T H E R I N E and G. TURBAN, Thin Solid Films, 41, L57 (1977).
4321 S. YOKOYAMA, M. H I R O S E , and Y. OSAKA, Jpn. J. Appl. Phys., 20, L35 (1981).
4322 G. M. SAMUELSON and K. M. MAR, / . Electrochem..Soc, 129, 1773 (1982).

648
REFERENCES

4323 C. BLAAUW, / . Electrochem. Soc, 131, 1115 (1984).


4324 M. MAEDA and H . NAKAMURA, / . Appl. Phys., 55, 3068 (1984).
4325 Y. I S H I I , T. AOKI, and S. MIYAZAWA, / . Vac. Sei. TechnoL, B2, 49, (1984).
4326 P. W. BOHN and R. C. MANZ, / . Electrochem. Soc, 132, 1981 (1985).
4327 A. MORIMOTO, Y. T S U J I M U R A , M. KUMEDA, and T. SHIMIZU, Jpn. J. Appl.
Phys. 24, 1394 (1985).
4328 H. MITO and A. S E K I G U C H I , / . Vac. Sei. TechnoL, A4, 475 (1986).
4329 P. RUTERANA, P. F R I E D E L , J. S C H N E I D E R , and P. CHEVALIER, Appl. Phys.
Lett., 49, 672 (1986).
4330 Y. KUWANO, Jpn. J. Appl. Phys., 7, 88 (1968); 8, 876 (1969).
4331 R. G E R E T H and W. SCHERBER, / . Electrochem. Soc, 119, 1248 (1972).
4332 M. J. H E L I X , K. V. VAIDYANATHAN, B. G. STREETMAN, H. B. D I E T R I C H ,
and P. K. C H A T T E R J E E , Thin Solid Films, 55, 143 (1978).
4333 H. DUN, P. PAN, F . R. W H I T E , and R. W. DOUSE, / . Electrochem. Soc, 128,
1555 (1981).
4334 M. MAEDA a n d H. NAKAMURA, Thin Solid Films. 112, 279 (1984).
4335 H . WATANABE, K. KATOH, and S. IMAGI, Thin Solid Films, 136, 77 (1986).
4336 S. HASEGAWA, S. NARIKAWA, and Y. KURATA, Philos. Mag., B48, 43 (1983)
4337 K. ALLAERT, A. VAN CALSTER, H. LOOS, and A. L E Q U E S N E , / . Electrochem. Soc,
132, 1763 (1985).
4338 S. HASEGAWA, M. MATUURA, and Y. KURATA, Appl. Phys. Lett., 49, 1272 (1986).
4339 S. F U J I T A , H. TOYOSHIMA, T. O H I S H I , and A. SASAKI, Jpn. J. Appl. Phys.,
23, L144, L 268 (1984).
4340 S. F U J I T A , T. O H I S H I , H. TOYOSHIMA, and Ά . SASAKI, / . Appl. Phys., 57,
426 (1985).
4341 P. D. R I C H A R D , D. V. TSU, G. LUCOVSKY, and S. Y. LIN, / . Non-Cryst.Solids,
77/78, 925 (1985).
4342 D. V. TSU and G. LUCOVSKY, / . Vac Sei. TechnoL, A4, 480 (1986).
4343 K. KOBAYASHI, Y. HANETA, and S. NAKANUMA, / . Electrochem. Soc. Japan,,
37, 87 (1969).
4344 T. MIYAZAKI, N. NAKAMURA, E. ADACHI, and T. TOKUYAMA, Jpn. J. Appl.
Phys., 12, 309 (1973).
4345 Y. TAMAKI, S. ISOMAE, A. SHINTANI, and M. MAKI, / . Electrochem. Soc, 126,
2271 (1979).
4346 Y. K. FANG, C. F . HUANG, C. Y. CHANG, and R. H. L E E , / . Electrochem. Soc,
132, 1222 (1985).
4347 S. HASEGAWA, M. SEGAWA, and Y. KURATA, Appl. Phys. Lett., 49, 1178 (1986);
S. HASEGAWA, T. TSUKAO, and Y. KURATA, Jpn. J. Appl. Phys., 25, L114
(1986).
4348 V. I. B E L Y I , L. L. VASILYEVA, A. S. GINOVKER, V. A. G R I T S E N K O , S. M.
R E P I N S K Y , S. P. SINITSA, T. P. SMIRNOVA, and F . L. EDELMAN, eds., ''Silicon
Nitride in Electronics", Elsevier, Amsterdam, 1987.
4349 R. E. J O N E S and V. Y. DOO, Electrochem. TechnoL, 5, 297 (1967).
4350 B. COLE, Electronics, 48 (1), 78 (1975).
4351 D. M. BROWN, M. GHEZZO, and P. L. SARGENT, IEEE J. Solid State Circ, 13,
51 (1978).
4352 M. KAMADA and A. YAGI, / . Appl .Phys., 2 1 , 1684 (1982).
4353 V. D. W O H L H E I T E R , / . Electrochem. Soc, 122, 1736 (1975).

649
REFERENCES

4354 B. M. ARMSTRONG, R. A. MOORE, H . S. GAMBLE, and J. W A K E F I E L D , / .


Electrochem. Soc, 124, 1462 (1977).
4355 H . NAGAI, Rev. Electr. Commun. Lab., 22, 1043 (1974).
4356 R. S. L E V I T T and W. K. ZWICKER, / . Electrochem. Soc, 114, 1192 (1967).
4357 J. E. F O S T E R and J. M. SWARTZ, / . Electrochem. Soc, 117, 1410 (1970).
4358 H. S E K I , S. OHOSAKA, M. KANDA, Y. KAWASAKI, H. YAMUZAKI, and M.
F U J I M O T O , Rev. Elect. Commun. Lab., 20, 810 (1972).
4359 E. C. BELL, B. J. SHEALY, and R. K. S U R R I D G E , Thin Solid Films, 5 1 , 77 (1978).
4360 F . CAPASSO and G. F . WILLIAMS, '/. Electrochem. Soc, 129, 821 (1982).
4361 Y. YAMANE, Y. I S H I I , and T. MIZUTANI, Jpn. J. AppL Phys., 22, L350 (1983).
4362 J. V. DALTON and J. DROBEK, / . Electrochem. Soc, 115, 865 (1968).
4363 T. E. B U R G E S S , J. C. BAUM, F . M. T O W K E S , R. HOLMSTROM, and G. A.
S H I R N , / . Electrochem. Soc, 116, 1005 (1969).
4364 R. A. COHEN and R. W. MOUNTAIN, IEEE Trans. Electron. Dev., 18, 1 (1971).
4365 Y. TAMAKI, S. ISOMAE, S. MIZUO, and H. H I G U C H I , / . Electrochem. Soc, 128,
644 (1981).
4366 S. ISOMAE, Y. TAMAKI, A. YAJIMA, M. NANBA, and M. MAKI, / . Electrochem.
Soc, 126, 1014 (1979).
4367 J. SAKURAI, IEEE J. Solid State Circ, 13, 468 (1978).
4368 G. W. T U R N E R and M. K. CONNORS, / . Electrochem. Soc, 131, 1211 (1984).
4369 S. MIZUO and H . H I G U C H I , Jpn. J. AppL Phys., 20, 1749 (1981); 21, 1547 (1982);
22, 12 (1983); / . Electrochem. Soc, 129, 2292 (1982); 130, 1942 (1983).
4370 H. OGAWA, T. NISHINAGA, M. KASUGA, and T. ARIZUMI, Jpn. J. AppL Phys.,
10, 1675 (1971).
4371 F . A. SEWELL, JR., H. A. R. W E G E N E R , and E. T. L E W I S , AppL Phys. Lett.,
14, 45 (1969).
4372 E. C. ROSS, M. T. DUFFY, and A. M. GOODMAN, AppL Phys. Lett., 15, 408 (1969).
4373 N. J. CHOU, J. A. ABOAF, R. HAMMER, and H. P. CROWDER, IEEE Trans.
Electron. Dev., 19, 198 (1972).
4374 E. J. M. K E N D A L L and J. N. HASLETT, IEEE Trans. Electron. Dev., 19, 287
(1972).
4375 A. V. F E R R I E S - P R A B H N , IBM J. Res. Dev., 17, 125 (1973).
4376 F . A. SEWELL, JR., IEEE Trans. Electron. Dev., 50, 563 (1973).
4377 M. J. KIM, Jpn. J. AppL Phys., 13, 1847 (1974).
4378 J. J. CHANG, Proc. IEEE, 64, 1039 (1976); AppL Phys. Lett., 29, 742 (1976); IEEE
Trans. Electron. Dev., 24, 511 (1977).
4379 J. G. MULTANI, B. S. KOSICKI, and S. J. SANDHU, IEEE Trans. Electron.-Dev.,
25, 1008 (1978).
4380 M. P E P P E R , in "Insulating Films on Semiconductors 1979" (G. G. Roberts and M. J.
Morant, eds.), Vol. 50, p. 193, Institute of Physics, London, 1980.
4381 P. BALK, in "Insulating Films on Semiconductors 1983" (J. F . Verwey and D. R. Wol-
ters, eds.), p . 204, North-Holland, Amsterdam, 1983.
4282 N. C. TOMBS, H . A. R. W E G E N E R , R. NFWMAN, B. T. K E N N E Y , and A. J.
COPPOLA, Proc. IEEE, 54, 87 (1966).
4383 R. C. DOCKERTY, S. A. ABBAS, and C. A. BARILE, IEEE Trans. Electron. Dev.,
22, 33 (1976).
4384 T. Y. HUANG, D. J. COLEMAN, and J. L. PATERSON, / . Electrochem. Soc , 132,
1406 (1985).

650
REFERENCES

4385 M. J. POWELL, B. C. EASTON, and O. F . H I L L , Appl. Phys. Lett., 38, 794 (1981);
M. J. POWELL, Appl. Phys. Lett., 43, 597 (1983).
4386 K. I S H I B A S H I and M. MATSUMURA, Appl. Phys. Lett., 4 1 , 454 (1982).
4387 V. SMID, N. M. DUNG, L. STOURAC, and K. J U R E K , / . Non-Cryst. Solids, 70,
1 (1985).
4388 R. A. S T R E E T and C. C. TSAI, Appl. Phys. Lett., 48, 1672 (1986).
4389 W. STUTINS and W. S T R E I F E R , Appl. Opt., 16, 3218 (1977).
4390 W. K E R N and C. E. TRACY, U. S. Pat. 4,097,889 (1978).
4391 W. N. L E W I S , U. S . P a t . 4,091,406 (1978).
4392 B. BOURDON, G. S I F R E , and I. SOLOMON, ref. [28], p . 220.
4393 F . W. SEXTON, Solar Energy Mat., 7, 1 (1982); F . W. SEXTON, / . Electrochem.
Soc, 11, 2624 (1982.).
4394 G. W. T U R N E R , J. C. C. FAN, and J. J. H S I E H , Appl. Phys. Lett., 37, 400 (1980).
4395 T. INADA, T. OHKUBO, S. SAWADA, T. HARA, and M. NAKAJIMA, / . Electro-
chem. Soc, 125, 1525 (1978).
4396 M. J. H E L I X , K. V. VAIDYANATHAN, and B. G. STREETMAN, IEEE J. Solid-
State Circ, 13, 426 (1978).
4397 T. ONUMA, T. H I R A O , and T. SUGAWA, / . Electrochem. Soc, 129, 837 (1982).
4398 V. B. RAO and R. Y. KOYAMA, / . Electrochem. Soc, 131, 1674 (1984).
4399 P . M . CAMPBELL and B. J. BALIGA, / . Electrochem. Soc, 132, 186 (1985).
4400 J. M. F R A R Y and P. S E E S E , Semicond. Int., 4 (12), 72 (1981).
4401 P . M . P E T R O F F , G. A. ROZGONYI, and T. T. SHENG, / . Electrochem. Soc, 123,
565 (1976); G. A. ROZGONYI and R. A. K U S H N E R , / . Electrochem. Soc, 123, 570
(1976).
4402 Y. MADA, Jpn. J. Appl. Phys. Lett., 2 1 , L863 (1982).
4403 M. MIHAILA and K. AMBERIADIS, Solid-State Electron., 26, 109 (1983).
4404 J. W. MEDERNACH, V. A. W E L L S , and L. W I T H E R S P O O N , / . Electrochem. Soc,
133, 1272 (1986).
4405 T. OTSUBO, I. ASADA, and F . S H I N O K I , Nucl. Instrum. Methods, 124, 325 (1975).
4406 A. I. STOLLER, W. C. SCHILP. J R . , and J. B E N B E N E K , RCA Rev., 31, 443 (1790).
4407 J. L. VOSSEN and W. K E R N , Physics Today, 33 (5), 26 (1980).
4408 M. T. D U F F Y , S. BERKMAN, G. W. CULLEN, R. V. D'AIELLO, and H. I. MOSS,
/ . Cryst. Growth, 50, 347 (1980).
4409 T. L. CHU, J. R. SZEDON, and C. H. L E E , / . Electrochem. Soc, 115, 318 (1968).
4410 D. M. BROWN, P. V. GRAY, F . K. HEUMANN, H. R. P H I L L I P P , and E. A. TAFT,
/ . Electrochem. Soc, 115, 311 (1968).
4411 M. J. RAND and J. F. R O B E R T S , / . Electrochem. Soc, 120, 446 (1973).
4412 N. C. TOMBS, F . A. SEWELL, JR., and J. J. COMER, / . Electrochem. Soc, 116,
862 (1969).
4413 W. L A N G H E I N R I C H and R. P R I S T L , Metallober., 25, 168 (1971).
4414 A. K. GAIND, G. K. ACKERMANN, V . J . LUCARINI, and R. L. B R A T T E R , / .
Electrochem. Soc, 124, 599 (1977).
4415 A. K. GAIND and E. W. H E A R N , / . Electrochem. Soc, 125, 139 (1978).
4416 A. E. T. K U I P E R , S. W. KOO, F . H . P. M. H A B R A K E N , and T. TAMMINGA, / . Vac.
Sei. Technol., B l , 62 (1983).
4417 F . H . P. M. H A B R A K E N , R. H . G. T I J H A A R , H. F . VAN DER W E G , A. E. T. K U I -
P E R , and M. F . C. W I L L E M S E N , / . Appl. Phys., 59, 442 (1986).
4418 W. A. P. CLAASSEN, H . A. J. T. v. d. POL, A. H . GOEMANS, and A. E. T. K U I P E R ,
/ . Electrochem. Soc, 133, 145S (1986).

651
REFERENCES

4419 A . H A S H I M O T O , M. KOBAYASHI, T. K A M I J O H , H. TAKANO, and M. SAKUTA,


/ . Electrochem. Soc, 133, 1464 (1986).
4420 V. S. NGUYEN, W. A. LANFORD, and A. L. R I E G E R , / . Electrochan. Soc, 133,
970 (1986).
4421 V. S. NGUYEN, S. BURTON, and P. PAN, / . Electrochem. Soc, 131, 2348 (1984).
4422 C. M. M. D E N I S S E , K. Z. TROOST, J. B. OUDE E L F E R I N K , F . H. P. M. HABRA-
K E N , H. F. VAN DER W E G , and M. H E N D R I C K S , / . Appl. Phys., 60, 2536(1986).
4423 C. M. M. D E N I S S E , K. Z. TROOST, F . H. P. M. H A B R A K E N , W. F . VAN DER W E G ,
and M. H E N D R I C K S , / . Appl. Phys., 60, 2543 (1986).
4424 C. Y. W U and M. S. LIN, / . Appl. Phys., 60, 2050 (1986).
4425 T. Y. CHOU and M. S. LIN, / . Appl. Phys., 60, 3778 (1986).
4426 Y. J. CHAN, M. S. LIN, and T. P. CHEN, / . Appl. Phys., 58, 545 (1985).
4427 H. J. STEIN, / . Electron. Mater., 5, 161 (1976).
4428 R. F . SCHMIDT, M. J. RAND, J. P. MITCHELL, and J. D. A S H N E R , IEEE Trans,
Nucl. Sei., 16, 211 (1969).
4429 M. KUZUHARA and H. KOHZU, Appl. Phys. Lett., 44, 527 (1984).
4430 S. Z I R I N S K Y and E. A. I R E N E , / . Electrochem. Soc, 125, 305 (1978).
4431 E. A. I R E N E , V. J. SILVESTRI, and G. R. WOOLHOUSE, / . Electron. Mater., 4,
409, (1975).
4432 V. J. SILVESTRI, E. A. I R E N E , S. Z I R I N S K Y , and J. D. K U P T S I S , / . Electron.
Mater., 4, 429 (1973).
4433 H. NAGAI and T. NIIMI, / . Electrochem. Soc, 115, 671 (1968).
4434 T. YASHIRO, J. Electrochem. Soc, 119, 780 (1972).
4435 K . P . PANDE and S. POURDAVOUD, SR., IEEE Electron. Dcv. Lett., 2, 182 (1981).
4436 S. V E P R E K , Z. IQBAL, J. B R U N N E R , and M. SCHARLI, Philos. Mag., B43, 527
(1981).
4437 Y. HIROTA and T. KOBAYASHI, / . Appl. Phys., 53, 5037 (1982).
4438 P . C . L I and L. C. HSIA, / . Electrochem. Soc, 133, 366 (1986).
4439 A . M . MEARNS, Thin Solid Films, 3, 201 (1969).
4440 M. R. HAVENS, / . Vac Sei. TechnoL, 13, 575 (1976).
4441 H. YASUDA, "Plasma Polymerization", Academic Press, New York, 1985«
4442 L. V. GREGOR, Phys. Thin Films 3, 131 (1966).
4443 L. V. GREGOR, IBM J. Res. Dev., 12, 140 (1968).
4444 R. K. SADHIR, H. E. SAUNDERS, and W. J. JAMES, in "Polymer in Electronics"
(T. Davidson, ed.), ACS Symposium Series No. 242, p . 555, American Chemical Society,
Washington, DC, 1984.
4445 M. SHEN and A. T. BELL, eds., "Plasma Polymerization", ACS Symposium Series
No. 108, American Chemical Society, Washington, DC, 1979.
4446 M. MILL ARD, ref. [208], Ch. 5.
4447 R. A. CONNELL and L. V. GREGOR, / . Electrochem. Soc, 112, 1198 (1965).
4448 A. BRADLEY and J. P. HAMMES, / . Electrochem. Soc, 110, 543 (1963).
4449 H. HIRATSUKA, G. AKOOALI, M. SHEN, and A. .T. BELL, / . Appl. Polym. Sci.y
22, 917 (1978).
4450 I. HALLER, / . Electrochem. Soc, 129, 180 (1982).
4451 P. W H I T E , Proc Chem. Soc, 337 (1961).
4452 P. W H I T E , Electronics Reliab. and Micromin., 2, 161 (1963).
4453 A. E. BRENNEMANN and L. V. O R E GOR, / . Electrochem. Soc, 112, 1194 (1965).
4454 T. E. BAKER, G. L. F I X , and J. S. J U D G E , / . Electrochem. Soc, 127, 1851(1980).
4455 A . B U I , H. CARCHANO, and D. SANCHEZ, Thin Solid Films, 13, 207 (1972).

652
REFERENCES

4456 H. CARCHANO and M. VALENTIN, Thin Solid Films, 30, 351 (1975).
4457 Y. OKADA, Thin Solid Films, 74, 69 (1980).
4458 J. CASTONGUAY and A. T H E O R E T , Thin Solid Films, 69, 85 (1980).
4459 J . M . MAISONNEUVE, Y. S E G U I , and A. BUI, Thin Solid Films, 33, 35 (1976);
4460 Y. SEGUI and A. BUI, Thin Solid Films, 50, 321 (1978).
4461 M. A K T I K , Y. S E O U I , and A. BUI, / . Appl. Phys., 5 1 , 5055 (1980).
4462 Y. SEGUI and A. BUI, / . Appl. Polym. Sei., 20, 1611 (1976).
4463 H. CARCHANO, / . Chem. Phys., 61, 3634 (1974).
4464 D. BROSSET, A. BUI, and Y. S E G U I , Appl. Phys. Lett., 33, 87 (1978).
4465 K. G. SACHDEV and H. S. SACHDEV, Thin Solid Films, 107, 245 (1983).
4466 Y. SEGUI, D. MONTALAN, and N. MORET, Thin Solid Films, 120, 37 (1984).
4467 M. R. W E R T H E I M E R , J. E. K L E M B E R G - S A P I E H A , and H. P . S C H R E I B E R , Thin
Solid Films, 115, 109 (1984).
4468 V. S. NGUYEN, J. U N D E R H I L L , S. F R I D M A N N , and P. PAN, / . Electrochem. Soc,
132, 1925 (1985).
4469 R. K. SADHIR, W. J. JAMES, and R. A. AUERBACH, Thin Solid Films, 97, 17
(1982).
4470 R. K. SADHIR and H. E. SAUNDERS, / . Vac. Sei. Technol., A3, 2093 (1985).
4471 R. K. SADHIR and W. J. JAMES, in "Polymer in Electronics" (T. Davidson, ed.),
ACS Symposium Series no. 242, p . 533, American Chemical Society, Washigton,DC, 1984.
4472 E. KNY, L. L. LEVENSON, W. J. JAMES, and R. A. AUERBACH, Thin Solid
Films, 64, 395 (1979); 85, 23 (1981); / . Phys. Chem.,U9 1635 (1980); / . Vac. Sei.
Technol., 16, 359 (1979).
4473 E.· K A Y and A. D I L K S , / . Vac. Sei. Technol., 18, 1 (1981); Thin Solid Films, 78,
309 (1931).
4474 E. KAY, A. D I L K S , and D SEYBOLD, / . Appl. Phys., 5 1 , 5678 (1980).
4475 D. S H U T T L E W O R T H , / . Phys Chem., 84, 1629 (1980).
4476 N. MOROSOFF, R. H A Q U E , S. D. CLYMER, and A. L. CRUMBLISS, / . Vac. Sei.
Technol., A3, 2089 (1985).
4477 R. L I E P I N S , M. CAMPBELL, J. S. CLEMENTS, J. HAMMOND, and R. J. F R I E S ,
/ . Vac. Sei. Technol., 18, 1218 (1981).
4478 Y. OSADA and A. MIZUMOTO. / , Appl. Phys., 59, 1776 (1986).
4479 R. H BUCK, Microelectron Reliab., 6, 231 (1967).
4480 C. F . POWELL, ref. [11], p . 277.
4481 J. H. OXLEY, ref. [11], p . 452.
4482 C. F . POWELL, I. E. CAMPBELL, and B. W. GONSER, "Vapour Plating", Wiley,
New York (1955).
4483 G. A. RAZUVAEV, B. G. GRIBOV, G. A. DOMRACHEV, and B . A. SALAMATIN,
"Organometallies in Electronics", Nauka, Moscow, 1972.
4484 A. K. SINHA, Thin Solid Films, 90, 271 (1982).
4485 P. B. GHATE, Thin Solid Films, 93, 359 (1982).
4486 D. P E T E R S O N , "Non-Vacuum Deposition Techniques for Use in Fabricating Thin Film
Circuits", No. NObsr 91336, Final Rept. (1967).
4487 P. S. HO, Semicond. Int., 8 (8), 128 (1985); S. J. R H O D E S , Semicond. Int., 4 (3), 65
(1981); J. L. VOSSEN, Semicond. Int., 4 (9), 91 (1981).
4488 H . O. P I E R S O N , Thin Solid Films, 45, 257 (1977).
4489 D. FATU, M. MUSCALU, and C. E. MOROSANU, Mater. Chem., 5, 19 (1980).
4490 A. MALAZGIRT and J. W. EVANS, Metall. Trans., 11B, 225 (1980).

653
REFERENCES

4491 R. A. LEVY, M. L. G R E E N , and P. K. GALLAGHER, / . Electrochem. Soc, 131,


2175 (1984).
4492 M. J. COOKE, R. A. H E I N E C K E , R. C. STERN, and J. W. C. MAES, Solid State
Technol., 25 (12), 62 (1982).
4493 M. L. G R E E N , R. A. LEVY, R. G. NUZZO, and E. COLEMAN, Thin Solid Films,
114, 367 (1984).
4494 D. W. S Q U I R E , C. S. DULCEY, and M. C. LIN, / . Vac. Sei. Technol., B3, 1513 (1985).
4495 R. A. LEVY, P. K. GALLAGHER, R. CONTOLINI, and F . SCHREY, / . Electrochem.
Soc, 132, 457 (1985).
4496 D. R . BISWAS, C. GHOSH, and R. L. LAYMAN, / . Electrochem. Soc, 130, 234 (1983).
4497 W. A. BRYANT, / . Cryst. Growth, 35, 257 (1976).
4498 J. F. B E R K E L E Y , A. B R F N N E R , and W. E. R E I D , JR., / . Electrochem. Soc, 114,
561 (1967).
4499 W. A. BRYANT and G. H. M E I E R , / . Electrochem. Soc, 120, 559 (1973).
4500 C. CROWELL, J. SAR ACE, and S. SZE, Trans. Met. Soc AIME, 233, 478 (1965).
4501 A. F . MAYADAS, J. J. CUOMO, and R. R O S E N B E R G , / . Electrochem. Soc, 116,
1742 (1969).
4502 J. S. CHUN, P. S. NICHOLSON, A. SOSIN, and J. G. BYRNE, / . Electrochem. Soc,
118, 1492 (1971).
4503 J . M . SHAW and J. A. AMICK, RCA Rev., 31, 306 (1970); Solid State Technol., 14
(12), 53 (1971).
4504 L. H . KAPLAN and F . M. D' H E U R L E , / . Electrochem. Soc, 117, 693 (1970).
4505 C. M. MELLIAR-SMITH, A. C. ADAMS, R. H. K A I S E R , and R. A. K U S H N E R ,
/ . Electrochem. Soc, 121, 298 (1974).
4506 W. K E R N and J. M. SHAW, / . Electrochem. Soc, 118, 1699 (1971).
4507 C. E. MOROSANU and V. SOLTUZ, Thin Solid Films, 52, 181 (1978).
4508 N. HASHIMOTO and Y. KOGA, / . Electrochem. Soc, 114, 1289 (1967).
4509 N. HASHIMOTO, Trans. Met. Soc. AIME, 239, 1109 (1967).
4510 T. A. S H A N K O F F and E. A. CHANDROSS, / . Electrochem. Soc, 122, 294 (1975).
4511 W. A. BRYANT, / . Electrochem. Soc, 125, 1534 (1978).
4512 M. DIEM, M. F I S K , and J. GOLDMAN, Thin Solid Films, 107, 39 (1983).
4513 B. YOUS, S. ROBIN, J. ROBIN, and A. DONNADIEU, Thin Solid Films, 130, 181
(1985).
4514 C. C. TANG, J. K. CHU, and D. W. H E S S , Solid State Technol., 26 (3), 125 (1983).
4515 C. C. TANG and D. W. H E S S , AppL Phys. Lett., 45, 633 (1984).
4516 J. K. CHU, C. C. TANG, and D. W. H E S S , AppL Phys. Lett., 41, 75 (1982).
4517 N. E. MILLER and I. BEINGLASS, Solid State Technol., 23 (12), 79 (1980).
4518 E. K. BROADBENT and C. L. RAMILLER, / . Electrochem. Soc, 131, 1427 (1984).
4519 K. Y. TSAO and H. H. BUSTA, / . Electrochem. Soc, 131,2702(1984).
4520 R. A. LEVY, M. L. G R E E N , P. K. GALLAGHER, and Y. S. ALI, / . Electrochem.
Sec, 133, 1905 (1986); R. A. LEVY and M. L. G R E E N , / . Electrochem. Soc, 134,
37C (1987).
4521 Y. PAULEAU, P. LAMI, A. T I S S I E R , R. PANTEL, and J. C. OBERLIN, Thin
Solid Films, 143, 259 (1986).
4522 Y. PAULEAU and P. LAMI, / . Electrochem. Soc, 132, 2779 (1985).
4523 H . H . BUSTA and C. H. TANG, / . Electrochem. Soc, 133, 1195 (1986).
4524 D. R. B R A D B U R Y and T. I. KAMINS, / . Electrochem. Soc, 133, 1214 (1986).
4525 T. I. KAMINS, S. S. LADERMAN, D. J. COULMAN, and J. E. TURNER, / .
Electrochem. Soc, 133, 1438 (1986).

654
REFERENCES

4526 K. AKIMOTO, Appl. Phys. Lett., 4 1 , 49 (1982).


4527 W. A. METZ, J. E. MAHAN, V. MALHOTRA, and L. MARTIN, Appl. Phys. Lett.,
44, 1139 (1984).
4528 R. A. B L E W E R , ed., "Tungsten and Other Refractory Metals for VLSI Aplications",
Materials Research Society, Pittsburgh, PA, 1986.
4529 S. SACHDEV and R. CASTELLANO, Semicond. Int., 8 (5), 306 (1985).
4530 P. B U R G G R A A F , Semicond. Int., 8 (11), 73 (1985).
4531 W. T. STACY, E. K. BROADBENT, and M. H . NORCOTT. / . Electrochem. Soc,
132, 444 (1985).
4532 M. L. G R E E N and R. A. LEVY. / . Electrochem. Soc, 132, 1243 (1985).
4533 A. J. L E A R N and D. W. F O S T E R , / . Appl. Phys., 58, 2001 (1985).
4534 E. K. B R O A D B E N T and W. T. STACY, Solid State Technol, 28 (12), 51 (1985).
4435 J. O. CARLSSON and M. BOMAN, / . Vac. Sei. Technol., A3, 2298 (1985).
4536 H . H. BUSTA, A. D. F E I N E R M A N , J. B. K E T T E R S O N , and G. K. WONG, / .
Appl. Phys., 58, 987 (1985).
4537 S . S . TSAO, R. S. B L E W E R , and J. Y TSAO, Appl. Phys., Lett., 49, 403 (1986).
4538 Y. SHIOYA, M. MAEDA, and M. YANAGIDA, / . Vac. Sei. Technol., B4, 1175 (1986).
4539 P. VAN D E R P U T T E , D. K. SADANA, E. K. B R O A D B E N T and, A. E. MORGAN,
Appl. Phys., Lett., 49, 1723 (1986).
4540 R. S. B L E W E R , Solid State Technol., 29 (11), 117 (1986).
4541 R. R E I F , / . Vac. Sei. Technol., A2, 429 (1984).
4542 S.V. N G U Y E N , / . Vac. Sei. Technol., B4, 1159 (1986).
4543 W. H A F N E R and E. O. F I S C H E R , U. S. Pat. 2, 953, 586 (1960).
4544 D. J. CASEY, R. R.. V E R D E R B E R , and R. R. GRANACHE, / . Electrochem. Soc,
114, 201 (1967).
4545 T. SUGANO, H. K. CHOU, M. YOSHIDA, and T. N I S H I , Jpn. J. Appl. Phys., 7,
1028 (1968).
4546 A. H. EL-HOSHY, / . Electrochem. Soc, 118, 2028 (1971).
4547 A. H . EL-HOSHY, D. M. BROWN, and W E. E N G E L E R , Appl. Phys. Lett., 17,
261 (1970).
4548 D. M. BROWN, W. E. E N G E L E R , M. G A R F I N K E L , and F. HEUMANN, / . Electro-
chem. Soc, 114, 730 (1967).
4549 D. M. BROWN, W. E. E N G E L E R , M. G A R F I N K E L , and P. V. GRAY, / . Electro-
chem. Soc, 115, 874 (1968).
4550 S. S. SIMEONOV, E. I. K A F E D J I I S K A , and A. L. GUERASSIMOV, Thin Solid
Films, 115, 291 (1984).
4551 M. MIYAKE, Y. HIROOKA, T. IMOTO, and T. SANO, Thin Solid Films 79, 75 (1981).
4552 K. \ A S U D A and J. MUROTA, Jpn. J. Appl. Phys., 22, L615 (1983).
4553 M. J. RAND, / . Electrochem. Soc, 120, 686 (1973); 122, 811 (1975).
4554 J . M . MORABITO and M. J. RAND, Thin Solid Films, 22, 293 (1974).
4555 K. H I E B E R , Thin Solid Films, 24, 157 (1974).
4556 M. STOLZ, K. H I E B E R , and C. WIECZOREK, Thin Solid Films, 100, 209 (1983).
4557 C. M. P L E A S S and D. J. SCHIMMEL, / . Electrochem. Soc, 114, 852 (1970).
4558 C H. J. VAN DEN B R E K E L , R. M. M. FONVILLE, P . J. M. VAN DER STRA-
TEN, and G. VERSPUI, ref. [30], p . 142.
4559 N. G. ANANTHAN, V. Y. DOO, and D K. SETO, / . Electrochem. Soc, 118, 163 (1971).
4560 T. J. T R U E X , R. B. SAILLANT, and F . M. MONROE, / . Electrochem. Soc, 122,
1396, (1975).
4561 H . M. J. MAZILLE, Thin Solid Films, 65, 67 (1980).

655
REFERENCES

■4562 E. F . W A K E F I E L D , / . Eiectrochem. Soc, 116, 5 (1969).


4563 W. H Ä N N I and H. E. HINTERMANN, Thin Solid Films, 40, 107 (1977).
4564 H. E. NACK, J. J. BULLOFF, and J. R. W H I T A C R E , U. S. Pat. 3,050,417(1962).
4565 W. H A F N E R and E. O. FISCHER, Br. Pat. 976,573 (1964); U. S. Pat. 2,953,586
(1960).
4566 D. N. LAYTON, Brit. Pat., 1,032,823 (1966).
4567 K. J. MILLER, M. J. GRIECO, and S. M. SZE, / . Eiectrochem. Soc, 113, 902 (1966).
4568 R. L. VanHEMERT, L. B. SPENDLOVE, and R. E. S I E V E R S , / . Eiectrochem. Soc,
112, 1123 (1965).
4569 F . E. DRUMMOND, U. S . P a t . 2,881,514 (1978).
4570 J. D. H E I B E L and J. W. SCHELL, U. S . P a t . 2,833,676 (195S).
4571 A. K E L L E R and F. KOROSY, Nature, 162, 580 (1948).
4572 F . A. HOULE, C. R. J O N E S , T. BAUM, C. PICO, and C. A. KOVAC, Appl. Phys.
Lett., 46, 204 (1985).
4573 J. P. REDMOND, D. M. A N D R E W S , and K. E. J U Y L E R , U. S. Pat. 4,009,297
(1977).
4574 R. J. H. VOORHOEVE and J. W. M E R E W E T H E R , / . Eiectrochem. Soc, 119, 364
(1972).
4575 J. C. CHONINGS, Brit. Pat. 1,290,784 (1969).
4576 T. P. W H A L E Y and J. M. WOOD, JR., U. S . P a t . 3,450,558 (1969).
4577 J. M. WOOD and F . W. F R E Y , ref. [23], p . 205.
4578 F . E. DRUMMOND, U. S. Pat. 3,049,797 (1962).
4579 J. C. BROWN, U. S. Pat. 3,216,845 (1965).
4580 F . B. LITTON, / . Eiectrochem. Soc, 98, 488 (1951).
4581 M. G. JACKO and S. J. W. PRICE, Canad. J. Chem., 42, 1198 (1964).
4582 M. G. JACKO, Canad. J. Chem. 43, 1961 (1965).
4583 B. A. MACKLIN and J. C. W I T H E R S , ref. [23], p . 161.
4584 J. E. CLINE and J. W U L F F , / . Eiectrochem. Soc, 98, 385 (1961).
4485 R. KAPLAN, / . Vac. Sei. Technol., A l , 551 (1983).
4586 P. J. WALSH and N. BOTTKA, / . Eiectrochem. Soc, 131, 444 (1984).
4587 A. G. B A K E R and W. C. MORRIS, Rev. Sei. Instr., 32, 458 (1961).
4588 F . E. DRUMMOND, U. S. Pat. 2,876,137 (1959).
4589 J. KOZIKOW r SKI, V. NORMAN, and J. P. W H A L E Y , U. S. Pat. 3,253,946 (1966).
4590 F . G. N. CLOKE, M. L. R. G R E E N , and D. H. P R I C E , / . C. S. Chem, Comm., 431
(1978).
4591 E. F I T Z E R and D. K E H R , ref. [26], p. 144.
4592 R. R. SCHEROCK, U . S . Pat. 3,988,332 (1977).
4593 M. MIYAKE, Y. H I R O O K A , T. IMOTO and T. SANO, Thin Solid Films, 63, 303(1979).
4594 R. S. CATTLE, Br. Pat. 1,209,518 (1970).
4595 L. R. C R I S L E R and W. J. EGGERMAN, U. S. Pat. 3,832,222 1(974).
4596 M. I. ERMOLAEV and Y. Y. GUKOVA, U.S.S.R. Pat. 281,785 (1970).
4597 H. J. ANDERSON and A. B R E N N E R , ref. [24], p . 355.
4598 L. YANG, R. G. HUDSON and J. J. WARD, ref. [25], p . 253.
4599 J. N. CROSBY and R. S. H A N L E Y , U.S. Pat. 4,250,210 (1981).
4600 .D. R. ROLISON, K. KUO, M. UMANA, D. B R U N D A G E , and R. W. MURRAY,
/ . Eiectrochem. Soc, 126, 407 (1979).
4601 M. L. G R E E N , M. E. GROSS, L. E. PAPA, K. J. SCHNOES, and D. B R A S E N ,
/ . Eiectrochem. Soc, 132, 2677 (1985).

656
REFERENCES

4602 A. D. B E R R Y , D. J. BROWN, R. K A P L A N , and E. J. CUKAUSAS, / . Vac. Sei.


Technol., A4, 215 (1986).
4603 J. S. ANDERSON and R. W. M. D ' E Y E , / . Chem. Soc, S 244 (1949).
4604 H . J. HOMER and O. CUMMINS, U.S. Pat., 2,916,400 (1959).
4605 S. E. COOK and W. H. THOMAS, U. S. P a t . 3,133,097 (1964).
4606 I. E. CAMPBELL, R. I. J A F F E E , J. M. BLOCHER, JR., J. GURLAND, and B. W .
GONSER, / . Electrochem. Soc, 93, 271 (1948).
4607 V. NORMAN and T. P. W H A L E Y , U.S. Pat. 3,061,464 (1962).
4608 H . J. HOMER, U. S. Pat., 2,887,406 (1959).
4609 Engelhard Minerals and Chemicals Corp., Brit. Pat. 1,275,339 (1972).
4610 G. D E R G E and G. P. MONET, U.S. Pat., 2,743,173 (1956).
4611 F . E. DRUMMOND, U.S. Pat. 2,898,227 (1959).
4612 W. J. JAMES and P.-L. T S E N G , / . Vac. Sei. Technol., A3, 2634 (1985).
4613 R. B. H O L D E N and B. KOPELMAN, / . Electrochem. Soc, 100, 120 (1953).
4614 H. J. B E L I T Z and O. F . DAVIC, U.S. Pat. 2,742,691 (1956).
4615 T. MATCOVICH, E. KOROSTOFF, and A. SCHMECKENBECKER, / . Appl. Phys.f
Supll., 32 (3), 93S (1961).
4616 S. AUDISIO, / . Electrochem. Soc, 127, 2299 (1980).
4617 J. GILLARDEAU, R. FARON, M. B U R G U E S , R. HASSON, G. D E J A C H Y , and
J. P. DURAND, / . Cryst. Growth, 9, 255 (1971).
4618 W. A. B R Y A N T and G. H . M E I E R , ref. [27], p . 161.
4619 F . A. GLASKI and A. CROWSON, ref. [28], p . 542.
4620 C. E. HAMRIN, J R . , and E. M. FOSTER, ref. [23], p . 243.
4621 J. I. F E D E R E R and J. E. S P R U I E L L , ref. [23], p . 443.
4622 J. I. F E D E R E R and A. C. SCHAFFHAUSER, ref. [23], p . 242.
4623 C. I. F A I R C H I L D , ref. [23], p. 149.
4624 A. P . HOMEL and R. C. LANGLEY, U.S. Pat. 3,434,871 (1969).
4625 D. N. LAYTON, Brit. Pat. 1, 032, 828 (1966).
4626 P. K. R E D D Y and S. R. JAWALEKAR, Thin Solid Films, 96, 271 (1982).
4627 J. A. P A P K E and R. D. STEVENSON, ref. [23], p. 193.
4628 I. VISCRIAN and V. GEORGESCU, Thin Solid Films, 3, R17 (1969).
4629 L. A. RYABOVA and Y. S. SAVITSKAYA, Thin Solid Films, 2, 141 (1968); / . Vac.
Sei. Technol., 6, 934 (1969).
4630 V. F . KORZO and V. N. CHERNYAEV, Phys. Slot. Sol., A20, 695 (1973).
4631 I. A. SERBINOV, L. A. RAYBOVA, and Y. S. SAVITSKAYA, Thin Solid Films,
27, 171 (1975).
4632 L, A. RYABOVA, I. A. SERBINOV, and A. S. DAREVSKY, / . Electrochem. Soc,
119, 427 (1972).
4633 G. P . VASILEV, K. A. PAKHOMOV, L. A. RYABOVA, and I. A. SERBINOV,
Thin Solid Films, 42, 155 (1975).
4634 T. SZORENYI, K. BALI, and I. H E V E S I , / . Phys. (Paris), C4, 42, 997 (1981).
4635 K. L. CHOPRA, S. MAJOR, a n d D. K. PANDYA, Thin Solid Films, 102, 1 (1983).
4636 J. C. MANIFACIER, Thin Solid Films, 90, 297 (1982).
4637 G. HAACKE, Ann. Rev. Math Sei., 7, 73 (1977).
4638 J. L. VOSSEN, Phys. Thin Films, 9, 1 (1977).
4639 Z. M. J A R Z E B S K I and J. P. MARTON, / . Electrochem. Soc, 123, 199C, 299C,
333C (1976).
4640 R. G. L I V E R S A Y , E. L Y F O R D , and H . MOORE, / . Phys., E l , 947 (1968).

657
REFEBENCES

4641 R. F . BARTHOLOMEW and M. H . G A R F I N K E L , . / . Electrochem. Soc, 116, 1205


(1969).
4642 J. A. ABOAF, V. C. MARCOTTE, and J. N. CHOU, / . Electrochem. Soc, 120, 701
(1973).
4643 J. KANE, H . P. SCHWEITZER, and W. K E R N , / . Electrochem. Soc, 122, 1144
(1975); 123, 270 (1976).
4644 R. E. AITCHISON, Amt. J. Appl. Sei., .5, 10 (1954).
4645 D. E. CARLSON, / . Electrochem. Soc, 122, 1334 (1975).
4646 B. J. BALIGA and S. K. G H A N D H I , / . Electrochem. Soc, 123, 941 (1976).
4647 T. MURANOI and M. F U R U K O S H I , Thin Solid Films, 48, 309 (1978).
4648 Y.-S. H S U and S. K. GHANDHI, / . Electrochem. Soc, 126, 1434 (1979).
4649 Y.-S. H S U and S. K. GHANDHI, / . Electrochem. Soc, 127, 1592, 1595 (1980).
4650 R. N. GHOSHTAGORE, / . Electrochem. Soc, 125, 110 (1978).
4651 A. F . CARROLL and L. H. SLACK, J. Electrochem. Soc, 123, 1889 (1976).
4652 E. KAWAMATA, Jpn. J. Appl. Phys., 2 1 , 1243 (1982).
4653 P . TURNUR, R. P. HOWSON and C. A. B I S H O P , Thin Solid Films, 83, 253 (1981).
4654 R. G. GORDON, U. S. Pat. 4,146,657 (1979).
4655 A. P . MAMMANA, E. S. BRAGA, I. T O R R I A N I , and R. L. ANDERSON, Thin Solid
Films, 85, 355 (1981).
4656 G. B. H O F L U N D , D. F . COX, G. L. WOODSON, and H. A. LAITINEN, Thin Solid
Films, 78, 357 (1981).
4657 M. NAGANO, / . Cryst. Growth, 67, 639 (1984).
4658 D. BELANGER, J. P . DODELET, B. A. LOMBOS, and J. I. DICKSON, / . Electro-
chem. Soc, 132, 1398 (1985).
4659 A. K. SAXENA, R. THANGARA J, S. P. SINGH, and O. P. A G N I H O T R I , Thin Solid
Films, 131, 121 (1985).
4660 E. S. BRAGA, A. P. MAMMANA, C. I. Z. MAMMANA, and R. L. ANDERSON, Thin
Solid Films, 73, L5 (1980).
4661 Staff Article, Circuits Manufacturing, 15 (10) (1975).
4662 N. GRALENSKI, "Production CVD Coatings", Proc. 82nd Annu. Meet. Amer. Ceram.
S o c , Chicago, IL, 1980.
4663 A. AOKI and H . SASAKURA, Jpn. J. Appl. Phys., 9, 582 (1970).
4664 H. P . MARUSKA, A. K. GHOSH, and D. J. EUSTACE, / . Appl. Phys., 54, 2489(1983),
4665 T. KAMIMORI and M. MIZUHASHI, ref. [30], p . 438.
.4666 T. YAMAZAKI, U. MIZUTANI, and, Y. IWAMA, Jpn. J. Appl. Phys., 22, 454 (1983).
4667 L. A. GOODMAN, RCA Rev., 35, 447 (1974).
4668 D. M. BROWN, M. GHEZZO, and M. G A R F I N K E L , IEEE Trans. Electron. Dev.
23, 196 (1976).
4669 D. K. SCHROEDER, IEEE Trans. Electron. Dev., 25, 90 (1978).
4670 D.BELANGER, M. B A R T K O W S K I , J. P. DODELET, B. A. LOMBOS, I. DICKSON,
and L. DAO, / . Can. Ceram. Soc, 52, 28 (1983).
4671 J. KANE, U.S. P a t . 3,854,992 (1974).
4672 J. KANE, H . P. SCHWEITZER, and W. K E R N , Thin Solid Films, 29, 155 (1975).
4673 R. F . RAYMOND and B. J. DENNISON, U.S. Pat. 2,592,601 (1952).
4674 O. P . AGNIHOTRI, B. K. GUPTA, and A. K. SHARMA, / . Appl. Phys., 49, 4540
(1978).
4675 L. A. RYABOVA, V. S. SALUN, and I. A. SERBINOV, Thin Solid Films, 92, 327
(1982).

658
REFERENCES

4676 S. P. SINGH, A. K. SAXENA, L. M. T I W A R I , and O. P. A G N I H O T R I , Thin Solid


Films 127, 77 (1985).
4677 C. F . P O W E L L , rei. [11], p . 343.
4678 C. COMBESCURE, B. ARMAS, M. ALNOT, and B. W E B E R , / . Electrochem. Soc,
128, 358 (1981).
4679 L. VANDENBULCKE and G. VUILLARD, / . Electrochem. Soc, 123, 278 (1976); 124,
1931 (1977).
4680 D. K. DAS and K. KUMAR, Thin Solid Films, 83, 53 (1981).
4681 H . O. P I E R S O N and A. W. MULLENDORE, Thin Solid Films, 83, 87 (1981).
4682 M. MICHAELIDIS and R. POLLARD, / . Electrochem. Soc, 131, 860 (1984).
4683 K. NAKAMURA, / . Electrochem. Soc, 131, 2691 (1984).
4684 A. J. CAPUTO, W. J. LACKEY, I. G. W R I G H T , and P. A N G E L I N I , / . Electrochem.
Soc, 132, 2274 (1985).
4685 T. M. BESMANN and K. E. SPEAR, / . Cryst. Growth, 3 1 , 60 (1975); / . Electrochem.
Soc, 124, 786, 790 (1977).
4686 K. SUGIYAMA, S. IWAKOSHI, S. MOTOJIMA, and Y. TAKAHASHI, / . Cryst.
Growth, 43, 533 (1978).
4687 H . O. P I E R S O N and A. W. MULLENDORE, Thin Solid Films, 72, 511 (1980); 95,
99 (1982).
4688 T. TAKAHASHI and H. ITOH, / . Cryst. Growth, 49, 445 (1980).
4689 L. M. WILLIAMS, Appl. Phys. Lett., 46, 43 (1985).
4690 E. RANDICH and R. B. P E T T I T , Solar Energy Mater., 5, 425 (1981).
4691 E. RANDICH and D. D. ALLRED, Thin Solid Films, 83, 393 (1981).
4692 S. MOTOJIMA, K. SUGIYAMA, and Y. TAKAHASHI, / . Cryst. Growth, 30, 233 (1975).
4693 B. ARMAS, C. COMBESCURE, and F . TROMBE, / . Electrochem. Soc, 123, 308 (1976).
4694 E. RANDICH, Thin Solid Films, 72, 517 (1980).
4695 E. RANDICH, Thin Solid Films, 63, 309 (1979).
4696 D. E. CARLSON, and C. E. TRACY, U.S. Pat., 4,060,660 (1977).
4697 E. F I T Z E R and D. K E H R , Thin Solid Films, 39, 55 (1976).
4698 W. SCHINTLMEISTER, O. PACHER, K. P F A F F I N G E R , and T. R A I N E , / . Electro-
chem. Soc, 123, 924 (1976).
4699 W. S C H I N T L M E I S T E R and O. PACHER, / . Vac Sä. Technol., 12, 743 (1975).
4700 T. TAKAHASHI, K. SUGIYAMA, and H. ITOH, / . Electrochem. Soc, 117, 541 (1970).
4701 D. G. KIM, J. S. YOO, and J. S. CHUN, / . Vac Sei. Technol, A4, 219 (1986).
4702 F. BLANC, Fr. Pat. 1,355,623 (1964).
4703 SIEMENS A. G., Ger. Pat. 2,143,827 (1973).
4704 K. SUGIYAMA, S. PAC, Y. TAKAHASHI, and S. MOTOJIMA, / . Electrochem. Soc,
122, 1545 (1975).
4705 S. MOTOJIMA, K. OZAKI, Y. T A K A H A S H I , and K. SUGIYAMA, / . Cryst. Growth,
43, 264 (1978); S. MOTOJIMA, K. BABA, K. KITATANI, Y. TAKAHASHI, and
K. SUGIYAMA, / . Cryst. Growth, 32, 141 (1976).
4706 J. R. P E T E R S O N , / . Vac. Sei. Technol., 11, 715 (1974).
4707 B. KARLSSON, R. P. SHIMSHOEK, B. O. S E R A P H I N , and J. C. H A Y G A R T H ,
Solar Energy Mater., 7, 401 (1983).
4708 H. ITOH, / . Cryst. Growth, 57, 456 (1982).
4709 S. R. KURTZ and R. G. GORDON, Thin Solid Films, 140, 277 (1986).
4710 N. J. ARCHER, Thin Solid Films, 80, 221 (1981).
4711 H. O. P I E R S O N , Thin Solid Films, 40, 41 (1977).
4712 K. MONTASSER, S. H A T T O R I , and S. MORITA, / . Appl. Phys., 58, 3185 (1985).

659
REFERENCES

4713 R. L. R E M K E , R. H. WALZER, and R. B E N E , Thin Solid Films, 6 1 , 73 (1969).


4714 L. F . THOMPSON, / . Electrochem. Soc, 121, 925 (1974).
4715 L. BEN-DOR, E. F I S C H B E I N , J. F E I N E R , and L. KALMAN, / . Electrochem. Soc,
124, 451 (1977).
4716 L. BEN-DOR, R. D R U I L H E , and P. GIBART, / . Cryst. Growth, 2 4 - 2 5 , 172 (1974).
4717 D. R. MASON, / . Electrochem. Soc, 123, 519 (1976).
4718 D. CRAIGEN, A. MACKINTOSH, J. HICKMAN, and K. COLBOW, / . Electrochem.
Soc, 133, 1529 (1986).
4719 C. E. TRACY, / . Vac. Sei. Technol., A4, 2377 (1986).
4720 B. YOUS, S. ROBIN, and A. DONNADIEU, Mat. Res. Bull., 19, 1349 (1984).
4721 J. R. BOOTH, W. D. K I N G E R Y , and W. K. BOWEN, / . Cryst. Growth, 29, 257
(1975).
4722 M. IHARA, Y. ARIMOTO, M. J I F U K U , T. KIMURA, S. KODAMA, H. YAMAWAKI,
and T. YAMAOKA, / . Electrochem. Soc, 129, 2569 (1982).
4723 R. N. SINGH and R. L. COBLE, / . Cryst. Growth, 21, 261 (1974).
4724 T. NAKAGAWA, J. YAMAGUCHI, M. OKUYAMA, and Y. HAMAKAWA, Jpn. J.
Appl. Phys. Lett., 2 1 , L 655 (1982).
4725 B. J. CURTIS and H. R. B R U N N E R , / . Electrochem. Soc, 121, 86C (1974).
4726 W. I. L E H R E R and J. M. P I E R C E , ref. [58], p. 588.
4727 S. P. MURARKA, "Silicides for VLSI Applications", Academic Press, New York (1983).
4728 P . S . BURGGRAAF, Semicond. Int., 8 (5) 293 (1985).
4729 S. P. MURARKA, Solid State. Technol., 28 (9), 181 (1985).
4730 F . MOHAMMADI, Solid State Technol., 24 (1), 65 (1980).
4731 T. P. CHOW and A. J. STECKL, IEEE Trans. Electron. Dev., 30, 1480 (1983).
4732 J. Y. CHEN and L. B. ROTH, Solid State Technol., 27 (18), 145 (1984).
4733 K. Y. AHN and S. BASAVAIAH, Thin Solid Films, 118, 163 (1984).
4734 A. K. SINHA, M. H. READ, and T. E. SMITH, / . Electrochem. Soc, 120, 1775(1973),
4735 P. K. TEDROW, V. ILDEREM, and R. R E I F , Appl. Phys. Lett., 45, 189 (1985).
4736 A. E. MORGAN, W. T. STACY, J. M. DeBLASI, and T . - Y J . CHEN, / . Vac. Sei.
Technol., B4, 723 (1986).
4737 D. E. R. K E H R , ref. [28], p . 511.
4738 C. WIECZOREK, Thin Solid Films, 126, 227 (1985).
4739 W. I. L E H R E R , J. M. P I E R C E , E. GOO, and S. J U S T I , in Proc. 1st. Int. Symp.
VLSI Sei. Technol., (C. J. DellOca and W. M. Bullis, eds.), p . 258, Electrochemical
Society, Pennington, N J , 1982.
4740 S. INOUE, N. TOYOKURA, T. NAKAMURA, M. MAEDA, and M. TAKAGI, / .
Electrochem. Soc, 130, 1603 (1983).
4741 S. INOUE, N. TOYOKURA, T. NAKAMURA, and H. ISHIKAWA, / , Electrochem.
Soc, 128, 2402 (1981).
4742 J.-S. LO, R. W. H A S K E L L , J. B. B Y R N E , and A. SOSIN, ref. [26], p . 74.
4743 K. C. SARASWAT, D. L. B R O R S , J. A. FAIR, K. A. MONNING, and R. B E Y E R S ,
IEEE Trans. Electron. Dev., 30, 1497 (1983).
4744 D. L. BRORS, J. A. FAIR, K. A. MONNING, and K. C. SARASWAT, Solid State
Technol., 26 (4), 183 (1983); Semicond. Int., 7 (5), 82 (1984).
4745 Y. SHIOYA, T. ITOH, I. KOBAYASHI, and M. MAEDA, / . Electrochem. Soc, 133,
1475 (1986).
4746 M. KOTTKE, F . PINTCHOVSKI, T. R. W H I T E , and P. J. TOBIN, / . Appl. Phys.,
60, 2835 (1986).
4747 Y. K. F A N G and S. L. H S U , / . Appl. Phys., 57, 2980 (1985).

660
REFERENCES

4748 R. T O K E I , / . Appl. Phys., 59, 3582 (1986).


4749 Y. SHIOYA and M. MAEDA, / . Appl. Phys., 60, 327 (1986).
4750 K. AKIMOTO and K. WATANABE, Appl. Phys. Lett, 39, 445 (1981).
4751 A. I. B R A G I N S K I , ref. [30], p . 755.
4752 J. J. HANAK, K. STRATER, and G. W. CULLEN, RCA Rev., 25, 342 (1964).
4753 G. W. CULLEN, Trans. Met. Soc. AIME, 230, 1494 (1964).
4754 R. E. ENSTROM, J. J. HANAK, and G. W. CULLEN, RCA Rev., 31, 702 (1970).
4755 R. E. ENSTROM, J. J. HANAK, J. R. A P P E R T , and K. STRATER, / . Electrochem.
Soc, 119, 743 (1972).
4756 H. KAWAMURA and K. TACHIKAWA, Phys. Lett., 50A, 29 (1974); Trans. Nat. Res.
Inst. Metals, 17, 212 (1975); jpn. J. Appl. Phys., 16, 2037 (1977).
4757 A . I . B R A G I N S K I and G. W. ROLAND, Appl. Phys. Lett., 25, 762 (1974).
4758 R. J. BARTLETT, H. L. L A Q U E R , and R.D. TAYLOR, IEEE Trans. Mag., 11,
405, (1975).
4759 J. R. GAVALER, M. A. JANOCKO, A. I. B R A G I N S K I , and G. W. ROLAND, IEEE
Trans. Mag., 11, 192 (1975).
4760 L. R. N E W K I R K , F . A. VALENCIA, A. L. GIORGI, E. G. SZKLARZ, and T. C.
WALLACE, IEEE Trans. Mag., 11, 221 (1975).
4761 J. J. E N G E L H A R D T and G. W. W E B B , Solid State Commun., 18, 837 (1976).
4762 A. I. B R A G I N S K I , G. W. ROLAND, and M. R. DANIEL, Appl. Polym. Symp., 29,
93 (1976).
4763 L. R. N E W K I R K , F. A. VALENCIA, and T. C. WALLACE, / . Electrochem. Soc,
123, 425 (1976).
4764 A. T. SANTHANAM, / . Appl. Phys., 48, 3347 (1977).
4765 V. CERNUSKO, M. J E R G E L , and D. CABELKA, Solid State Commun., 23, 487 (1977).
4766 A. I. B R A G I N S K I , J. R. CAVALER, G. W. ROLAND, M. R. DANIEL, M. A.
JANOCKO, and A. T. SATHANAM, IEEE Trans. Mag., 13, 300 (1977).
4767 R. V. CARLSON, R. J. BARTLETT, L. R. N E W K I R K , and F . A. VALENCIA,
IEEE Trans. Mag., 13, 648. (1977).
4768 J. D. THOMPSON, M. P. MALEY, and L. R. N E W K I R K , IEEE Trans. Mag., 13,
429, (1977); Appl. Phys. Lett., 30, 190 (1977).
4769 J. R. GAVALER, H . A S H K I N , A. I. B R A G I N S K I , and A. T. SANTHANAM, Appl.
Phys. Lett., 33, 359 (1978).
4770 A. I. B R A G I N S K I , G. W. ROLAND, M. R. DANIEL, A. T. SANTHANAM, and
K. W. G U A R D I P E E , / . Appl. Phys., 49, 736, (1978).
4771 S. PAIDASSI, J. SPITZ, and J. BESSON, Appl. Phys. Lett., 33, 105 (1978).
4772 J. D. THOMPSON, M. P. MALEY, L. R. N E W K I R K , and R. V. CARLSON, IEEE
Trans. Mag., 15, 516 (1979).
4773 J. D. THOMPSON, M. P. MALEY, L. R. N E W K I R K , and R. J. BARTLETT, / .
Appl. Phys., 50, 977 (1979).
4774 M. SUZUKI, H. ONODERA, T. ANAYAMA, G. OYA, and Y. ONODERA, Appl.
Phys. Lett., 39, 354 (1981).
4775 A. I. B R A G I N S K I , J. R. GAVALER, R. C. KUZNICKI, B. R. APPLETON, and
C M . W H I T E , Appl. Phys. Lett., 39, 277 (1981).
4776 F . W E I S S , R. MADAR, J. P. SENATEUR, D. B O U R S I E R , C. B E R N A R D , and
R. F R U C H A R T , / . Cryst. Growth, 56, 423 (1982).
4777 S. PAIDASSI, J. SPITZ, and J. BESSON, Thin Solid Films, 63, 61 (1979).
4778 S. PAIDASSI, / . Appl. Phys., 50, 3556 (1979).
4779 L. J. V I E L A N D and A. W . W I C K L U N D , Phys. Lett., 49A, 407 (1974).

661
REFERENCES

4780 G . W . W E B B and J. J. E N G E L H A R D T , IEEE Trans. Mag., 11, 208 (1975),


4781 H . KAWAMURA and K. TACHIKAWA, Phys. Lett., 55A, 65 (1975).
4782 G. OYA and Y. ONODERA, Jpn. J. Appl. Phys., 10, 1485 (1971).
4783 M. D I E T R I C H , K. B R E N N F L E C K , and E. FITZER, ref. [30], p . 759.
4784 J. F . MEE, G. R. PULLIAM, J. L. ARCHER, and P. J. B E S S E R , IEEE Trans. Mag.t
5, 717 (1969).
4785 P. CHAUDHARI, J. J. CUOMO, R. J. GAMBINO, and E. A. GIESS, Phys. Thin
Films 9, 263 (1977).
4786 R. C. L I N A R E S , R. B. McGRAW, and J. B. SCHROEDER, / . Appl. Phys., 36,
2884 (1965).
4787 J. E. MEE, IEEE Trans. Mag., 3, 190 (1967).
4788 J. E. MEE, J. L. ARCHER, R. H . MEADE, and T. N. HAMILTON, Appl. Phys.
Lett., 10, 289 (1967).
4789 J. E. MEE, G. R. PULLIAM, D. M. H E I N Z , J. M. OWENS, and P. J. B E S S E R ,
Appl. Phys. Lett., 18, 60 (1971).
4790 P. J. B E S S E R , J. E. MEE, P. E. E L K I N S , and D. M. H E I N Z , Mater. Res. Bull.,
6, 1111 (1971).
4791 D . M . H E I N Z , P. J. B E S S E R , J. M. OWENS, J. E. MEE, and G. R. PULLIAM,
/ . Appl. Phys., 42, 1243 (1971).
4792 G. R. PULLIAM, R. G. W A R R E N , R. E. HOLMES, and J. L. ARCHER, / . Appl.
Phys., 38, 2192 (1967).
4793 C. W. W I L K I N S , / . Cryst. Growth, 19, 207 (1973).
4794 R. C. TAYLOR and V. SADAGOPAN, Appl. Phys. Lett., 19, 361 (1971).
4795 B. F . STEIN, / . Appl. Phys., 41, 1262 (1970); 40, 2336 (1971).
4796 McD. ROBINSON, A. H . BOBECK, and J. W. N I E L S E N , IEEE Trans. Mag., 7,
464 (1971).
4797 A. I. B R A G I N S K I , IEEE Trans. Mag., 7, 467 (1971).
4798 S. T. O P R E S K O , JR., and H . L. PINCH, Mat. Res. Bull., 7, 685 (1972).
4799 K. K E M P T E R and W. BOEGNER, Thin Solid Films, 12, 35 (1972).
4800 R. L. GENTILMAN, / . Am. Ceram. Soc, 56, 623 (1973).
4801 A. I. B R A G I N S K I , T. R. O E F F I N G E R , and W. J. T A K E U I , Mat. Res. Bull., 7, 627
(1972).
4802 McD. ROBINSON, / . Cryst. Growth, 18, 143 (1973).
4803 M. E. COWHER, T. O. SEDGWICK, and J. LANDERMANN, / . Electron. Mater.,
3, 621 (1974).
4804 N. T. LINK, M. MOULIN, and C. FOUROUGE, Rev. Techniq. Thomson CSF, 6, 479 (1974).
4805 M. MIKAMI and K. MATSUMI, / . Cryst. Growth, 37, 1 (1977).
4806 H. T A K E I and S. TAKASU, Jpn. J. Appl. Phys., 3, 175 (1964).
4807 G. R. PULLIAM, / . Appl. Phys., 38, 1120 (1967).
4808 J. J. HANAK and D. JOHNSON, / . Appl. Phys., 39, 1161 (1968).
4809 H. SCHROEDER and E. GLAUCHE, / . Appl. Phys., 39, 1155 (1968).
4810 K. NAGASAWA, Y. BANDO, and T. TAKADA, Jpn. J. Appl. Phys., 7, 174 (1968);
Bull. Chem. Soc. Japan, 44, 1577 (1971).
4811 J. SIMSOVA, Czech. J. Phys., B20, 727, 816 (1970).
4812 D. J. MARSHALL, / . Cryst. Growth, 9, 305 (1971).
4813 P. GIBART, M. R O B B I N S , and A. B. KANE, / . Cryst. Growth, 2 4 - 2 5 , 166 (1974).
4814 P. GIB ART, / . Cryst. Growth, 18, 129 (1973).
4815 A. G. F I T Z G E R A L D and T. G. MAY, Thin Solid Films, 35, 201 (1976).
4816 R. E. CECH and E. I. A L E S S A N D R I N I , Trans. Am. Soc. Metals, 5 1 , 150 (1959).

662
REFERENCES;

4817 L. B. ROBINSON, W. B. W H I T E , and R. ROY, / . Mater. Set., 1, 336 (1966).


4818 L. BEN-DOR and Y. SHIMONY, / . Cryst. Growth, 2 4 - 2 5 , 175 (1974).
4819 S. I S H I B A S H I , T. NAMIKAWA, Y. YAMAZAKI, and M. SATOU, Jpn. J. Appl. Phys.,
15, 1561 (1976).
4820 S. I S H I B A S H I , T. T. NAMIKAWA, and M. SATOU, Mat. Res. Bull., 14, 51 (1979).
4821 P. W A N G and R. C. BRACKEN, ref. [25], p . 755.
4822 B. E. DEAL and J. M. EARLY, / . Electrochem. Soc, 126, 20C (1979).
4823 N. HOLONYAK, JR., G. E. STILLMAN, and C. M. W O L F E , / . Electrochem.-Soc, 125,
487C (1978).
4824 K. E. BEAN, Thin Solid Films, 83, 173 (1981); K. E. BEAN, Paper presented a t Coa-
tings Symposium, San Francisco, CA, 1981.
4825 C. E. MOROSANU, ref. [30], p . 403.
4826 S. K. G H A N D H I , "The Theory and Practice of Microelectronics", Wiley, New York, 1968.
4827 R. A. COLCLASER, "Microelectronics: Processing and Device Design", Wiley, New-
York, 1980.
4828 R. PAUL, "Microelectronics — An Overview" (in German), Verlag Technik, Berlin, 1981.
,4829 J. A. H O E R N I , U.S. Pats. 3,025,589 and 3,064,167 (1962).
4830 T. O. SEDGWICK, J. A. ABOAF, and S. K R O N G E L B , IBM J. Res. Dsv., 14,
2 (1970).
4831 M. J. H O W E S and D. V. MORGAN, eds., "Gallium Arsenide: Materials, Devices, and
Circuits", Wiley, New York, 1985.
4832 J. A. A P P E L S , E . KOOI, M. M. P A F F E N , J. J. H . SCHARTOR J E , and W. H . C. G.
V E R K U Y L E N , Thilips Res. Repts., 25, 118 (1970).
4833 J. A. A P P E L S and M. M. P A F F E N , Philips Res. Repts., 26, 157 (1971).
4834 E. KOOI, J. G. VAN L I E R O P , W. H . C. G. V E R K U Y L E N , and R. De W E R D T ,
Philips Res. Repts, 26, 166 (1971).
4835 E. KOOI and J. A. A P P E L S , ref. [56]9 p . 860.
4836 E. KOOI, J. G. VAN L I E R O P , and J. A. A P P E L S , / . Electrochem. Soc, 123, 1117
(1976).
4837 S. T A K A H A S H I and Y. HANETA, Denki Kagahu, 41, 498 (1978).
4838 F . MORANDI, Electronics, 44 (26), 44 (1971).
4839 .K. SHIBATA and K. TANIGUCHI, / . Electrochem. Soc, 127, 1383 (1980).
4840 T. A. SHANKOFF, T. T. SHENG, S. E. HASZKO, R. B. MARCUS, and T. E. SMITH,
/ . Electrochem. Soc, 127, 216 (1980).
4841 A.'W. CARLSON and T. J. DOMINICK, "The Physics and Application of Microwave
Diodes", p . 1—6, Varian Associates, Beverly, MA, 1975.
4842 Solid State Products Seminar I I , p . 147, Microwave Associates, Inc., Burlington, MA,
1974.
4843 M. P. L E P S E L T E R , Bell. Lab. Rec, 44, 299 (1966); Bell. Syst. Techn. J., 45, 233 (1966).
4844 G. H . SCHNEER, W. van G E L D E R , V. E. H Ä U S E R , and P. F . SCHMIDT, IEEE
Trans. Electron. Dev., 15, 290 (1968).
4845 D. S. P E C K and C. H . Z I E R D T , JR., Proc IEEE, 62, 185 (1974).
4846 T. G. ATHANAS, Solid State Technol., 17 (6), 54 (1974).
4847 Special Issue, Proc IEEE, 52 (12) (1964).
4848 E. K E O N J I A N , ed., "Microelectronics", McGraw-Hill, New York, 1963.
4849 D. J. ELLIOTT, "Integrated Circuit Fabrication. Technology", McGraw-Hill, New York,
1982; S. C. SU, Solid State Technol., 24 (3), 72 (1981); E. C. DOUGLAS, Solid State
Technol., 24 (5), 81 (1981); J. BARGON, ed., "Methods and Materials in Microelectronic
Technology", Plenum, New York, 1984.

663
REFERENCES

4850 S. R. H O F S T E I N and F . P . HEIMAN, Proc. IEEE, 51, 1190 (1963).


4851 J. C. SARACE, R. E. K E R W I N , D. L. K L E I N , and R. E D W A R D S , Solid-State
Electron., 11, 653 (1968).
4852 L. L. VADASZ, A. S. GROVE, T. A. R O W E , and G. E. MOORE, IEEE Spectrum,
6, 28 (1969).
4853 H. YAMANAKA, T. WADA, O. K U D O H , and M. SAKAMOTO, / . Electrochem. Soc,
126, 1415 (1979).
4854 A. P. T U R L E Y , R. M. McLOUSKI, P. R. R E I D , and D. H. McCANN, / . Electrochem.
Soc, 123, 117 (1976).
4855 W. D. BROWN, Solid State Technol., 22 (12), 77 (1979).
4856 C. W. M U E L L E R and P . H. ROBINSON, Proc . IEEE, 52, 1487 (1964).
4857 E. C. ROSS and C. W. MUELLER, IEEE Trans. Electron. Dev., 13, 379 (1966).
4858 F . P. HEIMAN, IEEE Trans. Electron. Dev., 13, 846, 855 (1966).
4859 R. S. R O N E N and F . B. MICHELETTI, Solid State Technol., 18 (8), 39 (1975).
4860 S. M. SZE, "Physics of Semiconductor Devices", Wiley Interscience, New York, 1st ed.,
1969; 2nd ed., 1981.
4861 A. S. GROVE, "Physics and Technology of Semiconductor Devices'', Wiley, New York
1967.
4862 L. P. H U N T E R , ed., "Handbook of Semiconductor Electronics", 3rd ed., McGraw-Hill,
New York, 1970; P. N. BUTCHER, N. H. MARCH, and M. TOSI, "Crystalline Semi-
conductor Materials and Devices", Plenum, New York, 1986.
4863 R. R. V E R D E R B E R , G. A. G R U B E R , J. W. OSTROSKI, J. E. JOHNSON, K. S.
T A R N E JA, D . M . GILLOT, and B. J. OVERSTON, IEEE Trans. Electron. Dev.,
17, 797 (1970).
4864 R. R. S H A H , D. R. H O L L I N G S W O R T H , G. A. DeJONG and D. L. CROSTHWAIT,
IEEE Electron. Dev. Lett., 2, 159 (1981).
4865 Staff Article, Electronics, 45 (4), 41 (1972).
4866 P . M . van I S E G H E N , IEEE Trans. Electron. Dev:, 23, 823 (1976).
4867 C. R. W R O N S K I , C. J. N U E S E , and H. F . GOSSENBERGER, IEEE Trans. Elec-
tron. Dev., 19, 691 (1972).
4868 C. E. BURTON, W. M. PORTNOY, and H. M. L E E D Y , Solid-State Electron., 14,
1071 (1971).
4869 V. L. RIDEOUT, Thin Solid Films, 48, 261 (1978).
4870 M. DUTOIT and F . SOLLBERGER, / . Electrochem. Soc, 125, 1648 (1978); M. DUTOIT,
/ . Electrochem. Soc, 130, 967 (1983).
4871 J. NISHIZAWA, T. T E R A S A K I , and J. SHIBATA, IEEE Trans. Electron. Dev., 22,
185 (1975).
4872 B. A. McDONALD, Solid-State Electron., 14, 17 (1971).
4873 R. D E N N I N G and C. L. TOLLIN, U.S. Pat. 3,465,209 (1969).
4874 B . A. McDONALD and M. B. DRAGMIRE, U.S. Pat. 3,627,598 (1971).
4875 C. F . MAGUIRE, F . J. KOONS, and Q. T. J A R R E T T , Solid State Technol., 14 (8).
37 (1971).
4876 C. F . MAGUIRE, Q. T. J A R R E T T , and C. Y. BARTHOLOMEW, Solid State Technol.,
15 (4), 46 (1972).
4877 W. K E L L N E R and A. GOETZBERGER, IEEE Trans. Electron. Dev., 22, 531 (1975).
4878 H . MIKOSHIBA, / . Electrochem. Soc, 123, 1539 (1976).
4879 B, A. VOJAK, J. P . SALERNO, D. C. F L A N D E R S , G. D. ALLEY, C. O. BOZLER,
K. B. NICHOLS, R. W. McCLELLAND, N. P . ECONOMOU, G. A. LINCOLN, R. A.
M U R P H Y , W. T. L I N D L E Y , and G. D. JOHNSON, / . Appl. Phys., 54, 3554 (1983).

664
REFERENCES

4880 T. SUZUKI, M. URA, and T. OGAWA, IEEE Trans. Electron. Dev., 13, 982 (1976).
4881 A . H . BERMAN, Solid State Technol., 19 (3), 29 (1976).
4882 R. D E N N I N G and J. P. W H I T E , Solid State Technol., 23 (3), 98 (1980); R. D E N N I N G
and D. MOE, IEEE Trans. Electron. Dev., 17, 711 (1970).
4883 J . A . A P P E L S , M. G. COLLET, P. A . H . H A R T , H. M. J. VAES, and J. F . C. M.
V E R H O E V E N , Philips J. Res., 35, 1 (1980).
4884 K. F . L E E , J. F . GIBBONS, K. C. SARASWAT, and T. I. KAMINS, Appl. Phys.
Lett., 35, 173 (1979).
4885 T. I. KAMINS, K. F . L E E , J. F . GIBBONS, and K. C. SARASWAT, IEEE Trans.
Electron. Dev., 27, 290 (1980).
4886 F . MAHOMMADI, K. C. SARASWAT, and J. D. MEINDL, IEEE Trans. Electron.
Dev., 27, 293 (1980).
4887 B.-Y. TSAUR, J. C. C. FAN, G. W. T U R N E R , M. W. GEIS, D. J. S I L V E R S M I T H ,
and R. W. MOUNTAIN, / . Appl. Phys., 54, 1151 (1983).
4888 T. UNAGAMI and B. TSUJIYAMA, IEEE Electron. Dev. Lett., 3, 167 (1982).
4889 R. P E N N E L L , R. CATERO and S. LOVELIS, / . Electrochem. Soc, 133, 2358 (1968).
4890 W. G. H A W K I N S , IEEE Trans. Electron. Dev., 33, 477 (1986).
4891 Y. NARA, Y. KUDOU, and M. MATSUMURA, Jpn. J. Appl. Phys., 22, L370 (1983);
H. HAYAMA and M. MATSUMURA, Appl. Phys. Lett., 36, 754 (1980); M. MATSU-
MURA and Y. NARA, / . Appl. Phys., 5 1 , 6443 (1980); Y. NARA and M. MATSUMURA,
IEEE Trans. Electron. Dev., 29, 1646 (1982).
4892 P. G. LeCOMBER, W. E. SPEAR, and A. GHAITH, Electron. Lett., 15, 179 (1979).
4893 N. B. GOODMAN, » . OZAKI, and H. F R I T Z S C H E , / . Non-Cryst. Solids, 35/36, 599
(1980).
4894 T. P. BRODY, IEEE Trans. Electron. Dev., 3 1 , 1614 (1984); M. J. THOMPSON, N. M.
JOHNSON, M. D. MOYER, and R. L U J A N , IEEE Trans. Electron. Dev., 29, 1643 (1982),
4895 B. J. BALIGA, IEEE Trans. Electron. Dev., 30, 1832 (1983).
4896 D. R. McGLYNN, "Microprocessors", Wiley, New York, 1976.
4897 R. L. VAN TUYL, C. A. L I E C H T I , R. E. L E E , and E. GOWEN, IEEE Solid State
Circ, 12, 485 (1977); R. L. VAN TUYL, V. KUMAR, D. C. D'AVANZO, T. W. TAYLOR
V. E. P E T E R S O N , D. P. H O R N B U C K L E , R. A. F I S C H E R , and D. B. E S T R E I C H
IEEE Trans. Electron. Dev., 29, 103 (1982).
4898 R. C. E D E N , B. M. W E L C H , R. ZUCCA, and S. I. LONG, IEEE Trans. Electron.
Dev., 26, 299 (1979).
4899 B. M. WELCH, Solid State Technol., 23 (2), 95 (1980).
4900 B. M. WELCH, Y. D. SHEN, R ZUCCA, R. C. E D E N , and S. I. LONG, IEEE
Trans. Electron. Dev., 27, 1116 (1980).
4901 T. MIMURA and M. F U K U T A , IEEE Trans. Electron. Dev., 27, 1147 (1980).
4902 N. YOKOYAMA, T. MIMURA, and M. FUKUTA, IEEE Trans. Electron. Dev., 27,
1124 (1980).
4903 S. I. LONG, B. M. WELCH, R. ZUCCA, and R. C. EDEN, / . Vac. Sä. Technol., 19,
531 (1981).
4904 A. G. R O D E and J. G. R O P E R , Solid State Technol., 28 (2), 209 (1985).
4905 T. ANDRADE, Solid State Technol., 28 (2), 199 (1985).
4906 H . H . W I E D E R , / . Vac. Sei. Technol., A2, 97 (1984).
4907 R. P. MANDAL, Solid State Technol., 25 (1), 94 (1982).
4908 R. ROOP, Solid State Technol., 27 (5), 147 (1984).

665
REFERENCES

4909 B. J. BALIGA, IEEE Trans. Electron. Dev., 33, 1936 (1986); M. S. ADLER, K. W.
OWYANG, B. J. BALIGA, and R. A. KOKOSA, IEEE Trans. Electron. Dev., 31
1570 (1984).
4910 C. L. HOGAN, Interface Age, 2 (3), 24 (1977).
4911 J. S. KILBY, IEEE Trans. Electron. Dev., 23, 648 (1976).
4912 J. LOHSTROH, Proc. IEEE, 69, 812 (1981).
4913 R. N. NOYCE, U.S. Pat. 2,981,877 (1961).
4914 K. LEHOVEC, U.S. Pat. 3,029,366 (1962).
4915 J. S. KILBY, U.S. Pat. 3,138,743 (1964).
4916 B. H O E N E I S E N and C. A. MEAD, Solid-State Electron., 15, 891 (1972).
4917 N. C. De TROYE, IEEE J. Solid State Circ, 9, 206 (1974).
4918 R. M. W A R N E R , JR., IEEE Spectrum, 13, 42 (1976).
4919 E. A. T O R R E R O , IEEE Spectrum, 14, 29 (1977).
4920 J. L. STONE and J. C. P L U N K E T T , Solid State Electron. Dev., 1, 179 (1977).
4921 A. H. AGAJANIAN, Solid State Technol., 18 (4), 61 (1975).
4922 M. DARWISCH and R. TAUBENEST, / . Electrochem. Soc, 121, 1119 (1974).
4923 B. T. MURPHY, V. J. GLINSKI, P. A. GARY, and R. A. P E D E R S E N , Proc. IEEE,
57, 1523 (1969).
4924 L. S. SENHOUSE, D. L. K U S H L E R , and B T. M U R P H Y , IEEE Trans. Electron.
Dev., 18, 355 (1971).
4925 K. DEMIZU, / . Electrochem. Soc, 118, 1627 (1971).
4926 K. E. BEAN and W. R. RUNYAN, / . Electrochem. Soc, 124, 5C (1977).
4927 T. J. SANDERS and W. R. MORCOM, Electronics, 46 (8), 117 (1973).
4928 T. FUKUSHIMA, K. UENO, and K. TANEKA, IEEE Trans. Electron. Dev., 30,
1785 (1983).
4929 D. P E L T Z E R and W. H E R N D O N , Electronics, 44(5), 53 (1971).
4930 Y. WATANABE, Y. ARITA, T. YOKOYAMA, and Y. IGARASHI, / . Electrochem. Soc,
122, 1351 (1975).
4931 T. C. TENG, / . Electrochem. Soc, 126, 870 (1979).
4932 M. WATANABE, ref. [57], p . 992.
4933 K. IMAI and Y. YORIUME, Jpn. J. Appl. Phys., 18, 281 (1978).
4934 T. I. KAMINS, Proc IEEE, 60, 915 (1972).
4935 W. M. P E N N Y and L. LAU, eds., "MOS Integrated Circuits", Van Nostrand-Reinhold,
New York, 1972.
4936 R.S. MÜLLER and T.I. KAMINS, "Device Electronics for Integrated Circuits", W i l e y ,
New York, 1977.
4937 B. H O E N E I S E N and C. A. MEAD, Solid State Electron 15, 819 (1972).
4938 H . F . STORM, IEEE Trans. Electron. Dev., 14, 710 (1967); 16, 957 (1969).
4939 A. H. AGAJANIAN, "MOSFET Technologies - A Complete Bibliography", IFI/Ple-
num, New York, 1980.
4940 A. H . AGAJANIAN, IEEE Trans. Electron. Dev., 20, 757 (1973).
4941 M. H. W H I T E and J. R. CRICCHI, Solid-State Electron., 9, 991 (1966); R. L. MAD-
DOX, Solid State Technol., 24 (2), 128 (1981).
4942 H . SUNAMI, M. KOYANAGI, and N. HASHIMOTO, / . Electrochem. Soc, 127,
2499 (1980).
4943 J. J. BARNES, J. M. DeBLASI, and B. E. DEAL, / . Electrochem. Soc, 126, 1779
(1979).
4944 T. P. CAUGE, J. KOCSIS, H. J. SIGG, and G. D. VENDELIN, Electronics, 44 (4),
99 (1971).

666
HEFKRENCES

4945 Y. HAGASHI, T. SEKIGAWA, and Y. T A R U I , Jpn. J. Appl. Phys., 16, 163 (1977).
4946 T. J. R O G E R S and J. D. MEINDL, IEEE Trans. Electron. Dev., 20, 226 (1973);
IEEE J. Solid State Circ, 9, 239 (1974).
4947 C. A. T. SALAMA and J. G. OAKES, IEEE Trans. Electron. Dev., 25, 1222 (1978).
4948 W. A. LANE and C. A. T. SALAMA, IEEE Trans. Electron. Dev., 27, 349 (1980).
4949 H. A. R. W E G E N E R , U.S. Pat. 3,590,337 (1971).
4950 D. FROHMAN-BENTCHKOWSKY and M. L E N Z L I N G E R , / . Appl. Phys., 40,
3307 (1969).
4951 J. J. CHANG, Proc. IEEE, 64, 1039 (1976); IEEE Trans. Electron. Dev., 24, 511 (1977).
4952 Y. N I S H I and H. IIZUKA, Jpn. J. Appl. Phys., 16, 191 (1977).
4953 A . M . GOODMAN, E. C. ROSS, and M. T. D U F F Y , RCA Rev., 3 1 , 342 (1970).
4954 J. T. KENDALL, Microelectron. Reliab., 13, 413 (1974).
4955 J. T. CLEMENS, E. F . LABUDA, and C. N B E R G L U N D , Bell Syst. Techn. J.,
54, 687 (1975).
4956 D. KAHNG, W. J. SUNDBURG, D. M. BOULIN, and J. R. LIGENZA, Bell Syst.
Techn. J. C, 53, 1723 (1974).
4957 H. YOSHINO, K. K I U C H I , and T. YASHIRO, Jpn. J. Appl. Phys., 16, 441, 867 (1977).
4958 D. FROHMAN-BENTCHKOWSKY, Appl. Phys. Lett., 18, 332 (1971); Solid-State
Electron., 17, 517 (1974).
4959 W, M. GOSNEY, IEEE Trans. Electron. Dev., 24, 594 (1977).
4960 C. A. N E U G E B A U E R , J. F . B U R G E S S , and L. STEIN, IEEE Trans. Electron. Dev.
24, 613 (1977).
4961 D . M . BROWN, W. E. E N G E L E R , M. G A R F I N K E L , and P . V. GRAY, Solid-
State Electron., 11, 1105 (1968).
4962 A . N . SAXENA and D. PRAMANIK, Solid State Technol. 27, (12), 93 (1984).
4967 J. Y.CHEN and L. B. R O T H , Solid State Technol., 27 (8), 145 (1984).
9643 S. P. MURARKA, / . Vac. Sei. Technol., 17, 775 (1980).
4965 Y. PAULEAU, Solid State Technol., 30 (2), 61 (1987).
4966 M. FUKUMOTO, A. SHINOHARA, S. OKADA, and K. KUGIMIYA, IEEE Trans.
Electron. Dev., 3 1 , 1432 (1984).
4967 Y. PAULEAU, Solid State Technol., 30 (4), 155 (1987).
^968 Y. PAULEAU, Solid State Technol., 30 (6), 101 (1987).
4969 C. H. S E Q U I N a n d M. F . TOMPSETT, "Charge Transfer Devices", Academic Press
New York, 1975.
4970 D. F . BARBE, ed., "Charge-Compled Devices", Springer, New York, 1980.
4971 R. M. BARSAN, "Charge-Transfer Devices and Integrated Circuits" (in Romanian),
Technical Publishing House, Bucharest, 1981.
4972 A. H . AGAJANIAN, Solid State Technol., 19, (5), 48 (1976).
4973 W. S. BOYLE and G. E. SMITH, Bell Syst. Techn. J., 49, 487 (1970).
4974 W. F . KOSONOCKY and J. E. CARNES, RCA Rev., 34, 164 (1973); 36, 566 (1976).
4975 J. E. CARNES and Wr. F . KOSONOCKY, Solid State Technol., 17, (4), 67 (1974).
4976 D. D. W^EN, C. K. KIM, and G. F . AMELIO, Solid State Technol., 19 (9), 83 (1976).
4977 C. H. S E Q U I N , F . J. MORRIS, T. A. S H A N K O F F , M. F . TOMPSETT, and E. J.
ZIMANY, IEEE Trans. Electron. Dev., 21, 712 (1947).
4978 G. J. DECLERCK, K. M. De M E Y E R , E. J. J A N S S E N S , E. E. LAES, a n d j . VAN
DER S P I E G E L , IEEE Trans. Electron. Dev., 23, 297 (1976).
4979 R. M. BARSAN, Solid-State Electron., 19, 1015 (1976); Proc. IEEE, 123, 505 (1976);
124, 103 (1977); Rev. Roum. Phys., 21,239, 815 (1976); 22, 57, 125 (1977); 24, 471 (1979);
Stud. Cere. Fiz., 29, 159 (1977); Rev. Phys. Appl., 13, 697 (1978); Electron. Lett., 13,

667
REFERENCES

475 (1977); 14, 176 (1978); 15, 389 (1979); Int. J. Electron, 44, 97, 177 (1978); 45, 1,
17 (1978); 46, 167 (1978); 48, 149 (1980); IEEE Trans. Electron. Dev.} 25, 1109 (1978);
26, 123 (1979); 27, 1809 (1980); 28, 523 (1981); Rom. Pats. 67,176; 67,177; and 67,
178 (1978); R. M. B \ R S A N and A. DELIBALTOV, Appl. Phys. Lett., 36, 329 (1980).
4980 M. MATSUMURA and H. HAYAMA, Proc. IEEE, 68, 1349 (1980).
4981 K. UCHIDA, S. K I S H I D A , and M. MATSUMURA, Electron. Lett., 20, 422 (1984).
4982 R. H . K I N G S T O N , B. E. B U R K E , K. B. NICHOLS, and F . J. L E O N B E R G E R ,
Appl. Phys. Lett., 41, 413 (1982).
4983 A. CAPELL, D. KNOBLOCK, L. MATHER, and L. LOPP, Electronics, 50 (11),
99 (1977).
4984 A. C. I P R I , J. C. SOKOLOSKI, and D. W. FLATLEY, ΙΕ,ΕΕ Trans. Electron. Dev.,
27, 1275 (1980).
4985 N. MATSUKAWA, H. NOZAWA, J. MATSUNAGA, and S. KOHYAMA, IEEE Trans.
Electron. Dev., 29, 561 (1982).
4986 D. KAHNG, T. A. S H A N K O F F , T. T. SHENG, and S. E. H A Z K O , / . Electrochem.
Soc, 127, 2468 (1980).
4987 K. Y. CHIU, J. L. MOLL, and J. MANOLIU, IEEE Trans. Electron. Dev., 29, 536
(1982).
4988 K. Y. CHIU, Hewlett-Packard J., 33 (8), 31 (1982).
4989 K. Y. CHIU, J. L. MOLL, K. M. CHAM, J. LIN, C. LAGE, S. ANGELOS, and
R. L. TILLMAN, IEEE Trans. Electron. Dev., 30, 1506 (1983).
4990 R . C . Y. FANG, K. Y. CHIU, and J. L. MOLL, / . Electrochem. Soc, 130, 190 (1983).
4991 C. W. TENG, G. POLLACK, and W. R. H U N T E R , IEEE Trans. Electron. Dev., 32,
124 (1985).
4992 Y. TAMAKI, T. K U R E , T. SHIBA, and H. H I G U C H I , Jpn. J. Appl. Phys., Suppl.,
2 1 - 1 , 37 (1982).
4993 S. CHIANG, Hewlett-Packard J., 33 (8), 33 (1982).
4994 D. CHIN, S. H. DHONG and G. J. LONG, / . Electrochem. Soc, 132, 1705 (1985).
4995 V. J. SILVESTRI, / . Electrochem. Soc, 133, 2374 (1968).
4996 H. P. VYAS, R. S. L. LUTZE, and J. S. T. HUANG, IEEE Trans. Electron. Dev.,
32, 926 (1985).
4997 S. SUYAMA, T. YACHI, and T. SERIKAWA, IEEE Trans. Electron. Dev., 33, 1672
(1986).
4998 O. SHIUCHI and J. SAKURAI, IEEE Trans. Electron. Dev., 23, 1190 (1976).
4999 N. ENDO, K. TANNO, A. ISHITANI, Y. K U R O G I , and H. TSUYA, IEEE Trans.
Electron. Dev., 31, 1283 (1984).
5000 A. C. I P R I , L. J A S T R Z E B S K I , J. F . CORBOY, and R. METZL, IEEE Trans.
Electron. Dev., 31, 1741 (1984).
5001 J. O. BORLAND and T. DEACON, Solid State Technol., 27 (8), 123 (1984).
5002 J. O. BORLAND and C. I. DROWLEY, Solid State Technol., 28 (8), 141 (1985).
5003 N. ENDO, N. KASAI, A. ISHITANI, H. K I T A J I M A , and Y. K U R O G I , IEEE Trans.
Electron. Dev., 33, 1659 (1986).
5004 S. NAGAO, K. H I G A S H I T A N I , Y. AKASAKA, and H. NAKATA, IEEE Trans.
Electron. Dev., 33, 1738 (1986).
5005 L. J A S T R Z E B S K I , RCA Rev., 44, 250 (1983); / . Cryst. Growth, 70, 253 (1984); L.
JASTRZEBSKI, A. C. I P R I , and J. F. CORBOY, IEEE Electron. Dev. Lett., 4, 32 (1983).
5006 H. W. LAM, ref. [2339], Ch. 5.
5007 G. W. CULLEN, ed., "Single Crystal Silicon on Non-Single-Crystal Insulators", / . Cryst.
Growth, 63 (3) (1983).

668
REFERENCES

5008 K. IZUMI, Y. OMURA, and T. SAKAI, / . Electron. Mater., 12, 845 (1983).
5009 K. DAS, G. P. S H O R T H O U S E , and J. B. BUTCHER, Electron. Lett., 19, 139 (1983).
5010 K. DAS, S. McCLELLAND, and J. B. BUTCHER, Electron. Lett., 20, 526, (1984).
5011 G. ZIMMER and H . VOGT, IEEE Trans. Electron. Dev., 30, 1515 (1983).
5012 W. SKORUPA, U. K R E I S S I G , and E. H E N S E L , Electron. Lett., 20, 426 (1984).
5013 B. Y. TSAUR, J. C. C. FAN, and M. W. GEIS, Appl. Phys. Lett, 41, 83 (1982).
5014 M. E. ROULET, P. SCHWOLL, I. GOLECKI, and M. NICOLET, Electron. Lett.t
15, 527 (1979).
5015 K. IMAI and H. UNNO, IEEE Trans. Electron. Dev., 31, 297 (1984).
5016 J. C. H U I , T.-Y. CHIU, S. S. WONG, and W. G. OLDHAM, IEEE Electron. Dev.
Lett., 2, 244 (1981); IEEE Trans. Electron. Dev., 29, 554 (1982).
5017 K. L. WANG, S. A. SALLER, W. R. H U N T E R , P. K. C H A T T E R J E E , and P.
YANG, IEEE Trans. Electron. Dev., 29, 541 (1982).
5018 E. W. MEHAL and R. W. W A L K E R , IEEE Trans. Electron. Dev., 15, 513 (1968).
5019 D. W. SHAW, / . Electron. Mater., 2, 255 (1973).
5020 H. A. WATSON, ''Microwave Semiconductor Devices and Their Circuit Applications",
McGraw-Hill, New York, 1969.
5021 L. YOUNG and H. SOBOL, eds., "Advances in Microwaves", Academic Press, New
York, 1974.
5022 M. J. H O W E S and D. V. MORGAN, eds., "Microwave Devices", Wiley, New York,
1976.
5023 H. SOBOL, ref. [5022], p . 1; H. SOBOL and M. CAULTON, ref. [5022], p . 12.
5024 H. SOBOL, Solid State Technol., 13 (2), 49 (1970).
5025 J. B. GUNN, Solid State Commun, 1, 88 (1963); IEEE Trans. Electron. Dev., 23, 705
(1976).
5026 D. DASCALU, "Electronic Processes in Unipolar Solid State Devices", Editura Academiei,
Bucharest, 1977.
5027 S. J. ANDERSON and G. Y. ROBINSON, IEEE Trans. Electron. Dev., 21, 377 (1974).
5028 Special Issues, Ada Electron., 15 ( 1 - 3 ) (1972).
5029 S. NAKANUMA, IEEE T%ans. Electron. Dev., 13, 578 (1956).
5030 A. ROSEN, G. A. SWARTZ, F . C. DUIGON, and A. M. GOMBAR, / . Electrochem.
Soc, 125, 680 (1978).
5031 G. A. SWARTZ. A. ROSEN, P. T. HO, and A. SCHWARZMANN, IEEE Trans. Elec-
tron. Dev., 25, 1297 (1978).
5032 W. T. READ, Bell Syst. Techn. J., 33, 799 (1954).
5033 R. L. JOHNSON, B . C . DeLOACH JR., and B . G . C O H E N , Bell Syst. Tech. J.,
44, 369 (1965).
5034 G. SALMER, J. P R I B E T I C H , A. F A R R A Y R E , and B. KRAVER, / . Appl. Phys.,
44, 314 (1973).
5035 G. A. SWARTZ, Y. S. CHIANG, C. P. W E N , and A. GONZALEZ, IEEE Trans.
Electron. Dev., 21, 165 (1974).
5036 J. V. DiLORENZO, Microwave J., 21 (2), 39 (1978).
5037 H. M. COX and J. V. DILORENZO, ref. [74], p . 11.
5038 C. L. GHOSH and R. L. LAYMAN, IEEE Electron. Dev. Lett., 5, 3 (1984).
5039 M. FENG., V. K. EU, T. Z I E L I N S K I , H. K A N B E R , and W. B. H E N D E R S O N ,
IEEE Electron. Dev. Lett., 5, 18 (1984).
5040 M. OGURA, K. INOUE, Y. BAN, T. UNO, M. MORTSAKI, and N . H A S E , Jpn. J.
Appl. Phys., 21, L548 (1982).
5041 M. W A L D N E R and I. D. R O U S E , Proc. IEEE, 57, 2066 (1969).

669
REFERENCES

5042 M. G. CRAFORD, Prog. Solid State Chem., 8, 127 (1973).


5043 M. G. CRAFORD and N. HOLONYAK, JR., in ''Optical Properties of Solids-.New
Developments" (B. O. Seraphin, ed.), p. 187, North-Holland, Amsterdam, 1976.
5044 D. G. THOMAS, IEEE Trans. Electron. Dev., 18, 621 (1971).
5045 M. G. CRAFORD, IEEE Trans. Electron. Dev., 24, 935 (1977).
5046 A. A. B E R G H and P. J. DEAN, "Light Emitting Diodes", Clarendon Press, Oxford,
1976.
5047 Y. SUEMATSU, ed., "Optical Devices and Fibers" (" Japan Annual Reviews in Electronics,
Computers & Telecommunications — JAREC", Vols. 2, 5, 11 & 17), Elsevier, Amsterdam,
1982, 1983, 1984 & 1985.
5048 H. K R E S S E L , ed., "Semiconductor Devices for Optical Communications", Springer, New
York, 1982.
5049 H. K R E S S E L and J. K. BUTLER, "Semiconductor Lasers and Heterojunction LEDs",
Academic Press, New York, 1978.
5050 H. C. CASEY, JR., and M. B. P A N I S H , "Heterostructure Lasers", Academic Press,
New York, 1978.
5051 J. R. C A R R U T H E R S in "Current Topics in Materials Science" (E. Kaldis, ed.), Vol. 3,
p. 463, North-Holland, Amsterdam, 1979.
5052 D. BOTEZ and G. J. H E R S K O W I T Z , Proc. IEEE, 68, 689 (1980).
5053 N. N I I Z E K I , Jpn. J. Appl. Phys., 20, 1347 (1981).
5054 P. G E I T T N E R , D. K Ü P P E R S , and H. LYDTEN, Appl. Phys. Lett, 28, 645 (1976)
5055 D. K Ü P P E R S , J. K O E N I N G S , and H. WILSON, / . Electrochem. Soc, 123, 1079 (1976);
125, 1298 (1978).
5056 P. BACHMANN, P . G E I T T N E R , D. L E E R S , M. LENNARTZ, and H. W I L S O N ,
Electron. Lett., 20, 35 (1984).
5057 P . C . SCHULTZ, Proc. IEEE, 68, 1187 (1980).
5058 J. B. MacCHESNEY, P. B. O'CONNOR, and H. M. P R E S B Y , Proc. IEEE, 62, 1280
(1974).
5059 K. YOSHIDA, Y. F U K U I , S. S E N T S U I , and T. K U R O H A , Electron. Lett., #,
608 (1977).
5060 P. G. SIMPKINS, S. G R E E N B E R G - K O S I N S K I , and J. B. MacCHESNEY, / . Appl
Phys., 50, 5676 (1979).
5061 J. B. MacCHESNEY, Proc. IEEE, 68, 1181 (1980).
5062 M. KAWACHI, S. SUDO, N. SHIBATA, and T. E D A H I R O , Jpn. J. Appl. Phys.,
19, L69 (1980).
5063 S. SATOH, K. ISHIDA, T. KATSUYAMA, T. SUGANUMA, and H . MATSUNUMA,
Electron. Lett., 20, 1072 (1984).
5064 G. E. STILLMAN, C. M. W O L F E , J. A. R O S S I , and H. H E C K S C H E R , Appl. Phys.
Lett., 28, 197 (1976).
5065 C M . W O L F E , G. E. STILLMAN, and I. MELNGAILIS, / . Electrochem. Soc, 121,
1506 (1974).
5066 K. HIRUMA, H. INOUE, K. I S H I D A , and H . MATSUMURA, Appl. Phys., Lett.,
47, 186 (1985).
5067 Y. J. C H E N , G. M. CARTER, G. J. SONEK, and J. M. BALANTYNE, Appl. Phys
Lett., 48, 272 (1986).
5068 T. IZAWA, H . MORI, Y. MURAKAMI, and N. SHIMIZU, Appl. Phys. Lett., 3&,
483 (1981).
5069 H. J. HOVEL, Solar Energy Mater., 2, 277 (1980).

670
REFERENCES

5070 H . J. HOVEL, "Solar Cells", in "Semiconductors and Semimetals" (R. K. Willardson


and A. C. Beer, eds.), Vol. 11, Academic Press, New York, 1975).
5071 K. J. BACHMANN, in "Current Topics in Materials Science'' (E. Kaldis, ed.), Vol. 3,
p. 477, North-Holland, Amsterdam, 1979.
5072 S. J. FONASH, CRC Crit. Rev. Sol. St. Mat. Sei., 9, 107 (1980).
5073 S. J. FONASH, "Solar Cell Device Physics", Academic Press, New York, 1981.
5074 A. L. F A H R E N B R U C H and R .H. BUBE, "Fundamentals of Solar Cells", Academic
Press, New York, 1983.
5075 P. A. I L E S and S. I. SOCLOF, "Solar Cells and Photodiodes", McGraw-Hill, New
Yoik, 1981.
5076 P . A . I L E S , / . Vac. Sei. Technol., 14, 1100 (1977).
5077 H . K R E S S E L , P. ROBINSON, S. H. McFARLANE, R. V. D'AIELLO, and V. L.
DALAL, Appl. Phys. Lett., 25, 197 (1974).
5078 H. K R E S S E L , R. V. D'AIELLO, and P. ROBINSON, Appl. Phys. Lett., 28, 157
(1976).
5079 R. V. D'AIELLO, P. H. ROBINSON, and H. K R E S S E L , Appl. Phys. Lett., 28,
231 (1976).
5080 J. R. H Ä U S E R and P. M. DUNBAR, IEEE Trans. Electron. Dev., 24, 305 (1977).
5081 A. USAMI and S. I S H I H A R A , IEEE Trans. Electron. Dev., 25, 388 (1978).
5082 T. L. CHU, S. S. CHU, K. Y. D U H , and H. I. YOO, IEEE Trans. Electron. Dev.,
24, 442 (1977).
5083 T. L. CHU, Appl. Phys. Lett,, 29, 675 (1976).
5084 T. L. CHU, S. S. CHU, C. L. LIN, and R. ABDERRASOUL, / . Appl. Phys., 50,
919 (1979).
5085 K. R. SARMA, R. N. L E G G E , and R. W. G U R T H E R , / . Electron. Mater., 9, 841
(1980).
5086 D. E. CARLSON and C. R. W R O N S K I , Appl. Phys. Lett., 28, 671 (1976).
5087 D . E . CARLSON, IEEE Trans. Electron. Dev., 24, 449 (1977).
5088 D. E. CARLSON, C. R. W R O N S K I , J. I. PANKOVE, P . J. ZANZUCCHI, and
D. L. STAEBLER, RCA Rev., 38, 211 (1977).
5089 Y. HAMAKAWA, H . OKAMOTO, and Y. NITTA, Appl. Phys. Lett., 35, 187 (1979).
5090 Y. UCHIDA, H. SAKAI, N. F U R U S H O , M. N I S H I U R A , and H. H A R U K I , / .
Elcctrochem. Soc, 130, 712 (1983).
5091 S. S. CHU, T. L. CHU, F . S. ZHANG, L. BOOK, and J. M. YU, Appl. Phys. Lett.,
39, 803 (1981).
5092 S. S. CHU, T. L. CHU, and M. S. LAN, / . Appl. Phys., 50, 5805 (1979).
5093 S. S. CHU, T. L. CHU, and Y. X. HAN, / . Appl. Phys., 60, 811 (1986).
5094 R. P. GALE, J. C. C. FAN, G. W. T U R N E R , R. L. CHAPMAN, and J. V. PAN-
TANO, Appl. Phys. Lett., 44, 632 (1984).
5095 J. MIMILA-ARROYO, Y. MARFAING, G. COHEN-SOLAL, and R. T R I B O U L E T ,
Solar Energy Mater., 1, 171 (1979).
5096 Y. TAW'ADA, H. OKAMOTO, and Y. HAMAKAWA, Appl. Phys. Lett., 39, 237 (1981).
5097 K. OKUDA, H. OKAMOTO, and Y. HAMAKAWA, Jpp. J. Appl. Phys., 22, L605,
(1983).
5098 M. M. RAHMAN and S. F U R U K A W A , Electron. Lett., 20, 57 (1984).
5099 K. S. LIU, M. KONAGAI, and K. T A K A H A S H I , / . Appl. Phys., 56, 538 (1984).
5100 W. D. JOHNSTON, J R . , and W. M. CALLAHAN, Appl. Phys. Lett., 28, 150 (1976).
5101 R. D. D U P U I S , P. D. D A P K U S , R. D. Y I N G L I N G , and L. A. MOUDY, Appl.
Phys. Lett 31, 201 (1977).

671
REFERENCES

5102 A . M . SEKELA, D. L. FEUCHT, and A. G. MILNES, IEEE Trans. Electron. Dev.,


24, 373 (1977).
5103 D. K. W A G N E R and J. SHEALY, Appl. Phys. Lett., 45, 162 (1984).
5104 J. A. ARANOVICH, D. GOLMAYO, A. L.' F A H R E N B R U C H , and R. H . BUBE, / .
Appl. Phys., 5 1 , 4260 (1980).
5105 J. L. SHAY, S. WAGNER, M. B E T T I N I , K. J. BACHMANN, and E. B U E H L E R ,
IEEE Trans. Electron. Dev., 24, 483 (1977).
5106 M. B E T T I N I , K. J. BACHMANN, E. B U E H L E R , J. L. SHAY, and S. WAGNER,
/ . Appl. Phys., 48, 1603 (1977).
5107 Y. Y. MA, A. L. F A H R E N B R U C H , and R. H. BUBE, Appl. Phys. Lett., 30, 423
(1977).
5108 R. H. BUBE, F . BUCH, A. L. F A H R E N B R U C H , Y. Y. MA, and K. W. MITCHELL,
IEEE Trans. Electron. Dev., 24, 487 (1977).
5109 P. BESOMI, K. CHRISTIANSON, and B . W . W E S S E L S , Thin Solid Films, 87,
113 (1982).
5110 M. B E T T I N I and G. BRANDT, / . Appl. Phys., 50, 869 (1979).
5111 T. NAGAMOTO, M. ENDO, and Y. OMOTO, Jpn. J. Appl. Phys. 18, 1103 (1979).
5112 E. Y. WANG and R. N. L E G G E , IEEE Trans. Electron. Dev., 25, 800 (1978).
5113 J. P. SCHUNCK and A. COCHE, Appl. Phys. Lett., 35, 863 (1979).
5114 C. R. W R O N S K I , D . E . CARBON, and R. E. DANIEL, Appl. Phys. Lett., 29, 602
(1976).
5115 W. A. ANDERSON, S. M. VERNON, P. MATHE, and B. LALEVIC, Solid-State
Electron., 19, 973 (1976).
5116 C. LANZA and H J . HOVEL, IEEE Trans. Electron. Dev., 24, 392 (1977).
5117 P . VAN HALLEN, R. P. M E R T E N S , R. J. VAN O V E R S T R A E T E N , R. E. THOMAS
and J. VAN M E E R B E R G E N , IEEE Trans. Electron. Dev., 25, 507 (1798).
5118 D. L. P U L F R E Y , IEEE Trans. Electron. Dev., 25, 1308 (1978).
5119 G. C H E E K and R. M E R T E N S , Solar Cells, 1, 405 (1979/80).
5120 R. H E Z E L and R. SCHÖRNER, / . Appl. Phys., 52, 3076 (1981).
5121 W. A. ANDERSON, G. R A J E S W A R A N , V. J. RAO, and M. T H A Y E R , IEEE Elec-
tron. Dev. Lett., 2, 271 (1981); Wr. A. A N D E R S O N and G. R A J E S W A R A N , / . Appl.
Phys., 52 1597 (1981).
5122 R. J. STIRN and Y. C. M. YEN, Appl. Phys. Lett., 27, 95(1975); IEEE Trans. Electron.
Dev., 24, 476(1977).
5123 W. S. HOBSON and A . B . E L L I S , Appl. Phys. Lett., 41, 891 (1982).
5124 W. S. HOBSON, P. B. JOHNSON, A . B . E L L I S , and R. M. B I E F E L D , Appl. Phys.
Lett., 45, 150 (1984),
5125 Y. IMAMURA, S. ATAKA, Y. TAKASAKI, C. KUSANO, T. H I R A I , and F . MARU-
YAMA, Appl. Phys. Lett., 35, 349 (1979).
5126 D . H . AUSTON, P. LAVALLARD, N. SOL, and D. KAPLAN, Appl. Phys. Lett.,
36, 66 (1980).
5127 I. SHIMIZU, S. S H I R A I , and E. INOUE, / . Appl. Phys., 52, 2776 (1981).
5128 S. K A N E K O , F . OKAMURA, H. UCHIDA, M. KANAMORI, M. SAKAMOTO, T.
ITANO, U. K A J I W A R A , and T. SAITO, / . Non-Cryst. Solids. 59/60, 1227 (1983).
5129 J . C . ANDERSON, / . Vac. Sei. Technol., A4, 610 (1986).
.5130 H. KAKINUMA, S. N I S H I K A W A , T. WATANABE, and K. N I H E I , Jpn. J. Appl.
Phys., 22, L801 (1983).
5131 W. M. SCHARPLESS, Proc. IEEE, 52, 207 (1964).
5132 N . SUSA, Y. YAMAUCHI, and H . KANBE, IEEE Trans. Electron. Dew., 27, 92 (1980).

672
REFERENCES

5133 N. SUSA, Y. YAMAUCHI, H . ANDO, and H. K A N B E , IEEE Electron. Dev. Lett., 1


55 (1980).
5134 C. Y. CHEN, B. L. K A S P E R , and H. M. COX, Appl. Phys. Lett., 44, 1142 (1984).
5135 G. E. STILLMAN and C M . W O L F E , in "Semiconductors and Semimetals" (R. K.
Willardson and A. C. Beer, eds.), Vol 12, p. 291, Academic Press, New York, 1977.
5136 H . K A N B E , T. KIMURA, Y. MIZUSHIMA, and K. KAJIYAMA, IEEE Trans.
Electron. Dev., 23, 1337 (1976).
5137 H. ANDO, H. K A N B E , T. KIMURA, T. YAMAOKA, and T. KANEDA, IEEE J.
Quantum Electron., 14, 804 (1798).
5138 T. T O R I K A I , I. H I N O , H . I W A S A K I , and K. N I S H I D A , Jpn. J. Appl. Phys. Lett.,
21, L776 (1982).
5139 H. D. LAW, K. NAKANO, and L. R. TOMASETTA, Appl. Phys. Lett., 35, 180,
(1979).
5140 G. E. STILLMAN, C. M. W O L F E , A. G. FOYT, and W. T. L I N D L E Y , Appl. Phys.
Lett., 24, 8 (1974).
5141 H . ANDO, Y. YAMAUCHI,, H. NAKAGOME, N. SUSA, and H. KANBE, IEEE J.
Quantum Electron., 17, 250 (1981).
5142 N. SUSA, Y. YAMAUCHI, and H. KANBE, IEEE J. Quantum Electron., 16, 542 (1980).
5143 G. H . OLSEN and H . K R E S S E L , Electron. Lett., 15, 141 (1979).
5144 A. MARTY and J.-P. B A I L B E , L'Onde Electrique, 57, 365 (1977).
5145 R. A. MILANO, T. H. W I N D H O R N , E. R. A N D E R S O N , G. E. STILLMAN, R. D.
D U P U I S , and P. D. D A P K U S , Appl. Phys. Lett., 34, 562 (1979).
5146 R. A. MILANO, P. D. D A P K U S , and G. E. STILLMAN, IEEE Trans. Electron.
. Dev., 29, 266 (1982).
5147 H. R. Z W I C K E R , in "Optical and Infrared Detectors" (R. J. Keyes, ed.), vol. 19, p. 149,
Springer-Verlag, New York, 1977.
5148 R. U. M A R T I N E L L I and D. G. F Ü C H E R , Proc. IEEE, 62, 1339 (1974).
5149 M. B. ALLENSON, P. G. R. K I N G , M. C. ROWLAND, G. J. S T E W A R D , and C. H.
A. SYMS, / . Phys., D5, 189 (1972).
5150 W. A. G U T I E R R E Z and H. D. POMMERENIG, Appl. Phys. Lett., 22, 292 (1973).
5151 D. G. F I S H E R , R. E. ENSTROM, J. S. E S C H E R , , H. F . G O S S E N B E R G E R , and
J. R. A P P E R T , IEEE Trans. Electron. Dev., 2 1 , 641 (1974).
5152 F . R. H U G H E S , E. D. SAVOYE, and D. L. THOMAN, / . Electron. Mater., 3, 9 (1974).
5153 R. R. SAXENA, S. B. H Y D E R , P. E. G R E G O R Y , and J. S. E S C H E R , / . Electro-
chem. Soc, 127, 733 (1980); / . Cryst. Growth, 50, 481 (1980).
5154 P . E . G R E G O R Y , J. S. E S C H E R , R. R. SAXENA, and S. B. H Y D E R , Appl. Phys.
Lett., 36, 639 (1980).
5155 A. H . BOBECK, P. I. B O N Y H A R D , and J. E. GEUSIC, Proc. IEEE, 63, 1176(1975).
5156 M. S. COHEN and H. CHANG, Proc. IEEE, 63, 1196 (1975).
5157 R. F . B A I L E Y and J. E. YPMA, Solid State Technol., 19 (9), 74 (1976).
5158 H . L E S S O F F and D. C. W E B B , Thin Solid Films, 39, 185 (1976).
5159 J . H . COLLINS and F . A. PIZZARELLO, Int. J. Electron., 34, 319 (1973).
5160 D. A. GANDOLFO, RCA Engineer, 15, 54 (1969).
5161 P . H. CARR, IEEE Trans. Microwave Theory Tech., 17, 845 (1969).
5162 E. STERN, IEEE Trans. Microwave Theory Tech., 17, 835 (1969).
5163 R. M. W H I T E , Proc. IEEE, 58, 1238 (1970).
5164 M. G. H O L L A N D and L. T. CLAIBORNE, Proc. IEEE, 62, 582 (1974).
5165 M. T. D U F F Y , ref. [44], Ch. 4, p . 150.

673
REFERENCES

5166 J . I . PANKOVE, ed., "Display Devices", Springer, New York, 1980.


5167 L. D. GROSSMAN and J. A. B A K E R , ref. [57], p. 18.
5168 J. H . MATLOCK, ref. [57], p . 32.
5169 W. D I E T Z E , L. P. H U N T , and D. H. SAWYER, / . Electrochem. Soc, 121, 1112 (1974).
5170 R. C. TAYLOR and V. SADAGOPAN, / . Electrochem. Soc, 119, 788 (1972).
5171 M. J. BOWDEN, / . Electrochem. Soc, 128, 195C (1981); T. G. O'NEILL, Semicond,
Int., 4 (8), 81 (1981); P. H. SINGER, Semicond. Int., 5 (9) 79 (1982).
5172 C. A. D E C K E R T and D. L. ROSS, / . Electrochem. Soc, 127, 45C (1980).
5173 M. V. SULLIVAN, / . Electrochem. Soc, 120, 545 (1973).
5174 G. M. KAMMLOT and W. R. SINCLAIR, / . Electrochem. Soc, 121, 929 (1974).
5175 L. BEN-DOR, / . Electrochem. Soc, 124, 451 (1977).
5176 G. E. McGUIRE, T. D. METZGAR, R. V. SHAH, and R. C. BRACKEN, J. Electro-
chem. Soc, 126, 1075 (1979).
5177 M. SEKIMOTO, H. YOSHIHARA, T. OHKUBO, and Y. SAITOH, Jpn. J. AppL
Phys., 20, L669 (1981).
5178 M. SEKIMOTO, Jpn. J. Appl. Phys., 20, 2259 (1981).
5179 T. EBATA, M. SEKIMOTO, T. ONO, K. SUZUKI, J. MATSUI, and S. NAKAYAMA,
jpn. J. Appl. Phys., 21, 762 (1982).
5180 S. S. DANA and J. R. MALDONADO, / . Vac. Sei. Technol., B4, 235 (1986).
5181 M. YAMADA, J. TAMANO, K. YONEDA, S. MORITA, and S. H A T T O R I , Jpn. J.
Appl. Phys., 2 1 , 768 (1982).
5182 J. L. BARTELT, Solid State Technol., 29, (5), 215 (1986).
5183 J. LYMAN, Electronics, 49 (15), 92 (1976).
5184 T. S. LIU, W. R. R O D R I G U E S De MIRANDA, and P. R. Z I P P E R L I N , Solid State
Technol., 23 (3), 71 (1980).
5185 B. E. MUSCH and R. B. TAGGART, Hewlett-Packard J., 28 (3), 9 (1976).
5186 M. AZMOON, J. H. B O H O R Q U E Z , and R. A. W A R P , Hewlett-Packard J., 29 (13),
24 (1978).
5187 C. C. LQ and R. W. K E I L , Hewlett-Packard J., 31 (7), 22 (1980).
5188 J. C. E R S K I N E , IEEE Trans. Electron. Dev., 30, 796 (1983).
5189 J. M. J A F F E , Electron. Lett., 10, 420 (1974).
5190 J. Y. W. SETO, / . Appl. Phys., 47, 4780 (1976).
5191 R. T. HOWE and R. S. MÜLLER, / . Electrochem. Soc, 130, 1420 (1983)
5192 Y. ONUMA and K. SEKIYA, Jpn. J. Appl. Phys., 11, 20 (1972).
5193 K. DOBOS, M. ARMGARTH, G. ZIMMER, and I. LUNDSTRÖM, IEEE Trans.
Electron. Dev., 3 1 , 508 (1984).
5194 S.-Y. CHOI, K. TAKAHASHI, and T. MATSUO, IEEE Electron. Dev. Lett., 5, 14 (1984).
5195 C. NYLANDER, M. ARMGARTH, and C. SVENSSON, / . Appl. Phys., 56, 1177
(1984).
5196 R. M. COHEN, R. J. H U B E R , J. JANATA, R. W. U R E , JR., and S. D. MOSS,
Thin Solid Films, 53, 169 (1978); T. AKIYAMA, Y. U J I H I R A , Y. OKABE, T.
SUGANO, and E. N I K I , IEEE Trans. Electron. Dev., 29, 1936 (1982).
5197 L. BOUSSE, N. F . De R O O I J , and P. B E R G V E L D , IEEE Trans. Electron. Dev., 30,
1263 (1983).
5198 D . M . MATTOX, / . Vac Sei. Technol., 12, 1023 (1975).
5199 R. E. H A H N and B. O. S E R A P H I N , Phys. Thin Films, 10, 1 (1978).
5200 C M . LAMPERT, Solar Energy Mater., 1, 319 (1979); 2, 1 (1979).
5201 D . M . MATTOX, / . Vac .Sei. Technol., 17, 370 (1980).
5202 J . C . H A Y G A R T H , Thin Solid Films, 72, 51 (1980).

674
REFERENCES

5203 O. P. A G N I H O T R I and B. K. GUPTA, "Solar Selective Surfaces", Wiley, New York, 1981.
5204 D . M . MATTOX and R. R. SOWELL, / . Phys. (Paris), Colloq. C l , 42, 19 (1981).
5205 B. O. S E R A P H I N , Thin Solid Films, 90, 395 (1982).
5206 D. D. ALLRED, M. J. JACOBSON, and E. E. CHAIN, Solar Energy Mater., 12,
87 (1985).
5207 E. E R B E N , R. B E R T I N G E R , A. MÜHLRATZER, B. T I H A N Y I , and B. CORNILS
Solar Energy Mater., 12, 239 (1985).
5208 G. E. CARVER, H. S. GUREV, and B. O. S E R A P H I N , / . Electrochem. Soc, 125,
1138 (1978); G. E. CARVER, and B. O. S E R A P H I N , Appl. Phys. Lett., 34, 279,
(1979); G. E. CARVER, Solar Energy Mater., 1, 357 (1979); Thin Solid Films, 63,
169 (1979).
5209 K. A. GESHEVA, E. E. CHAIN, and B. O. S E R A P H I N , Solar Energy Mater., 3,
415 (1980).
5210 E. E. CHAIN, G. E. CARVER, and B. O. S E R A P H I N , Thin Solid Films, 72, 59,
(1980).
5211 E. E. CHAIN, K. SESHAN, and B. O. S E R A P H I N , / . Appl. Phys., 52, 1356 (1981).
5212 K. A. GESHEVA, K. SESHAN and B. O. S E R A P H I N , Thin Solid Films, 79, 39
(1981).
5213 E. E. CHAIN, K. A. GESHEVA and B. O. S E R A P H I N , Thin Solid Films, 83, 387
(1981).
5214 D. C. BOOTH, D . D . ALLRED, and B. O. S E R A P H I N , Solar Energy Mater., 2,
107 (1980).
5215 N. OKUYAMA, K. SAJI, T. ADACHI, H. OKAMOTO, and Y. HAMAKAWA, Solar
Energy Mater., 3, 405 (1980).
5216 B. O. S E R A P H I N , Thin Solid Films, 39, 87 (L976); 57, 293 (1979).
5217 C M . LAMPERT, Solar Energy Mater. 6, 1 (1981).
5218 J. E. SUNDGREN and H. T. G. H E N T Z E L L , / . Vac. Sei. Technol., A4, 2259 (1986).
5219 R. F U N K , H . SCHANCHNER, C. T R I Q U E T , M. KORNMANN, and B. LUX, / .
Electrochem. Soc, 123, 285 (1976).
5220 V. K. SARIN and J. N. LINDSTROM, / . Electrochem. Soc, 126, 1281 (1979); R. C.
ROSSI and K. K. SCHUEGRAF, Semicond. Int., 4 (10), 99 (1981).
5221 P. J. S E V E R I N and H. LYDTIN, / . Electrochem. Soc, 124, 140 (1977).
5222 P. J. S E V E R I N , / . Cryst. Growth, 46, 630 (1979).
5223 J. H. K E N N E D Y , Thin Solid Films, 43, 41 (1977).
5224 N. HOLONYAK, J R . , and K. HESS,' in "Synthetic Modulated Structures" (L. L. Chang
and B. C. Giessen. eds.), p. 257, Academic Press, Orlando, FL, 1985.
5225 R. D. D U P U I S , L. A. MOUDY, and P. D. DAPKUS, ref. [75], p . 1.
5226 J. J. COLEMAN, P. D. DAPKUS, D. E. THOMPSON, and R. D. CLARKE, / . Cryst.
Growth, 55, 207 (1981).
5227 R. D. D U P U I S , P. D. DAPKUS, N. HOLONYAK, JR., E. A. R E Z E K , and R. CHIN,
Appl. Phys. Lett., 32, 295 (1978).
5228 N. HOLONYAK, JR., R. M. KOLBAS, R. D. D U P U I S , and P. D. DAPKUS, Appl.
Phys. Lett., 33, 73 (1978).
5229 N. HOLONYAK, JR., R. M. KOLBAS, W. D. LAIDIG, B. A. VOJAK, R. D. DU-
P U I S , and P . D . DAPKUS, Appl. Phys. Lett., 33, 737 (1978).
5230 N. HOLONYAK, JR., R. M. KOLBAS, E. A. R E Z E K , R. CHIN, R. D. D U P U I S ,
and P . D . D A P K U S , / . Appl. Phys., 49, 5392 (1978).
5231 R. D. D U P U I S , P. D. DAPKUS, R. M. KOLBAS, N. HOLONYAK, JR., and H. S H I -
CHI JO, Appl. Phys. Lett., 33, 596 (1978).

675
REFERENCES

5232 N. HOLONYAK, JR., B. A. VOJAK, and R. M. KOLBAS, Solid-State Electron., 2 2 ,


431 (1979).
5233 N. HOLONYAK, JR., R. M. KOLBAS, W. D. LAIDING, M. ALTARELLI, R. D.
D U P U I S , and P. D. DAPKUS, Appl. Phys. Lett., 34, 502 (1979).
5234 B. A. VOJAK, S. W. K I C H O E F E R , N. HOLONYAK, JR., R. CHIN, R. D. D U P U I S ,
and P . D . DAPKUS, / . Appl. Phys. 50, 5830 (1979).
5235 B. A. VOJAK, N. HOLONYAK, JR., R. CHIN., E. A. R E Z E K , R. D. D U P U I S , and
P. D. DAPKUS, / . Appl. Phys . 50, 5835 (1979).
5236 R. D. D U P U I S , P. D. DAPKUS, C. M. G A R N E R , C. Y. SU, and W. E. SPICER,
Appl. Phys. Lett., 34, 335 (1979).
5237 R. D. D U P U I S , P. D. DAPKUS, N. HOLONYAK, JR., and R. M. KOLBAS, Appl.
Phys. Lett., 35, 478 (1979).
5238 R. D. D U P U I S , P. D. DAPKUS, R. M. KOLBAS, and N. HOLONYAK, JR., IEEE
J. Quantum Electron., 15, 756 (1979).
5239 B. Z. KAPLAN, Electron. Lett., 15, 156 (1979).
5240 E. J. T H R U S H and J. E. A. W H I T E AWAY, Electron. Lett., 15, 666 (1979).
5241 R. CHIN, N. HOLONYAK, J R . , B. A. V O J A K , K . H E S S , R. D. D U P U I S , and
P . D . DAPKUS, Appl. Phys. Lett., 36, 19 (1980).
5242 R. D. BURNHAM, D. R. S C I F R E S , and W. S T R E I F E R , Electron. Lett., 18, 407
(1982).
5243 E. R. ANDERSON, B. A. VOJAK, N. HOLONYAK, J R . G. E. STILLMAN, J. J.
COLEMAN, and P . D . DAPKUS, Appl. Phys. Lett., 38, 585 (1931).
5244 D. R. SCIFRES, R. D. BURNHAM, and W. S T R E I F E R , Appl. Phys. Lett., 41,
118 (1982).
5245 D. R. S C I F R E S , R. D. BURNHAM, M. B E R N S T E I N , H. CHUNG, F . ENDICOTT,
W. MOSBY, J. TRAMONTANA, J. W A L K E R , and R. D. YINGLING, Appl. Phys.
Lett., 41, 501 (1982).
5246 D. HALIDO, C. LINDSTROM, and D. R. SCIFRES, Electron. Lett., 18, 765 (1982).
5247 S. D. H E R S E E , M. BALDY, P. ASSENAT, B. DeCREMOUX, and J. P. DUCHEMIN,
Electron. Lett., 18, 870 (1982).
5248 P . M . F R I J L I N K and J. MALUENDA, Jpn. J. Appl. Phys., P t . 2, 21, L574 (1982).
5249 R. D. BURNHAM, W. S T R E I F E R , D. R. S C I F R E S , N. HOLONYAK, JR., K.
H E S S , and M. D. CAMRAS, / . Appl. Phys., 54, 2618 (1983).
5250 C. LINDSTROM, T. L. PAOLI, R. D. BURNHAM, D. R. SCIFRES, and W. STREI-
F E R , Appl. Phys. Lett., 43, 278 (1983).
5251 C. LINDSTROM, R. D. BURNHAM, D. R. SCIFRES, T. PAOLI, and W. S T R E I F E R ,
Electron. Lett., 19, 80 (1983).
5252 C. LINDSTROM, R. D. BURNHAM, T. L. PAOLI, W. S T R E I F E R , and D. R. SCI-
F R E S , Electron. Lett., 19, 104 (1983).
5253 M. D. CAMRAS, N. HOLONYAK JR., R. D. BURNHAM, W. S T R E I F E R , D. R.
SCIFRES, T. L. PAOLI, and C. LINDSTROM, / . Appl. Phys., 54, 5637 (1983).
5254 M. D. CAMRAS, N. HOLONYAK, JR., M. A. NIXON, R. D. B U R N H A M , W. S T R E I -
F E R , D. R. SCIFRES, T. L. PAOLI, and C. LINDSTROM, Appl. Phys. Lett., 42,
761 (1983).
5255 J. E. E P L E R , N. HOLONYAK JR., J. M. BROWN, R. D. BURNHAM, W. S T R E I -
F E R , and T. L. PAOLI, / . Appl. Phys., 56, 670 (1984).
5256 C. S. HONG, D. KASEMSET, M. E. KIM, and R. A. MILANO, Electron. Lett, 20,
733 (1984).

676
REFERENCES

5257 J. E. E P L E R , G. S. JACKSON, N. HOLONYAK, JR., R. L. THORNTON, R. D.


B U R N H A M , and T. L. PAOLI, Appl. Phys. Lett, 47, 779 (1985).
5258 J. E. E P L E R , N. HOLONYAK, JR., R. D. BURNHAM, T. L. PAOLI, and W. S T R E I -
F E R , Appl. Phys. Lett., 45, 406 (1984); / . Appl. Phys. 57, 1489 (1985).
5259 J. E. E P L E R , N. HOLONYAK, JR., R. D. BURNHAM, T. L. PAOLI, R. L. T H O R N -
TON, and M. A. BLOUKE, Appl. Phys. Lett., 47, 7 (1985).
5260 P . GAVRILOVIC, K. MEEHAN, L. J. GUIDO, N. HOLONYAK, JR., V. EU, M.
F E N G , and R. D. BURNHAM, Appl. Phys. Lett., 47, 903* (1985).
5Z61 K. M E E H A N , P. GAVRILOVIC, J. E. E P L E R , K. C. H S I E H , N. HOLONYAK, JR.,
R. D. BURNHAM, R. L. THORNTON, and W. S T R E I F E R , / . Appl. Phys., 57,
5345 (1985).
5262 P . GAVRILOVIC, K. MEEHAN, J. E. E P L E R , N. HOLONYAK, JR., R. D. BURN-
HAM, R. L. THORNTON and W. S T R E I F E R , Appl. Phys. Lett., 46, 857 (1985).
5263 L. J. MAWST, M. E. GIVENS, M. A. EMANUEL, C. A. ZMUDZINSKI, and J. J.
COLEMAN, / . Appl. Phys., 60, 2633 (1986); L. J. MAWST, G. COSTRINI, M. A.
EMANUEL, M. E. GIVENS, C. A. ZMUDZINSKI, and J. J. COLEMAN, Semicond.
'Int., 9 (11), 61 (1986).
5264 G. S. JACKSON, D. G. D E P P E , K. C. H S I E H , N. HOLONYAK, JR., D. C. HALL·,
R. D. BURNHAM, R. L. THORNTON, and T. L. PAOLI, Appl. Phys. Lett., 48, 1156.
(1986).
5265 R. L. THORNTON, D. F . WELCH, R. D. BURNHAM, T. L. PAOLI, and P. S.
CROSS, Appl. Phys. Lett., 49, 1572 (1986).
5266 R. D. BURNHAM, N. HOLONYAK, JR., K. C. H S I E H , R. W. K A L I N S K i , D . W
NAM, and R. L. THORNTON, Appl. Phys. Lett., 48, 800 (1986).
5267 D. G. D E P P E , L. J. GUIDO, N. HOLONYAK, JR., K. C. H S I E H , R. D. BURNHAM,
R. L. T H O R N T O N and T. L. P A O L I , Appl. Phys. Lett., 49, 510 (1986).
5268 L. AINA, M. MATTINGLY and K. PANDE, Appl. Phys. Lett., 49, 865, (1986).
5269 C. F . SCHAUS, J. R. SHEALY, L. F . EASTMAN, B. C. COOMAN, and C. B. CAR-
T E R , J. Appl. Phys., 59, 678 (1986).
5270 R. D. BURNHAM, D. R. SCIFRES, and W. S T R E I F E R , Appl. Phys. Lett., 41, 228,
(1982).
5271 T. L. PAOLI, R. L. THORNTON, R. D. BURNHAM, and D. L. SMITH, Appl. Phys.
Lett., 47, 450 (1985).
5272 H. TAKAKAWA, K. TANAKA, Y. MORI, M. ARAI, Y. KATO, and S. WATANABE,
IEEE Trans. Electron. Dcv., 33, 595 (1986).
5273 M. KAMADA, T. SUZUKI, F . NAKAMURA, Y. MORI, and M. ARAI, Appl. Phys.
Lett., 49, 1263 (1986).
5274 S. RAY, P. R U D E N , V. SOKOLOV, R. KOLBAS, T. BOONSTRA, and J. W I L -
LIAMS, Appl. Phys. Lett., 48, 1666 (1986).
5275 D. F . WELCH, P . S. CROSS, D. R. SCIFRES, W. S T R E I F E R , and R. D. BURN-
HAM, Appl. Phys. Lett., 48, 1716 (1986).
5276 R. W. K A L I N S K I , N. HOLONYAK, JR., K. C. H S I E H , D . W . NAM, R. D. BURN-
HAM, J. E. E P L E R , R. L. THORNTON, and T. L. PAOLI, Appl. Phys. Lett., 49,
1390 (1986).
5277 J. J. COLEMAN, P. D. DAPKUS, N. HOLONYAK, JR., and W. D. L A I D I G , Appl.
Phys. Lett., 38, 894 (1981); W. D. LAIDIG, N. HOLONYAK, JR., J. J. COLEMAN,
and P . D . DAPKUS, / . Electron. Mater., 11, 1 (1982).
5278 F . SCHOLTZ, P. WIEDEMANN, K. W. BENZ, G. T R Ä N K L E , E. LACH, A. F O R -
CHEL, G. LAUBE, and J. WEIDI^EIN, Appl. Phys. Lett., 48, 911 (1986).

677
REFERENCES

5279 M. R A Z E G H I and J. P. DUCHEMIN, / . Cryst. Growth, 70, 145 (1984).


5280 R. H.. MOSS and P . C . S P U R D E N S , Electron. Lett., 20, 978 (1984).
5281 D. A. ANDERSON, S. J. BASS, M. J. K A N E , and L. L. TAYLOR, AppL Phys. Lett.,
49, 1360 (1986).
5282 M. J. KANE, D. A. ANDERSON, L. L. TAYLOR, and S. J. BASS, / . AppL Phys.,
60, 657 (1986).
5283 B. L. M I L L E R , E. F . SCHUBERT, U. K O R E N , A. OURMAZD, A. H . DAYEM, and
R. J. CAPIK, AppL Phys. Lett., 49, 1384 (1986).
5284 M. R A Z E G H I , P. L. M E U N I E R , and P. MAUREL, / . AppL Phys., 59, 2261 (1986).
5285 S. J. BASS and M. L. YOUNG, / . Cryst. Growth, 68, 311 (1984).
5286 A. W. NELSON, R. H. MOSS, J. C. REGNAULT, P. C. S P U R D E N S , and S. WONG,
Electron. Lett., 21, 331 (1985).
5287 C. P. KUO, K. L. F R Y , and G. B. S T R I N G F E L L O W , AppL Phys. Lett., 47, 855
(1985).
5288 M. S. SKOLNICK, P. R. T A P S T E R , S. J. BASS, N. A P S L E Y , A. D. P I T T , N.G.
CHEW, A. G. CULLIS, S. P. A L D R E D , and C. A. WARWICK, AppL Phys. Lett.,
48, 1455 (1986).
5289 M. TAKIKAWA, J. KOMENO, and M. OZEKI, AppL Phys. Lett., 43, 280 (1983).
5290 J. KOMENO, M. TAKIKAWA, and M. OZEKI, Electron. Lett., 19, 473 (1983).
5291 K. KODAMA, M. OZEKI, and J. KOMENO, / . Vac. Sei. TechnoL, B l , 696 (1983);
Electron. Lett., 20, 48 (1984).
5292 K. KODAMA, J. KOMENO, and M. OZEKI, Electron. Lett., 20, 42 (1984).
5293 U. K O R E N , B. I. MILLER, T. L. KOCH, G. D. BOYD, R. J. CAPIK, and C. E.
SOCCOLICH, AppL Phys. Lett., 49, 1602 (1986).
5294 M. A. D i G I U S E P P E , H. TEMKIN, L. PETICOLAS, and W. A. BONNER, AppL
Phys. Lett., 43, 906 (1983).
5295 T. YANASE, Y. KATO, I. MITO, M. YAMAGUCHI, K. N I S H I , K. KOBAYASHI,
and R. LANG, Electron. Lett., 19, 700 (1983).
5296 P. ROENTGEN, K.-H. GOETZ, and H. B E N E K I N G , / . AppL Phys., 58, 1696 (19S5).
5297 J. J. COLEMAN, G. COSTRINI, S. J. J E N G , and C. M. WAYMAN, / . AppL Phys.,
59, 428 (1976).
5298 M. K E E V E R , K. H E S S , and M. J. LUDOWISE, IEEE Electron. Dtv. Lett., 3, 297
(1982).
5299 N. HOLONYAK, JR., W. D. LAIDIG, M. D. CAMRAS, J. J. COLEMAN, and P. D.
DAPKUS, AppL Phys. Lett., 39, 102 (1981).
5300 J. J. COLEMAN, P. D. DAPKUS, W. D. LAIDIG, B. A. VOJAK, and N. H O L O -
NYAK, JR., AppL Phys. Lett., 38, 63 (1981).
5301 A. I S H I B A S H I , Y. MORI, M. ITABASHI, and N. WATANABE, / . AppL Phys.,
58, 2691 (1985).
5302 A. I S H I B A S H I , Y. MORI, F. NAKAMURA, and N. WATANABE, / . AppL Phys.,
59, 2503 (1986).
5303 K. TANAKA, M. OGAWA, K. TOGASHI, H. TAKAKAWA, H. O H K E , M. KANA-
ZAWA, Y. KATO, and S. WATANABE, IEEE Trans. Electron. Dev., 33, 2053 (1986).
5304 R. J. M. G R I F F I T H S , N. G. CHEW, A. G. CULLIS, and G. C. JOYCE, Electron. Lett.,
19, 988 (1983).
5305 J. M. BROWN, N. HOLONYAK, JR., M. J. LUDOWISE, W. T. D I E T Z E , and C. R.
L E W I S , Electron. Lett., 20, 204 (1984).
5306 J. R. SHEALY, AppL Phys. Lett., 48, 925 (1986).

678
REFERENCES

5307 J. E. E P L E R , R. D. BURNHAM, R. L. THORNTON, T. L. PAOLI, and M. C. BAS-


HAW, Appl. Phys. Lett., 49, 1447 (1986).
5308 M. J. LUDOWISE, W. T. D I E T Z E , C. R. L E W I S , M. D. CAMRAS, N. HOLONYAK,
JR., B. K. F U L L E R , and M. A. NIXON, Appl. Phys. Lett., 42, 487 (1983).
5309 P. GAVRILOVIC, K. MEEHAN, N. HOLONYAK, JR., K. H E S S , W. P. ZURAW-
SKY, H . G. DRICKAMER, M. J. L U D O W I S E , W. T. D I E T Z E , and C. R. L E W I S ,
, Solid State Commun., 45, 803 (1983).
5310 A. P. ROTH, M. SACILOTTI, R. A. MASUT, P . J. D'ARCY, B . WATT, G. I .
SPROULE, and D. F . MITCHELL, Appl. Phys. Lett., 48, 1452 (1986).
5311 M. VOOS, / . Vac. Sei. Technol., B l , 404 (1983).
5312 M. R A Z E G H I , M. A. POISSON, M. A. LARIVAIN, and J. P . DUCHEMIN, / .
Electron. Mater., 12, 371 (1983).
5313 V. D. MATTERA, JR., F . CAPASSO, J. ALLAM, A. L. HUTCHINSON, J. DICK,
J. M. BROWN, and A. W E S T P H A L , / . Appl. Phys., 60, 2609 (1986).
5314 P . L. GOURLEY, R. M. B I E F E L D , and T. E. Z I P P E R I A N , Appl. Phys. Lett., 49,
242 (1986).
5315 G. C. OSBOURN, R. M. B I E F E L D , and P. L. GOURLEY, Appl. Phys. Lett., 4 1 ,
172 (1982).
5316 A. E. B L A K E S L E E , A. K I B B L E R , M. W. WANLASS and R. M. B I E F E L D , / .
Appl. Phys. 60, 1206 (1986).
5317 G. C. OSBOURN, R. M. B I E F E L D , and P. L. GOURLEY, Appl. Phys. Lett., 4 1 ,
172 (1982).
5318 D. R. M Y E R S , S. T. PICRAUX, B . L. DOYLE, G. W . ARNOLD, and R. M. B I E -
F E L D , / . Appl. Phys., 60, 3631 (1986).
5319 D. R. M Y E R S , R. M. B I E F E L D , P . L. GOURLEY, J. J. WICZER, T. E. Z I P P E -
RIAN, I. J. F R I T Z , C. E. B A R N E S , and G. C. OSBOURN, / . Appl. Phys., 60,
3641 (1986).
5320 P. B. JOHNSON, A. B. E L L I S , R. M. B I E F E L D , and D. S. GINLEY, Appl. Phys.
Lett., 47, 877 (1985).
5321 S. M. BEDAIR, M. A. R I S C H L E R , and T. KATSUYAMA, Appl. Phys. Lett., 48,
30 (1986).
5322 T. SOGA, S. H A T T O R I , S. SAKAI, and M. TAKEYASU, Electron. Lett., 20, 916
(1984).
5323 N. K O B A Y A S H I and T. F U K U I , / . Cryst. Growth, 67, 513 (1984).
5324 S. M. B E D A I R , T. KATSUYAMA, P . K. CHIANG, N. A. EL-MASRY, M. A.
TISCHLER, and M. TIMMONS, / . Cryst. Growth, 68, 477 (1984); S. M. B E D A I R , T.
KATSUYAMA, M. TIMMONS, and M. A. T I S C H L E R , IEEE Electron. Dev., Lett., 5,
45 (1984).
5325 R. M. B I E F E L D , / . Electron. Mater., 15, 193 (1986).
5326 M. D. CAMRAS, J. M. BROWN, N. HOLONYAK, JR., M.A. N I X O N , R. W . KA-
L I N S K I , M. J. LUDOWISE, W. T. D I E T Z E , and C. R. L E W I S , / . Appl. Phys., 54,
6183 (1983).
5327 T. F U K U I and Y. H O R I K O S H I , Jpn. J. Appl. Phys., 19, L551 (1980).
5328 T. YOKOGAWA, M. OGURA, and T. K A J I W A R A , Appl. Phys. Lett., 49, 1702 (1986).
5329 S. F U J I T A , Y. MATSUDA, and A. SASAKI, Appl. Phys. Lett., 47, 955 (1985).
5330 B. A B E L E S and T. T I E D J E , Phys. Rev. Lett., 5 1 , 2003 (1983).
5331 M. H I R O S E and S. MIYAZAKI, / . Non-Cry st. Solids, 66, 327 (1984).
5332 M. H U N D H A U S E N , L. L E Y , and R. CARUIS, Phys. Rev. Lett., 53, 1598 (1984).
5333 J. KAKALIOS and H . F R I T Z S C H E , Phys. Rev. Lett., 53, 1602 (1984).

679
REFERENCES

5334 B. ABELES, L. YANG, P. D. PERSANS, H. S. STASIEWSKI, and W. LANFORD,


Appl. Phys. Lett, 48, 168 (1986).
5335 C. R. WRONSKI, P. D. PERSANS, and B. ABELES, Appl. Phys. Lett., 49, 569 (1986).
5336 S. NISHIKAWA, H. KAKIMURA, H. FUKUDA, T. WATANABE, and K. NIHEI,
/ . Non.-Cryst. Solids, 77/78, 1077 (1985).
5337 A. DOI, Y. AOYAGI, and S. NAMBA, Appl. Phys. Lett., 48, 785, 1787 (1986).
5338 K. A. JONES, Solid State Technol., 28 (10), 151 (1985).
5339 C. H. L. GOODMAN and M. V. PESSA, / . Appl. Phys., 60, R65 (1986).
5340 J. NISHIZAWA, H. ABE, and T. KURABAYASHI, / . Electrochem. Soc, 132, 1197
(1985).
5341 M. A. TISCHLER and S. M. BEDAIR, Appl. Phys. Lett., 48, 168 (1986).
3342 S. M. BEDAIR, M. A. TISCHLER, T. KATSUYAMA, and N. A. EL-MASRY, Appl.
Phys. Lett., 47, 51 (1985).
5343 N. KOBAYASHI and T. FUKUI, Electron. Lett., 20, 887 (1984).
5344 M. RAZEGHI, in "Technology of Chemicals and Materials for Electronics" (E. R. Howell,
ed.), Ch. 12, p. 193, Ellis Horwood, Chicester, 1984.
5345 M. HOSHINO, K. KODAMA, K. KITAHARA, J. KOMENO, and M. OZEKI, Appl.
Phys. Lett., 48, 983 (1986); M. HOSHINO, K. KODAMA, K. KITAHARA, and M.
OZEKI, Appl. Phys. Lett., 48, 770 (1986).
5346 Y. TAKANASHI and N. KOBAYASHI, IEEE Electon. Dev. Lett., 6, 154 (1985).
5347 M. RAZEGHI, P. MAUREL, F. OMNES, S. BEN ARMOR, L. DMOWSKI and J. C.
PORTAL, Appl. Phys. Lett., 48, 1267 (1986).
5348 Y. GULDNER. J. P. VIEREN, P. VOISIN. M. RAZEGHI, and M. A. Di FORTE-
POISSON, Appl. Thys. Lett., 40, 977 (1982).
5349 L. D. ZHU, P. E. SULEWSKI, K. T. CHAN, K. MURO, J. M. BALLANTYNE, and
A. J. SIEVERS, / . Appl. Phys., 58, 3145 (1985).
5350 M. RAZEGHI, P. MAUREL, A. TARDELLA, L. DMOWSKI, D. GAUTHIER, and
J. C. PORTAL, / . Appl. Phys., 60, 2453 (1986).
5351 M. RAZEGHI, J. P. DUCHEMIN, and J. C. PORTAL, Appl. Phys. Lett., 46, 46
(1984).
5352 N. G. EINSPRUCH, ed., "VLSI Handbook", Academic Press, New York, 1985.
5353 N. G. EINSPRUCH, ed., "VLSI Electronics — Micro structure Science", Academic Press,
New York, Vols. 1 & 2 (1981), Vols. 3, 4 & 5 (1982), Vols. 6 & 7 (1983); Vol. 8 (1984), Vols,
9, 10, 11 & 12 (1985), Vols. 13& 14 (1986).
5354 N. G. EINSPRUCH and G. B. LARRABEE, eds., "Materials and Process Characteri-
zation", ref. [5353], Vol. 6, 1983.
5355 N. G. EINSPRUCH and D. M. BROWN, eds., "Plasma Processing for VLSI", ref.
[5353], Vol. 8, 1984.
5356 N. G EINSPRUCH and W. R. WISSEMAN, eds., "GaAs Microelectronics", ref. [5353],
Vol.11, 1985.
5357 N. . G. EINSPRUCH and H. HUFF, eds., "Silicon Materials", ref. [5353], Vol. 12, 1985.
5358 D. KAHNG, ed., "Silicon Integrated Circuits", Academic Press, New York, Part A
(1981), Part B (1981), Part C (1985).
5359 S. K. GHANDHI, "VLSI Fabrication Principles: Silicon and Gallium Arsenide", Wiley,
New York, 1983.
5360 D. F. BARBE, ed., " Very Large Scale Integration (VLSI) Fundamentals and Applications",
Springer, New York, 1982.
5361 Y. TARUI, ed., "VLSI Technology — Fundamentals and Applications", Springer, New
York, 1986.

680
REFERENCES

5362 J. NISHIZAWA, ed., "Semiconductor Technologies", in "JAREC", North-Holland,


Amsterdam, Vol. 1 (1981), Vol. 8 (1983), Vol. 13 (1984), Vol. 19 (1986).
5363 J. V. McCANNY and J. C. WHITE, "VLSI Technology and Design", Academic Press,
New York, 1987.
5364 S. M. SZE, Jpn. J. Appl. Phys., Suppl. 22-1, 3 (1980); Proc. IEEE, 69, 1121 (1981);
J. D. MEINDL, IEEE Trans. Electron. Dev., 31, 1555 (1981).
5365 F. N. SCHWETTMAN and J. L. MOLL, Hewlett-Packard J., 33 (8), 3 (1982).
5366 R. J. ROBINSON, Semicond. Int., 2 (2), 27 (1979); J. M. SALZER, Semicond. Int.,
10 (1), 44 (1987); B.C. RHINE, Solid State Technol., 32 (5) 129(1989).
5367 D. L. TOLLIVER, ed., "Handbook of Contamination Control in Microelectronics:
Trinciples, Applications, and Technology", Noyes, Park Ridge, N J , 1988.
5368 G. E. M c G U I R E , ed., "Semiconductor Materials and Process Technology Handbook —
for Very Large Scale Integration (VLSI) and Ultra Large Scale Integration (ULSI)",
Noyes, Park Ridge, NJ, 1988.
5369 A. SHERMAN, "Chemical Vapour Deposition for Microelectronics — Principles, Techno-
logy, and Applications", Noyes, Park Ridge, NJ, 1987.
5370 F. JANSEN and J. MORT, eds., "Plasma Deposited Thin Films", CRC Press,
New York, NY, 1986.
Index of Acronyms and Abbreviations

A E S — Auger electron spectroscopy EBCVD — electron beam chemical vapour


A1BSG — aluminoborosilicate glass deposition
ALE — atomic-layer epitaxy ECR — electron cyclotron resonance
A1PSG — aluminophosphosilicate glass E D — electrodeposition
A1SG — aluminosilicate glass E L D — electroless deposition
APD — avalanche photodiode ELO — epitaxial lateral overgrowth
AR — anti-reflection EPMA — electron probe microanalysis
AsSG — arsenosilicate glass EPROM — erasable programable read-only
memory
BABSG — barium aluminium borosilicate ESCA — electron spectroscopy for chemical
glass analysis
B H F - buffered H F E S F I — epitaxial silicon films on insula-
BOX — buried oxide tors
B P S G — borophosphosilicate glass E S R — electron spin resonance
BSG — borosilicate glass
FEM — field electron (or emission) micros-
'CAIBE — chemically assisted ion beam copy
etching F E T — field effect transistor
C A R I S — constant-angle reflection interfe- FIM — field ion microscopy
rence spectrum F I P O S — full isolation by porous oxide si-
C A R S — coherent anti-Stokes Raman spec- licon
troscopy F T I R — Fourier-transform infrared spec-
C B E — chemical-beam epitaxy trometry
CCD — charge-coupled device
C-MOS — complementary metal-oxide-semi-
conductor GBSG — germanoborosilicate glass
CS(C)VT — close-spaced (chemical) vapour GDMS — glow-discharge mass spectrometry
transport GDOS — glow-discharge optical spectros-
CT(C)VT — closed-tube (chemical) vapour copy
transport GGG — gadolinium gallium garnet
CT(C)VT — closed-tube (chemical) vapour
transport HEMT — high electron mobility transistor
CVD — chemical vapour deposition HOMO-CVD — homogenous chemical vapour
CVT — chemical vapour transport deposition
CW — continuous wave HTO — high-temperature oxide
H W E — hot-wall epitaxy
DBTDA — dibutyl tin diacetate
DCS — dichlorosilane I 2 — ion implantation
DCTFELD — direct-current, thin film elec- I B E — ion beam etching
troluminescent device IC — integrated circuit
2 D E G — two-dimensional electron gas IE — ion etching
D E S — diethylselenide I G F E T — insulated-gate field effect tran-
D E Z — diethyl zinc sistor
D H — double heterojunction I 2 L — integrated-injection logic
D I — dielectric isolation IMPATT (diode) — impact-avalanche-tran-
DMC — dimethyl cadmium sit-time (diode)
D-MOS — double-diffused metal-oxide-semi- IPM — ion-probe microanalysis
conductor IPOS — insulating porous oxidized silicon
DMT — dimethyltelluride ISS — ion scattering spectroscopy
DPMI — dipivaloyl methane indium chelate
I X E — ion-induced X-ray emission
DTL — diode-transistor logic

EAROM — electrically alterable read-only J F E T — junction field effect transistor


memory J I — junction isolation

682
ACRONYM INDEX

LBSG — lead borosilicate glass PHCVD — photochemical vapour deposition


LCD — liquid-crystal display P I X E — particle induced X-ray emission
LCVD — laser-assisted chemical vapour de- P-MOS — p-channel metal-oxide-semicon-
position ductor
L E D — light-emitting diode P R — photoresist
L E E D — low-energy electron diffraction PROM — programmable read-only memory
LIC — linear integrated circuit P S G — phosphosilicate glass
L I D — laser-induced diffusion PVD — physical vapour deposition; photo-
LIMS — laser ionization mass spectrometry chemical vapour deposition
LOCOS — local oxidation of silicon
LPCVD — low-pressure chemical vapour de- QW — quantum well
position
L P E — liquid-phase epitaxy RAM — random access memory
LSG — lead silicate glass R B S — Rutherford backscattering spectro-
L S I — large-scale integration metry
LTO — low-temperature oxide R E D — reflection (high-energy) electron dif-
fraction
MAOS — metal-alumina-oxide-semiconduc- REM — replica electron microscopy
tor R H E E D — reflection high-energy electron
M B E — molecular-beam epitaxy diffraction
M E S F E T — metallized semiconductor field R I B E — reactive ion beam etching
effect transistor R I E — reactive ion etching
MIC — microwave integrated circuit ROM — read-only memory
M I E — magnetic-confinement ion etching RPCVD — reduced-pressure chemical va-
MIOS — metal-insulator-oxide-semiconduc- pour deposition
tor R S E — reactive sputter etching
M I S — metal-insulator-silicon R T L — resistor-transistor logic
MLE — molecular layer epitaxy
MNOS — metal-nitride-oxide-semiconduc- SAM — scanning Auger microscopy
tor SAW — surface acoustic wave
MOCVD — metallo-organic chemical vapour SC — semiconductor; solar cell
deposition SCANIIR — surface composition b y analy-
M O D F E T - modulation-doped field-effect sis of neutral and ion-impact radiation
transistor SCR — semiconductor controlled rectifier
MOS — metal-oxide-semiconductor SD — semiconductor device
M O S F E T — metal-oxide-semiconductor field SEG — selective epitaxial growth
effect transistor SEM — scanning electron microscopy
MPCVD — micropressure CVD SI (substrate) — semi-insulating (substrate)
M R I E — magnetic-confinement reactive ion SILO — sealed interface local oxidation
etching SIMOX — silicon over implanted buried
MS — mass spectrometry oxide
MSI — medium-scale integration SIMS — secondary ion mass spectrometry
SIPOS — semi-insulating poly silicon
NAA — neutron activation analysis S I R O X — silicon-rich oxide
N E A — negative electron affinity SIS — semiconductor-insulator-semiconduc-
N-MOS — n-channel metal-oxide-semicon- tor
ductor SNMS — secondary neutral mass spectro-
N M R — nuclear magnetic resonance metry
SOI — silicon on insulator
O E S — optical emission spectroscopy SOS — silicon-on-sapphire
OMCVD — organometallic chemical vapour S P E — solid-phase epitaxy
deposition SSI — small-scale integration
OMVPE — organometallic vapour phase epi- SSMS — spark source mass spectrography
taxy SWAM I — side wall masked isolation
OT(C)VT — open-tube (chemical) vapour
transport T E A — triethyl aluminium
T E D — transmission electron diffraction
P B T — permeable base transistor T E I — triethyl indium
P E — plasma etching TEM — transmission electron microscopy
PECVD — plasma-enhanced chemical va- T E O S — tetraethylorthosilicate
pour deposition T F T — thin film transistor

683
AUTHOR INDEX

T I B A — tetraisobutyl aluminium VHSIC — very high-speed integrated cir-


TLV — threshold limit value cuit
TMA — trimethyl aluminium VLSI — very large-scale integration
TMG — trimethyl gallium VPE — vapour phase epitaxy
TMSb — trimethyl stibine
TTL, T 2 L — transistor-transistor logic WOS — wolfram (tungsten) on silicon

UHV — ultra-high vacuum X P S — X-ray photoelectron spectroscopy


U L S I — ultra-large-scale integration X R D — X-ray diffraction
U P S — ultraviolet photoelectron spectros- X R F S — X-ray fluorescence spectrometry
copy X R T — X-ray topography
UVCVD — ultraviolet-assisted chemical va-
pour deposition Y I G — yttrium iron garnet

VAMFO — variable a n g b monochromatic ZBSG — zinc borosilicate glass


fringe observation ZSG — zinc silicate glass

Author Index*
Numbers in Roman type show the pages 4939, 4940 465, 491, 666; 4972 465,
on which an author's work is referred to. 470, 667
If the author's name is not cited in the Agnihotri OP 4674 447, 449, 658; 5203
text, the reference number is also given 466, 473, 474, 507, 675
in italics. Aharoni H 3527, 3529, 3535, 3537 410, 625
Abe H 26; 1767, 1768 314, 324, 574 Akimoto K 4526 81, 306, 440, 655; 4750
Abe T 714 145, 154, 545; 2485, 2486 380, 85, 454, 455, 661
594; 4175 428, 645 Aktik M 1798 314, 319, 324, 575; 4461
Abeles B 5330 470, 507, 512, 679; 5334 85, 437, 653
470, 507, 512, 680 Aleksandrov L 9 19, 31, 525
Aboaf JA 1057 228, 555; 2161 338, 339, Allen CC 652 142, 143, 544; 1172 2 4 1 -
585; 4215 276, 429, 646; 4302 140, 432,
243, 558; 2514 380, 467, 595
648; 4642 447, 448, 658
Abrahams MS 25, 26; 1460 270, 279, 290, Allen HA 3162 397, 614; 3164 397, 615;
292, 566; 755 111, 176, 406, 546; 884 Allen K D 1778-1780 314, 324, 317, 574
197, 550; 3422 404, 406, 622; 3620, 3621 Allen SD 396 59, 8 7 - 8 9 , 440, 537; 443
412, 628 59, 87, 89, 442, 538; 645 138, 543
Adachi S 1475 270, 279, 292, 566; 1492 Allenson MB 3044 395, 468, 610; 5149
270, 279, 293, 567; 1524 270, 279, 295, 466, 468, 505, 673
568; 1527-1530 270, 279, 296, 568 Amano J 126, 127 34, 55, 529; 3430 404,
Adams AC 161; 304 58, 79, 80, 140, 534; 406, 622
327 58, 83, 84, 534; 725 146, 160, 161, Ambridge T 1201, 1202, 1204 241, 244,
546; 1774 314, 317, 324, 574; 2165 338, 245, 255, 559; 1503 270, 276, 279, 293,
339, 585; 2804 80, 391, 603; 2806 391, 567
603; 3653 414, 423, 629; 4081 84, 425, Amick J A 20, 2 3 - 2 5 ; 92 58, 423, 5 2 8 ;
426, 432, 642; 4146 427, 644; 4158 427,
100 20, 31, 55, 528; 1423 270, 277,
471, 644
279, 287, 565; 2307 345, 370, 589; 2666
Aebi V 2743 247, 384, 385, 467, 6 0 1 ; 3031
69, 384, 385, 599; 3598 411, 627
247, 249, 395, 468, 610
Andersen CA 948 213, 552; 982 216, 553
Agajanian AH 20; 41 19, 20, 526; 1319
Anderson DA 4006 84, 420, 421, 640; 5281
269, 562; 2047 336, 582; 2294 360, 589;
4034 20, 425, 641; 4921 465, 487,488, 666; 507, 512, 678
Anderson G W 2 6 ; 1024 221, 554
* Microcomputer-derived index prepared by Lucia C.
C. E.
E. Morosanu (CC—MT, Bucharest).

684
AUTHOR INDEX

T I B A — tetraisobutyl aluminium VHSIC — very high-speed integrated cir-


TLV — threshold limit value cuit
TMA — trimethyl aluminium VLSI — very large-scale integration
TMG — trimethyl gallium VPE — vapour phase epitaxy
TMSb — trimethyl stibine
TTL, T 2 L — transistor-transistor logic WOS — wolfram (tungsten) on silicon

UHV — ultra-high vacuum X P S — X-ray photoelectron spectroscopy


U L S I — ultra-large-scale integration X R D — X-ray diffraction
U P S — ultraviolet photoelectron spectros- X R F S — X-ray fluorescence spectrometry
copy X R T — X-ray topography
UVCVD — ultraviolet-assisted chemical va-
pour deposition Y I G — yttrium iron garnet

VAMFO — variable a n g b monochromatic ZBSG — zinc borosilicate glass


fringe observation ZSG — zinc silicate glass

Author Index*
Numbers in Roman type show the pages 4939, 4940 465, 491, 666; 4972 465,
on which an author's work is referred to. 470, 667
If the author's name is not cited in the Agnihotri OP 4674 447, 449, 658; 5203
text, the reference number is also given 466, 473, 474, 507, 675
in italics. Aharoni H 3527, 3529, 3535, 3537 410, 625
Abe H 26; 1767, 1768 314, 324, 574 Akimoto K 4526 81, 306, 440, 655; 4750
Abe T 714 145, 154, 545; 2485, 2486 380, 85, 454, 455, 661
594; 4175 428, 645 Aktik M 1798 314, 319, 324, 575; 4461
Abeles B 5330 470, 507, 512, 679; 5334 85, 437, 653
470, 507, 512, 680 Aleksandrov L 9 19, 31, 525
Aboaf JA 1057 228, 555; 2161 338, 339, Allen CC 652 142, 143, 544; 1172 2 4 1 -
585; 4215 276, 429, 646; 4302 140, 432,
243, 558; 2514 380, 467, 595
648; 4642 447, 448, 658
Abrahams MS 25, 26; 1460 270, 279, 290, Allen HA 3162 397, 614; 3164 397, 615;
292, 566; 755 111, 176, 406, 546; 884 Allen K D 1778-1780 314, 324, 317, 574
197, 550; 3422 404, 406, 622; 3620, 3621 Allen SD 396 59, 8 7 - 8 9 , 440, 537; 443
412, 628 59, 87, 89, 442, 538; 645 138, 543
Adachi S 1475 270, 279, 292, 566; 1492 Allenson MB 3044 395, 468, 610; 5149
270, 279, 293, 567; 1524 270, 279, 295, 466, 468, 505, 673
568; 1527-1530 270, 279, 296, 568 Amano J 126, 127 34, 55, 529; 3430 404,
Adams AC 161; 304 58, 79, 80, 140, 534; 406, 622
327 58, 83, 84, 534; 725 146, 160, 161, Ambridge T 1201, 1202, 1204 241, 244,
546; 1774 314, 317, 324, 574; 2165 338, 245, 255, 559; 1503 270, 276, 279, 293,
339, 585; 2804 80, 391, 603; 2806 391, 567
603; 3653 414, 423, 629; 4081 84, 425, Amick J A 20, 2 3 - 2 5 ; 92 58, 423, 5 2 8 ;
426, 432, 642; 4146 427, 644; 4158 427,
100 20, 31, 55, 528; 1423 270, 277,
471, 644
279, 287, 565; 2307 345, 370, 589; 2666
Aebi V 2743 247, 384, 385, 467, 6 0 1 ; 3031
69, 384, 385, 599; 3598 411, 627
247, 249, 395, 468, 610
Andersen CA 948 213, 552; 982 216, 553
Agajanian AH 20; 41 19, 20, 526; 1319
Anderson DA 4006 84, 420, 421, 640; 5281
269, 562; 2047 336, 582; 2294 360, 589;
4034 20, 425, 641; 4921 465, 487,488, 666; 507, 512, 678
Anderson G W 2 6 ; 1024 221, 554
* Microcomputer-derived index prepared by Lucia C.
C. E.
E. Morosanu (CC—MT, Bucharest).

684
AUTHOR INDEX

Anderson R L 2522 380, 595; 3541 68, 410, 135, 113, 543; 2259 345, 346, 348, 377,
625 588
Anderson RM 878 195, 550; 3677 414, 629; Banerjee SK 1132, 1133 231, 232, 415,
Anderson WA 5115 466, 502, 672; 5121 557
466, 502, 505, 672 Barbe D F 4096 425, 426, 471, 642; 4970
Ando H 5137 466, 505, 673; 5141 466, 468, 465, 470, 667; 5360 519, 680
505, 673 Barry ML 26, 32; 2011, 2012 336, 5 8 1 ; 4062
Andre J P 2728 384, 385, 467, 6 0 1 ; 2974 425, 641
395, 608; 3560 253, 411, 626; 3606 Barsan RM 4971 465, 470, 667; 4979 465,
411, 627 667
Andrews J E 2867 392, 605; 3649 253, 402, Bass S J 2721 247, 384, 385, 467, 6 0 1 ;
412, 469, 629 2928, 2929 249, 393, 607; 5285 507,
Antreasyan A 3089, 3090 396, 468, 612 512, 678
Aoki T 2 4 ; 2605 384, 385, 597; 2619 384, Bassous E 490; 1330 270, 271, 275, 563;
385, 598 1364 270, 279, 283, 472, 489, 490, 563;
Aoyagi Y 384 59, 87, 88, 139, 536; 418, 1365 270, 279, 283, 564
419 59, 87, 88, 537 Bauerle D 378 59, 87, 88, 139, 414, 536
Appels J A 27, 478, 485; 4832 465, 471, 472, Baum T H 432 ,433 59, 87, 88, 442, 538
477, 478, 485, 663; 4833 465, 411, 472, Bean K E 23, 27, 490, 509; 1329 270, 271,
477, 663; 4883 465, 467, 483, 665 275, 563; 2335 375, 590; 2402 272, 285,
Aranovich J A 189 46, 48 58, 5 3 1 ; 5104 377, 470, 471, 592; 2547 381, 596; 3693
466, 469, 502, 504, 672 414, 415, 630; 4286 122, 140, 424, 432,
Arizumi T 20, 2 5 ; 48 19, 20, 58, 68, 69, 648; 4824 465, 467, 470, 471, 474, 509,
176, 373, 527; 245 58, 65, 69, 532; 471 663; 4926 465, 467, 470, 471, 487, 488,
487 95, 100, 539; 1169 241, 242, 558; 490, 666
2897 253, 392, 393, 606 Bedair SM 514; 420 59, 87, 88, 537; 3139
Ashby C I H 1829, 1830 314, 324, 576; 1951 249, 396, 614*5321, 5324 469, 507, 512,
314, 331, 579 679; 5342 501, 514, 680
Ashida Y 3881, 3882 418, 419, 636 Beers AM 3876 139, 418, 419, 636; 3877-
Atalla MM 2 6 ; 2081 338, 583 3879 418, 419, 636
Azoulay R 2976 395, 608; 3041 395, 468, Beinvogl W 1775 314, 317, 324, 574; 1893
610 314, 325, 328, 577
Belanger D 4658 4 4 7 - 4 4 9 , 658; 4670 447, 658
Bachern K - H 2616 384, 385, 598; 2677 384, Bell EC 1088 231, 556; 4359 432, 472, 650
385, 599 Ben-Dor L 3245 399, 617; 4715, 4716 452,
Bachmann K J 3782 253, 416, 417, 470, 453, 660; 4818 456, 462, 475, 663; 5175
504, 632; 3786 416, 417, 470, 633; 5071 466, 474, 507, 674
466, 501, 671 Benninghoven A 955, 987 111, 553
Bachmann P 2290 359, 360, 589; 5056 466, Benzing WC 23, 351, 394; 2266 345, 3 4 6 -
502, 668 348, 351, 588; 2280 346, 588; 2369 376,
Baker AG 228 53, 59, 89, 90, 532; 4587 591;
90, 443, 656 Berkenblit M 2536, 2537 69, 381, 595
Baker W 2285, 2288 359, 361, 589 Bernard C 25; 453 91, 538; 465 95, 539
Baliga B J 146 51, 529; 890 198, 550; 1614 Berry R W 3 19, 31, 142, 146, 161, 525,
270, 277, 310, 314, 570; 2003 335, 471, Bersin R L 26; 1572 270, 301, 312, 569;
580; 2035, 2036 336, 581; 2339 375 1656 314, 316, 571
590; 2957 249, 393, 608; 3109 249, Bertoti J 3523 410, 625; 3625 412, 628
396, 613; 3110 396, 613; 4063 425, 6 4 1 ; Besmann TM 501 99, 100, 540; 4685 140,
4154 427, 644; 4895 465, 467, 486, 665; 450, 659
4909 465, 486, 666; 4646 140, 447, 448, Besomi P 3281 139, 253, 399, 618; 5109
658 399, 466, 469, 502, 672
Balk P 367 58, 86, 81, 536; 2590 383, 384, Besser P 26; 369 193, 550; 4790 456, 460,
597; 4150 301, 427, 471, 644; 4214 429, 662
472, 646; 4381 432, 472, 650 Bettini M 3314 400, 619; 3788 416, 417,
Balog M 2549 381, 382, 474, 596; 4246 470, 633; 5106, 5110 466, 469, 502, 672
301, 302, 430, 431, 647; 4257 430, 647; Beuchet G 3094 396, 612; 3197 80, 397,
4259 302, 431, 647 468, 616
Ban VS 23, 25, 113, 137, 377; 473, 474 B h a t I 3334 400, 620; 3344 139, 251, 400,
95, 100, 113, 539; 541-545 109, 113, 620
5 4 1 ; 546 69, 109, 113, 5 4 1 ; 547 109, Bhat R 1494 270, 272, 279, 293, 567; 1495
113, 137, 5 4 1 ; 548 109, 113, 5 4 1 ; 623 2 7 0 , 2 7 2 , 2 7 7 , 2 7 9 , 2 9 3 , 567; 1639 277,570

685
AUTHOR INDEX

Bhattacharya P K 2987 395, 608; 2989 395, 459, 6 6 1 ; 4797 456, 460, 461, 662; 4801
609 456, 460, 662
Bhola SR 2 3 ; 2359 247', 376, 467, 590 Breiland WG 558, 559 109, 114, 541
Bicknell R W 2 5 ; 758 171, 176, 546; 3391 Brewer P D 1941, 1952, 1954 314, 331, 579
185, 404, 405, 621 Broadbent E K 4518 81, 440, 654; 4534
Biefeld RM 2594 383, 384, 597; 2890 247, 81, 440, 655
392, 605; 5325 469, 507, 512, 679 Brodsky M H 2 4 ; 1012 220, 554; 3806
Bisaro R 969 215, 552; 3900 80, 418, 419, 418, 633; 3819 418, 634; 3831, 3832
636 84, 418, 634; 3919 4 1 8 - 4 2 0 , 637;
Blaauw C 1050 226, 555; 4323 84, 432, Brors DL 24; 4744 81, 454, 455, 660
649 Brown D K 2173 338, 339, 415, 585; 3731
Black J F 1219 241, 244, 560; 3612 412, 416, 470, 631
627 Brown DM 24, 2 6 ; 1604 270, 306, 314, 570;
Blakeslee AE 2631 384, 385, 598; 3771 1927 314, 329, 330, 578; 2019 336, 428,
416, 417, 632 471, 5 8 1 ; 4160, 4164 428, 644; 4351
Blanc J 2 6 ; 1038 226, 554; 2098 338, 583 432, 472, 649; 4410 424, 432, 434, 6 5 1 ;
Blewer R S 4528 81, 440, 473, 655; 4550 4548, 4549 441, 473, 655; 4668 447,
81, 440, 655 658; 4961 465, 473, 492, 667
Blocher, Jr., JM 186 46, 58, 5 3 1 ; 802 177, Brown R 1037 225, 554; 2292 345, 360,
548 589
Bloem J 20, 25, 164; 47 19, 20, 5%, 163, Brownson J 26, 242; 1170 2 4 1 - 2 4 3 , 5 5 8 ;
164, 170, 373, 527; 562 115, 173, 176, 3528 410, 625
5 4 1 ; 574, 585 120, 542; 596, 597 127, Bruce R H 1747 314, 324, 573; 1748 314,
542; 748 167, 546; 752 167, 168, 1 7 0 - 317, 324, 573; 1804 314, 319, 324, 575
172, 176, 546; 797 176, 548; 1595 270, Bruno G 3868-3870 84, 418, 419, 635
303, 312, 313, 569; 2341 375, 590; 2353 Bryant WA 20; 19 19, 20, 58, 163, 173,
376, 590; 2367, 2377 376, 5 9 1 ; 2412 345, 525; 594 127, 138, 139, 542; 1034
377, 592; 2480 380, 594; 3700 414, 415, 224, 554; 4497, 4449 440, 654; 4511
630; 3880 418, 419, 636; 140, 440, 654; 4618 445, 657
Bobeck AH 27, 267; 1302 267, 562; 5155 Bube R H 3320 69, 400, 619; 5108 399,
466, 507, 673; 466, 469, 502, 672
Bollen L J M 20; 581 120, 138, 377, 542 Buch F 273 58, 65, 68, 469, 505, 533; 274
2329 20, 375, 381, 590 58, 65, 68, 533
Bollinger D 1852 314, 325, 326, 576; 1912 Bunshah R F 7 19, 31, 519, 525
314, 325, 578; Burd J W 24, 394; 3046 394, 395, 610
Bollinger LD 1910 314, 325, 578; 1925 Burggraaf P S 718 145, 158, 545; 925 2 1 1 ,
314, 329, 578 221, 5 5 1 ; 1320 269, 562; 2049 336, 582;
Bondur JA 1650, 1658 314, 571 2274 345, 588; 2284 361, 588; 2336 375,
Bonnet M 2729 384, 385, 467, 601 590; 2594 383, 384, 597; 4530 81, 440,
Booker GR 2 5 ; 673 144, 149, 544; 789 176, 473, 475, 655; 4728 453, 474, 475, 660
547; 805 111, 548; 826 184, 281, 287, Burkhardt P J 1073 229, 555; 2163 338,
548; 887 198, 550; 1422 270, 276, 279, 339, 585
287, 565; 2460 379, 593 Burmeister, Jr., RA 3050 395, 611; 3614
Booth DC 4005 84, 420, 421, 640; 5214 412, 628
466, 470, 507, 675 Burnham R D 3022 395, 468, 610; 5242, 5249
Borland J O 5001, 5002 465, 467, 472, 493, 395, 466, 469, 507, 512, 676; 5266, 5270
668 395, 469, 507, 512, 677
Boss DW 2 3 ; 2497 380, 594 Burton R H 1792 314, 319, 324, 574; 1794,
Boucher A 2648 139, 384, 385, 598; 2678 1796 314, 319, 324, 575
384, 385, 599 Busta H H 1723 314, 320, 323, 573; 4523
Bougnot G 3283 399, 618 81, 440, 654; 4536 81, 440, 655
Boyd I W 174-177 39, 42, 57, 530
Boyer P K 387 59, 87, 88, 426, 536; 425 Callaghan MP 2540 381, 382, 467, 596; 3475
59, 87, 88, 426, 538 408, 624
Boyle WS 2 7 ; 4973 465, 470, 667 Campbell DS 2 0 ; 16 19, 20, 58, 423, 525;
102 31, 528; 1040 226, 554
Bradley R R 2 3 ; 2973 395, 608
Camras MD 5253, 5254 395, 469, 507, 512,
Bradshaw SE 2 3 ; 571 120, 542 676; 5326 507, 512, 679
Braginski AI 4751 4 5 6 - 4 5 8 , 6 6 1 ; 4757, Cantagrel M 1914, 1915 314, 329, 578
4762 456-459, 6 6 1 ; 4766 456, Carchano H 4456 85, 436, 653; 4463 85,
457, 459, 475, 6 6 1 ; 4770, 4775 456, 457, 437, 653

686
AUTHOR INDEX

Carey K W 3125 396, 613 Chinn J D 1933, 1937 314, 330, 579
Carlson D E 24, 26, 2 7 ; 989 218, 553; 3818 Chittick RC 2 2 ; 320 58, 83, 534; 3829 84,
418, 470, 474, 634; 3822 418, 470, 634; 418, 634; 3993 84, 420, 421, 639
3833, 3835 84, 418, 634; 3933 418, 420, Chiu K Y 495; 4987 465, 472. 493, 668;
470, 637; 4645 85, 447, 448, 658; 4696 4988 465, 472, 493, 495, 668; 4989 465,
451, 659; 5086 466, 470, 502, 6 7 1 ; 5087 472, 493, 668
466, 470, 502, 504, 6 7 1 ; 5088 466, 470, Chiu T Y 2052, 2053 336, 337, 582
502, 671 Cho AY 3 5 ; 128 34, 35, 56, 444, 529
Carnes J E 492; 860 191, 549; 4975 465, Choi S-Y 509; 5194 466, 472, 507, 509, 674
470, 492, 667 Chou N J 1256 257, 5 6 1 ; 4373 432, 472. 650
Catherine Y 4002, 4007, 4011 84, 420, 421, Chow T P 1810 314, 316, 320, 321, 324,
640; 4320 84, 432, 648; 3 2 6 - 3 2 8 , 575; 1811 314, 320, 324, 575;
Cauge T P 2 7 ; 4944 465, 491, 666 1812 314, 320, 321, 324, 575; 1861 314,
Cave E F 2 0 ; 2349 20, 247, 376, 590 325, 326, 557; 4731 320, 328, 453, 474,
Cech R E 2 4 ; 4816 456, 461, 462, 662 475, 660
Celler GK 1113, 1115 231, 232, 415, 557 Chu SNG 1054 228, 555; 1521 270, 279,
Chain E E 5210, 5211, 5213 466, 473, 507, 295, 567; 1526 270, 279, 296, 568; 2910
675 468, 393, 606; 2923 393, 606; 3096, 3099
Chane J P 2635, 2645 384, 385, 598; 2781 396, 612
384, 385, 387, 602 Chu SS 3765 253, 416, 470, 632; 3768 253,
Chang CA 618 134, 543; 3737 416, 470, 416, 417, 632; 3769 416, 417, 632; 5091
631 466, 467, 502, 6 7 1 ; 5092 466, 502, 6 7 1 ;
Chang CC 840 187, 549; 916, 928 211, 5 5 1 ; 5093 466, 468, 502, 671
956 214, 552; 966, 970 215, 552; 3405 Chu T L 20, 2 4 ; 20 19, 20, 58, 300, 423, 525 ;
404, 405, 622 21 19, 20, 58, 423, 526; 247 58, 65, 69,
Chang CY 2754 384, 385, 602; 2771 80, 70, 425, 532; 283 58, 65, 68, 69, 5 3 3 ;
384, 385, 387, 602; 2996 80, 395, 609; 1406 270, 277, 279, 565; 1412 270, 279,
3959 418, 420, 470, 635; 4020 84, 420, 286, 565; 1425 270, 277, 279, 287, 565;
421, 471, 640 1432 270, 279, 287, 565; 1437 270, 279,
Chang H L 1536 270, 279, *296, 568; 4267 288, 565; 2354 376, 590; 2362 376, 5 9 1 ;
85, 481, 647 2407, 2408, 2411 377, 592; 2451 319,
Chang J J 4378 432, 472, 650; 4951 465, 593; 2820 391, 603; 2830, 2832 391,
472, 492, 667 604; 2835 288, 391, 473, 604; 2863 289,
Chang L L 130 34, 56, 529; 3551 410, 626 392, 605; 2866 392, 605; 3306 399, 400,
Chang R P H 164 39, 42, 57, 530; 1752, 619; 3321 400, 417, 619; 3323 400, 619;
1753 314, 317, 319, 324, 573; 2247 338, 3738 416, 470, 6 3 1 ; 3758, 3762 416, 470,
341, 587 632; 3792 416, 417, 633; 3883-3885
Chapman B 1665 314, 571 418, 419, 636; 4056 425, 6 4 1 ; 5057 70,
Chappelow R E 1393 270, 279, 285, 564; 425, 6 4 1 ; 4272 140, 424, 432, 647; 4285
2037 336, 415, 470, 581 432, 648; 4312 70, 432, 648; 4409 424,
Charig JM 754 171, 546; 792 976, 547; 432, 434, 6 5 1 ; 5082 466, 470, 502, 504,
2379 138, 376, 5 9 1 ; 2443 371, 593 6 7 1 ; 5083, 5084 466, 470, 502, 671
Chase BD 1455 270, 276, 279, 290, 566; Chu W K 1004, 1005 219, 553; 1011 220,
1456 270, 279, 290, 566 554
Chen J Y 222 51, 58, 81, 426, 432, 434, 532; Claassen W A P 2 5 ; 336 58, 83, 84, 432, 535;
4732 453, 4 7 3 - 4 7 5 , 660; 4963 465, 474, 598, 599 127, 542; 749-751 167, 168
475, 492, 667 1 7 0 - 1 7 2 , 176, 546; 1066, 1067 228, 555;
Chen M 1408 270, 279, 286, 565; 2132 338, 3658, 3667 414, 629; 4418 84, 432, 434,
584 651;
Cherng M J 3140 249, 396, 614; 3141 396, Clarke RC 2901 247, 393, 606; 2903, 2904
614; 3223 398, 616 393, 606
Chernow F 3299 399, 619; 3630 412, 628 Clawson AR 1535 270, 279, 296, 568; 2950
Chevrier J 1533 270, 272, 279, 296, 568; 80, 393, 607
2902 393, 606; 3284, 3286 70, 399, 618 Clough R B 2895 253, 392, 605; 3135 349,
Chiang P K 2959 249, 393, 608; 2960 249, 396, 613
393, 608 Cobianu C 4068 138, 425, 6 4 1 ; 4072 425,
Chiang S 4 9 5 ; 4993 465, 470, 471, 493 642
495, 668; 2374 376, 5 9 1 ; 2415 139, Coburn J W 898 201, 550; 996-998 218,
377, 378, 592; 3411 404, 405, 622 553; 1026, 1027 222,· 554; 1646, 1652,
Chin R 3035 395, 368, 610; 5241 395, 466, 1664 314, 5 7 1 ; 1672-1676 314, 315,
469, 507, 512, 676 571

687
AUTHOR INDEX

Cochet G 25, 112; 538 109, 112, 113, 541 Degenkolb EO 1702 314, 323, 572; 1879
Colclaser RA 1275 263, 5 6 1 ; 4827 465, 663 314, 325, 326, 577; 1883 314, 325, 327,
Coleman J J 5 0 1 ; 3002 395, 468, 501, 609; 577
5226 466, 507, 512, 675; 5277 468, 507, DellOca CJ 32; 109 33, 55, 529; 7 i 7 145,
512, 677; 5297 185, 467, 468, 507, 512, 154, 545; 2236 32, 338, 340, 587; 2237
678; 5300 468, 507, 512, 678 338, 340, 587
Collins J H 3512 408, 625; 5159 466, 507, DeLong D J 2370 138, 247, 376, 5 9 1 ; 4304
673 432, 648
Collins R W 778-780, 782 175, 547; 2251 Demizu K 489; 4925 465, 467, 4 8 7 - 4 8 9 ,
338, 341, 588 666
Comizzoli R B 25, 191, 192; 861-862 191, Denisse CMM 4422, 4423 84, 432, 434, 652
549; 1265 260, 5 6 1 ; 4148 427, 471, 644 Denning R 4873 465, 472, 483, 664; 4882
Cooper, I I I , CB 2899 249, 392, 393, 606; 465, 467, 483, 665
3113 396, 468, 613; 3174 397, 615 Deutsch T F 395 59, 81, 89, 440, 537; 398
Corboy J F 2333 375, 590 59, 8 7 - 8 9 , 443, 537; 400 59, 87, 89,
Corl EA 153; 686 144, 145, 149, 152, 153, 444, 537; 2069, 2070, 2074 333, 337,
545; 702 145, 154, 545 582
Cowher ME 3687 253, 414, 415, 360; 4803 Di Forte-Poisson MA 2946 80, 393, 467,
456, 460, 461, 662 607; 2948 80, 393, 607; 2994 80, 395,
Craford MG 24, 26, 27, 237, 500; 1148 609; 3176 397, 615
231, 461, 468, 558; 2885 392, 605; 3062 Dieleman J 1654, 1662 314, 571
237, 395, 468, 6 1 1 ; 3622 412, 628; 5042, Dietze W T 3117 249, 396, 613; 3119 396,
5043 466, 499, 670; 5045 4 6 6 - 4 6 8 , 499, 398, 468, 505, 613
500, 670 DiLorenzo J V 2 6 ; 2601, 2602 247, 384,
Crisman E E 2180, 2202 338, 339, 586 385, 597; 2636, 2637, 2690 2691 384,
Cronin GR 2953, 2954 393, 607 385, 600; 5036 465, 467, 498, 669
Croset M 335; 977 216, 553; 2213 338, 340, DiMaria DJ 1266 255, 260, 561; 4118-4121,
586; 2224, 2234 338, 340, 587 4125 427, 471, 643
Cullen GW 20, 24, 349; 44 19, 30, 58, 373, Dischler B 3967 84, 420, 638; 3972 84,
526; 759 171, 176, 546; 2331 315, 590; 420, 639
3382 176, 339, 349, 368, 404, 406, 6 2 1 ; Dismukes J P 1404 270, 277, 279, 286, 377,
3404, 3412 404, 405, 622; 3414 404, 565; 3377 401, 402, 6 2 1 ; 3495 251, 408,
622; 3415 251, 404, 622; 3421 404-406, 624; 4201 429, 645
622; 3424, 2426 404, 406, 622; 3443 Donahue T J 377; 2394, 2396 84, 376, 591
404, 406, 623; 4753 456-458, 661; 5007 Donnelly VM 385 59, 8 7 - 8 9 , 393, 536;
465, 493, 668 422 59, 87, 88, 537; 1746 314, 319, 324,
Curran J E 114 38, 56, 529; 1655 314, 571 573; 1763 314, 324, 574; 1793, 1795
Curtis B J 1706, 1714 314, 323, 572; 3376 314, 324 575
401, 402, 417, 6 2 1 ; 3642 412, 628; 4725 Doo VY 20,' 2 4 ; 875 195, 550; 2000 335,
452, 453, 660 472, 580; 2254 20, 3 4 5 - 3 4 9 , 376, 588;
4203 301, 429, 645; 4284 140, 432, 648;
D'Agostino R 1737 314, 317, 314, 573; 1154 4287 432, 648
314 324 573 Drevillon B 755, 777 175, 547
D'Aiello RV 5079 466, 467, 502, 504, 671 Drum CM 888 198, 550; 1043, 1044 226,
D a l t o n J V 4362 432, 472, 650 555' 2403 377 592
Dapkus P D 2 2 - 2 4 , 26, 27, 5 0 1 ; 2593 383, Druminski M 25; 847 190, 549; 1401 116,
384, 597; 2731 384, 385, 601 270, 277, 279, 286, 378, 564; 3393 404,
D a s h WC 282; 669 144, 148, 544; 1356 622; 3401 4 0 4 - 4 0 6 , 622; 3423 404, 406,
270, 279, 281, 563 622
D a y HM 1583 270, 302, 312, 569; 1612 Drummond F E 4569 442, 444, 656; 4578,
270, 308, 314, 570 4588 443, 656; 4611 444, 657
De Graaff HC 3712 416, 470, 630; 3721 Ducarroir M 466 95, 539; 504 99, 100, 540;
416, 470, 631 505 99, 100, 451, 540; 507 99, 540
Deal BE 991 218, 553; 1248, 1249 256, Duchemin J P 300 58, 79, 80, 137, 534;
560; 1250, 1252 256, 561; 2082, 2090, 305 58, 79, 80, 247, 534; 306, 309 58,
2091, 2093 338, 583; 2346 376, 381, 590; 79, 80, 534; 307 58, 79, 80, 249, 534;
4276 432, 647; 4822 27, 465, 471, 472, 663 516 101, 109, 540; 619 134, 543; 2481
Deckert CA 26, 270; 1584 270, 302, 312, 380, 594; 2766 80, 384, 385, 387, 602;
569; 5172 466, 507, 674 2943 80, 249, 393, 607; 3132 80, 249,
Declerck G J 2133 337, 584; 4978 465, 470, 396, 468, 613
472, 667 Dudley R H 659 143, 147, 544; 2457379, 593

688
AUTHOR INDEX

Duffy M T 24, 27; 1873 314, 325, 577; Escobosa A 2732 384, 385, 6 0 1 ; 2737 384,
3444, 3445 404, 406, 623; 3478 251, 392, 385, 469, 601
408, 622; 3669 414, 629; 4216 276, 424, Etienne D 248 58, 65, 69, 532; 3265 10,
429, 430, 472, 646; 4226 429 430, 646; 399, 618; 3255 399, 618; 3365 401, 621
4260 302, 431, 647; 4269 431, 647; 4405 Evans, Jr., CA 216, 217; 975 216, 217, 553
432, 434, 472, 651; 5165 399, 408, 466 Eversteyn FC 25, 156; 537 109, 112, 113
467, 507, 673 173, 176, 5 4 1 ; 575, 576 120, 542; 614
Dumin D J 2 4 - 2 6 ; 519 108, 145, 157, 540 122, 134, 543; 655 142, 143, 156, 162,
2007 335, 5 8 1 ; 3405 404, 622; 3425 544; 3655 414, 629; 4176 428, 430, 645
251, 404, 406, 407, 622; 3429 339, 404,
406, 622; 3439 190, 404, 406, 623; 3440 Faggin F 2 4 ; 3713 416, 470, 630
3451, 3455, 3456 404, 406, 623; 3471 Fahrenbruch AL 3315 400, 619; 5074 466,
3472 407, 624; 3536 410, 625 501, 671
Dupuis R D 2 2 - 2 4 , 26, 27, 501, 513; 2592 Fair R B 2025 336, 5 8 1 ; 2057, 2055 338,
. 383, 384, 597; 2965 501, 395, 608; 2997 583; 4173 428, 644
2998 395, 468, 609; 2999, 3000 395 Faktor MM 1203 241, 244, 245, 255, 559;
468, 501, 609; 3001, 3006, 3007 395, 1634 277, 570
495, 468, 609; 5101 466, 468, 502, 504, F a n JCC 1120 '231, 232, 415, 557; 1616
6 7 1 ; 5225 466, 507, 512, 675; 5227 466, 270, 310, 314, 570
395, 469, 507, 512, 513, 675'; 5231 395, Fang Y K 4346 84, 432, 649; 4747 81, 454,
466, 469, 507, 512, 675; 5236-5238 455, 660
395, 466, 469, 507, 512, 676 Farrow RFC 129 34, 56, 529; 612, 615
D u r a n d JM 2622 384, 385, 598; 2656 384, 134, 543; 762 174, 176, 547
385, 599 Faust, Jr., J W 1352 270, 276, 279, 284,
Dyer L D 2410 311, 592; 2471 379, 594 564; 1430 270, 279, 287, 565
Dyment JC 1469 270, 275, 279, 291, 566 Federer J I 4621, 4622 445, 657
Feist WM 2 0 ; 14 19, 20, 58, 60, 69, 345,
Eckertova L 5 19, 31, 142, 525 381, 382, 407, 423, 438, 447, 452, 456,
Eifer D 2595 384, 385, 597 474, 525
E h m a n MF 1413 270, 271, 279, 286, 565; Feldman B J 191 46, 48, 58, 5 3 1 ; 197 46,
1591 270, 302, 303, 312, 313, 569 58, 417, 5 3 1 ; 3340 400, 620
Ehrlich D J 232, 234 52, 59, 532; 377 59, Feldstein N 104, 105 32, 55, 529
87, 414, 536; 392 59, 8 7 - 8 9 , 420, 439, Feng T 200 46, 48, 58,*531; 3790 416, 417,
443, 444, 537; 397 59, 8 7 - 8 9 , 440, 474, 505, 633
537; 1943-1945 314, 331, 579; 2072 Ferrante GA 1531 270, 279, 296, 5 6 8 ;
333, 337, 582 1559 270, 279, 300, 568
Einspruch NG 5352-5357 519, 680 Filby J D 3379, 3389 404, 6 2 1 ; 3400, 3407
El-Hoshy AH 4546 441, 655; 4547 441, 404, 405, 622
473, 655 Finne RM 2 6 ; 1346 270, 271, 275, 279,
Eldridge JM 1259 258, 5 6 1 ; 4144 301, 427, 281, 283, 563
644 Fischer AW 485; 2020 336, 427, 428, 4 7 1 ,
Elliott CR 2 6 ; 1635, 1636 277, 570 485, 5 8 1 ; 2021 336, 471, 5 8 1 ; 4163 428
Ellis, Jr., F B 3557, 3555 418, 419, 636 644
Emerson WA 2 3 ; 2261 3 4 5 - 3 4 9 , 588 Fischer H 4275 432, 647; 4309 140, 432
Endo N 495; 1557 314, 3 2 5 - 3 2 7 , 576; 648
4999 465, 467, 472, 493, 495, 668; 5003 Fitzer E 4591 443, 656; 4697 451, 659
465, 467, 472, 493, 668 Flamm DL 1663 3 1 4 - 3 1 9 , 5 7 1 ; 1679 314,
Enstrom R E 2 7 ; 2702, 2710, 2715 384, 315, 5 7 1 ; 1651 314, 315, 319, 5 7 1 ; 1652;
385, 467, 600; 2717 384, 385, 600; 3075 314, 315, 5 7 1 ; 1653 314, 315, 317, 572,
396, 612; 4754 70, 4 5 6 - 4 5 8 , 475, 6 6 1 ; 1684 314, 315, 319, 572; 1655 314, 315,
4755 4 5 6 - 4 5 8 , 661 572; 1731 314, 316, 324, 5 7 3 ; 1744 314
E p h r a t h LM 1643 314, 570; 1550 314, 325, 317, 324, 573
326, 576; 1868, 1872 314, 325, 326, 577 Flicker H 257 58, 65, 68, 533; 3576 411,
Epler J E 5255 395, 469, 507, 512, 676;
5257-5259 395, 469, 507, 512, 677; 626
5307 469, 507, 512, 679 Fonash S J 1648 314, 315, 5 7 1 ; 5072, 5073
Eriksson G 2 5 ; 462-464 95, 539 466, 501, 671
E r m a n M 773, 774 175, 547 Foster D W 2271, 2272 3 4 5 - 3 4 9 , 588
E r n s t E O 20, 2 3 ; 2257 3 4 5 - 3 4 9 , 588 Fraas LM 295-298 58, 81, 534
Erskine J O 509; 5155 466, 470, 507, 509, Francombe M H 20; 51 19, 20, 58, 373,
674 391, 527; 2315 375, 589

689
AUTHOR INDEX

Franz I 701 145, 154, 545; 920 210, 5 5 1 ; Ghezzo M 1998 335, 471, 580; 2022 336
2002 335, 472, 580; 2194 338, 339, 586 471, 581; 2026 336, 581; 4132 427, 6 4 3 ;
Frieser R G 2 2 ; 340 58, 86, 87, 138, 376, 4174 428, 644
378, 535; 1858 314, 325, 326, 576 Ghosh CL 2785 384, 385, 387, 6 0 2 ; 5038
Fripp AL 3679 414, 629; 3688 253, 414, 465, 467, 498, 669
415, 630; 3695 414, 415, 630 Ghoshtagore RN 1999 335, 471, 580; 2013
Fritz L L 2 6 ; 1837 314, 324, 576 336, 5 8 1 ; 4244 140, 430, 646; 4245 430,
Fritzsche H 2 4 ; 3826 418, 420, 634; 3917, 646; 4650 138, 140, 447, 448, 658
3926 4 1 8 - 4 2 0 , 637; 4027 420, 421, Gibart P 4<5i3 70, 456, 460, 461, 662; 4814
640 456, 460, 662
Frohman-Bentchkowsky D 2 6 ; 4950 465, Gibbon CF 2033 336, 5 8 1 ; 4195 429', 645
472, 492, 667; 4958 465, 470, 492, 667 Gibbons J F 137 36, 56, 529; 173 39, 42,
Frosch CJ 241 58, 65, 68, 392, 532; 249 57, 530; 1106 231, 232, 415, 556; 2375
58, 65, 70, 532; 3570 411, 626 376, 591; 2790 384, 385, 387, 603
Fujita S 881 195, 550; 3276 399, 618; Giling L J 20, 164; 629 109, 135, 136, 543
3291 80, 399, 618; 3368 401, 6 2 1 ; 4339, Gittler F L 2 3 ; 1971 333, 580; 2416 377,
4340 84, 432, 649; 5329 469, 507, 512, > 378, 592; 4193 429, 645
679 Glang R 20; 110 33, 55, 529; J041 226,
Fukui T 2911 247, 393, 606; 3170 397, 554; 1307 269, 3 0 2 - 3 0 5 , 308, 309, 562
615; 3222 398, 616; 5327 469, 507, 512, Goetzberger A 1241 255, 560; 1970 333,
679 580
Fuller CS 661 143, 147, 544; 1357 270, Goff R F 974, 975 216, 553
279, 281, 563; 1443 270, 275, 279, 2 8 9 - Goldsmith N 2 2 ; 700 145, 154, 545; 1965
291, 2 9 4 - 2 9 6 , 566 333, 580; 2373 376, 5 9 1 ; 2665 69, 384,
Furukawa Y 2640 284, 385, 598; 3572 411, 385, 599; 4058 425, 641
626 Goodman AM 26, 485; 2157 338, 339, 585;
Gaind AK 24; 4052, 4053 139, 425, 6 4 1 ; 4953 465, 472, 485, 492, 667
4414, 4415 432, 4 3 4 / 6 5 1 Gottlieb GE 256 58, 65, 68, 69, 139, 533;
Gaiseanu F 1969, 1976 333, 580 3427 4 0 4 - 4 0 6 , 622
Gale R P 2784 384, 385, 387, 602; 3578 Gralenski N 2 3 ; 4662 347, 447, 448, 658
411, 504, 627; 5094 466, 468, 502, 671 Green ML 4493 81, 439, 654; 4532 81, 440,
Galli G 3230 69, 251, 399, 617 655; 4601 444, 656
Gannon J J 1487 270, 275, 279, 293, 567 Green JE 115 38, 56, 529; 999, 1000, 1002
Gardner E E 243; 1159 240, 558; 1165 241, 218, 553
558; 1171, 1175 241, 243, 558; 1212 Gregor LV 24, 72; 88 58, 423, 528; 1237
241 244, 245, 559 255, 560; 1402 270, 272, 277, 279, 286,
Gatos HC 1304'269, 562; 1314 269, 290, 565; 4268 431, 647; 4442 72, 87, 90,
291, 297, 299, 300, 308, 562; 1439 270, 436, 652; 4443 436, 652
279, 288, 294, 565 Gregory P E 3032 395, 468, 505, 610; 5154
Gavaler J R 4759, 4769 456, 457, 459, 661 466, 468, 469, 505, 673
Gavrilovic P 5260, 5262 395, 469, 507, 512, Grieco MJ 4274 140, 432, 647
677; 5309 469, 507, 512, 679 Griletto C 2 6 ; 924 211, 551
Gdula RA 1267 260, 561; 1273 262, 5 6 1 ; Gronet CM 2376 376, 591; 2791 384, 385, 387,
4131 427, 643 603
Gebhardt J J 2807 391, 603; 4316 80, 432, Grove AS 149 39, 40, 57, 530; 1244 255,
472, 648 560; 2487 380, 594; 4861 465, 482, 664
Geis MW 1118, 1119, 1122 231, 232, 415, Groves WO 26; 3049 395, 611
557 Grunbaum E 20; 83 19, 20, 528
Gertner E R 3137 396, 614; 3357 400, 469 Gupta DC 2 0 ; 1176 241, 243, 558; 1185
620 241, 244, 559; 1220 241, 244, 560;
Gesheva KA 5209, 5212 466, 473, 507, 675 2324 20, 375, 590; 2326 20, 375, 381,
Ghandhi SK 338 58, 83, 85, 448, 535; 590; 2492, 2493 380, 594
1306 269, 562; 2029 336, 581; 2763 Gutierrez WA 3500 408, 624; 5150 466,
384, 385, 467, 602; 3248 399, 617; 3330 468, 505, 673
400, 620; 3332 249, 400, 620; 3354 400, Gyulai J 2 6 ; 1007 220, 554
620; 3361 400, 6 2 1 ; 3773 253, 416, 417,
470, 504, 632; 3776, 3778 416, 417, 632; Haacke G 187 46, 48, 58, 447, 449, 5 3 1 ;
3797 416, 417, 470, 633; 4826 465, 663; 4637 447, 657
5359 519, 680 Habraken F H P M 154 39, 40, 57, 530; 4417
Ghate P B 1059 228, 555; 4485 438, 653 80, 432, 434, 651

690
AUTHOR INDEX

Hafner W 4543 441, 655; 4565 442, 656, Herring R B 302 58, 79, 80, 534; 2295 367,
Hagen W 813 182, 548; 818, 819 183, 548 589
Haigh J 534 1 0 9 - 1 1 1 , 5 4 1 ; 644 138, 543 Herrmann HA 1301 264, 562
Hall L 1578 270, 301, 312, 56^; 4221 429, Hersee S 2982 395, 608; 2993 80, 395, 609;
430/646 5247 395, 466, 469, 507, 512, 676
Hall L H 613 122, 134, 543; 2529 139, 381, Hess D W 217 49, 58, 84, 85, 440, 441,
595; 3656 414, 629 455, 5 3 1 ; 1801, 1803 314, 324, 575;
Hallais J P 2 4 ; 2588- 383, 384, 597; 2774 2092 338, 583
384, 385, 387, 467, 602; 2977 395, 608; Hess K L 2735 247, 384, 385, 6 0 1 ; 2917
3163 249, 397, 614 393, 606
Hallas CE 2 5 ; 2441 379, 593 Heyen M 1499 270, 272, 277, 279, 293,
Haller I 1600 270, 303, 314, 569; 4450 567; 2681 384, 385, 599
436, 652 Heynes MSR 1978, 1982 333, 580
Ham W E 1160 240, 558; 3431 404, 406, Hezel R 960 215, 552; 1064 228, 555; 2051
622; 3447 404, 406, 623 336, 337, 582; 5120 466, 502, 505, 672
Hamakawa Y 3807 418, 633; 3935 418, Higashi GS 429, 430 59, 87, 88, 439, 538
420, 470, 637; 3936 418, 420, 470, 504, Hilibrand J 26; 1183 241, 244, 559
637; 3937 418, 420, 470, 638; 3939 418 Hino I 3207 249, 398, 616; 320S 80, 398,
420, 470, 504, 638; 5089 466, 470, 502 616; 3209 80, 398, 468, 616; 3211 SO,
671 249, 398, 616; 3213 80, 398, 616
Hammer JM 3231 69, 399, 468, 617; 3259 Hirata K 1869, 1891 314, 325, 327, 577
399, 468, 617; 3260, 3261 399, 468, 618 Hirayama M 2245, 2246 338, 341, 587;
Hammond ML 20, 2 3 ; 566 120, 542; 2255 2794 139, 288, 391, 603; 2810 391, 472,
20, 3 4 5 - 3 4 8 , 588; 2283 358, 361, 588; 603; 2831 391, 604
2330 375, 590; 4059 425, 641 Hirobe K 1707 314, 323, 572; 1862 314,
Hanabusa M 381, 382 59, 87, 88, 419, 536; 325, 326, 577
410 59, 87, 88, 537 Hirose M 1953 314, 331, 579; 3875 418,
Hanak J J 2 4 ; 4752 4 5 5 - 4 5 8 , 661; 4808 419, 636; 5331 470, 507, 512, 679
456, 460, 662 Hirota Y 427-59, 8 7 - S 9 , 538; 4437 435,
Haneta Y 2459 379, 593; 4049, 4054 425, 652
. 6 4 1 ; 4055 139, 425, 641 Hirth J P 732 163, 167, 170, 546; 734 163
Harper JME 120 34, 55, 529; 1926 314, 167, 546; 737 167, 546
329 330 578 Hirtz J P 2992 80, 249, 395, 609; 3130,
Harris ' j M 1431, 1434 270, 279, 287, 565; 3131 80, 396, 613; 3193, 3194 80, 397,
2538 247, 381, 595 468, 615
Harshbarger W R 1704, 1705 314, 323, 572 Hiscocks S E R 20; 3370 20, 401, 621
H a r t m a n n H 20; 3225 20, 70, 398, 617 Hitchman ML 2 5 ; 512, 513 101, 127, 540;
Hasegawa F 2689, 2692 384, 385, 600 514 101, 540; 565 120, 542' 630 137,
Hasegawa H 2220, 2223 338, 340, 587 543; 1728 314, 323, 573; 3756 416, 632
Hasegawa S 4336, 4338 84, 432, 649; 4347 Ho CP 2094, 2095 338, 583
432, 649 . Ho VO 165 39, 42, 57, 530; 2241 338, 340,
Hashimoto A 3611 412, 627; 4419 84, 432, 341, 587
434, 472, 652 Hobson WS 5123, 5124 466, 468, 505, 672
Hashimoto N 4191 221, 429, 645; 4508, Hoeneisen B 4916 465, 487, 666; 4937 465,
4509 440, 654 491, 666
Haskell R W 20; 17 19, 20, 58, 525 Hoerni J A 2 1 ; 4829 465, 475, 663
Hawkins DT 2 0 ; 42 19, 20, 526; 1907 314, Hofstein SR 3465 404, 407, 467, 623; 4850
325, 578 465, 664
Heath DR 239; 1157 238, 239, 558 Hoke W E 3333, 3336, 3351 400, 620; 3353
Heiman F P 26; 3457 404, 406, 623; 3463 251, 400, 620
404, 407, 467, 623; 4858 406, 465, 467, Hollahan J R 208 49, 58, 5 3 1 ; 211 49, 58,
480, 664 347, 531
Heimann PA 1715 314, 323, 572; 1725 314, Hollan L 2 0 ; 54 19, 20, 58, 373, 407, 467,
323 573 527; 1514 270, 279, 293, 567; 2587 20,
Heinecke R A H 26; 1755 314, 318, 319, 324, 383, 384, 597; 2613 247, 384, 385, 598;
573; 1799 314, 324, 575 2624, 2625 384, 385, 598; 2657, 2660
Helix MJ 4332 84, 347, 432, 649; 4396 384, 385, 599; 2694 384, 385, 600
432, 472, 651 Holland L 1660 314, 5 7 1 ; 3970 84, 420,
Henderson RC 795, 796 176, 547; 2308 639
372, 589 . Holloway P H 2 6 ; 901 201, 5 5 1 ; 963 215,
Herrick CS 493 99, 100, 539; 564 115, 541 552; 1621 270, 310, 311, 314, 570

691
AUTHOR INDEX

Holmes P J 1305 269, 275, 281, 286, 562; Iida S 1496 270, 272, 277, 279, 293, 567;
1341 270, 279, 281, 563; 1571 270, 301, 1510 270, 275, 279, 293, 567; 2531 381,
312, 569 595; 2782 384, 385, 387, 602
Holmes-Siedle AG 1270 262, 5 6 1 ; 1299 Ikeda M 3215 398, 616; 3216-3218 398,
264, 562 468, 616
Holonyak, Jr., N 20; 2577 20, 69, 383, Ilegems M 3482, 3488 408, 624
384, 597; 4823 383, 465, 467, 469, 663; lies P A 5075, 5076 466, 501, 671
5224 466, 507, 675; 5228-5230 395, Imai K 4933 465 472, 489, 666; 5015 465,
466, 469, 507, 512, 675; 5232, 5233 395, 493, 494, 669
466, 469, 507, 512, 676; 5299 468, 507, Inada T 1519 270, 279, 567; 4395 432,
512, 678 472, 651
Holzl RA 13 19, 20, 58, 525 Ing, Jr., SW 876 195, 550; 2667 69, 384,
Homer H J 4604, 4608 444, 657 385, 599; 3565 411, 626
Hong CS 3017 395, 468, 609; 3018 395, Inoue M 1426 270, 279, 287, 565; 1553
468, 610; 5256 395, 469, 507, 512, 676 270, 279, 299, 568; 2467 379, 593; 2527
Honig R E 213; 897 201, 202, 213, 550; 381, 595; 3623 412, 628
976 216, 553 Inoue S 24; 4740 85, 454, 455, 660; 4741
Horiike Y 1697 314, 323, 572; 1928 314, 454, 455, 660
324, 330, 578 Inoue T 344 58, 86, 87, 419, 535; 347 58,
Horiuchi S 592 123, 180, 414, 542; 2059, 86, 81, 382, 419, 421, 535
2060 336, 337, 582 Inuishi M 3608 139, 253, 411, 468, 627;
Hoshino M 2905 393, 606; 3150 396, 614; 3785 139, 416, 417, 633
5345 469, 507, 515, 680 Iosif D 761 112, 546; 2041 336, 415, 470,
Hottier F 633 137, 543; 767-769, 776 581; 3748 416, 470, 631
175, 547 Ipri A C 2366 376, 591; 3416 404, 406, 622;
Houle F A 1946 314, 331, 579; 4572 88, 3448 404, 406, 623; 3466, 3467 404, 407,
442, 656 476, 623; 4984 565, 467, 493, 668; 5000
Hovel H J 264 58, 65, 68, 533; 1552 270, 465, 467, 472, 493, 668
279, 299, 568; 3300 399, 619; 3629 412, Iqbal Z 853 190, 549; 3674 84, 414, 629
628; 5069 466, 501, 670; 5070 466, 501, Irene E A 24, 26; 1046 226, 555; 2084,
671 2099, 2100 338, 583; 2148 338, 585;
Howes M J 4831 465, 475, 663; 5022 465, 2170 338, 339, 415, 585; 3684 414, 630;
496, 669 4115 427, 643; 4431 435, 652
Hsu CC 2927 249, 393, 607; 3158 80, 396, Irvin JC 244; 1995 244, 335, 580
614 Irvine SJC 342 58, 86, 87, 401, 535; 370
Hsu Y-S 4648 4 4 7 - 4 4 9 , 658; 4649 253, 58, 86, 87, 401, 536; 371 58, 86, 81,
4 4 7 - 4 4 9 , 658 400, 401, 536; 3325, 3328, 3345, 3349
Hsueh Y W 1983, 1984 333, 580 400, 620
Hu E L 1885, 1889 314, 325, 327, 577 Ishibashi A 5301, 5302 468, 507, 512, 678
Hu SM 1339 270, 279, 280, 563; 1987 333 Ishibashi K 3951 418, 420, 470, 638; 4386
580; 2490 380, 594; 4283 424, 432, 648 432, 472, 651
Huffman M 4077 80, 425, 426, 642; 4196 Ishibashi S 4819 456, 462, 663; 4820 456,
429, 645 462, 475, 663
Hughes AJ 3453 180, 404, 406, 623; 3454, Ishitani A 359 58, 86, 87, 378, 535; 2436
185, 404, 406, 623 311, 378, 593
Hui JC 495; 5016 465, 471, 472, 493, 496, Isomae' S 1065 228, 555; 4366 432, 472, 650
669 Ito T 151, 152 39, 40, 57, 530; 167, 169
H u n t L P 490, 491 99, 100, 539 39, 42, 57, 530; 1262 260, 561; 2201
Hwang H L 244 58, 65, 69, 532; 3650 402, 338, 339, 586; 4237 429, 430, 472, 646
412, 469, 629 Itsumi M 2103, 2105 338, 583
Hyder SB 2643 384, 385, 598; 2797 84, Iwamoto T 3155 80, 396, 468, 469, 614;
391, 603; 3080 396, 612; 3085 396, 468, 3200 80, 397, 468, 616
612; 3086 139, 396, 468, 612; 3179 Iwanaga H 1547 270, 279, 298, 568; 3242
249, 397, 615; 3182 397, 615 69, 399, 617
Ida T 3600 411, 627; 3637 412, 628 Jackman R B 231 53, 59, 89, 90, 532; 441
Igarashi O 258, 267, 270 58, 65, 58, 533; 59, 87, 88, 538
3556 411, 626 Jacko MG 4581, 4582 443, 656
Ihara M 2627 384, 385, 598; 4722 452, Jackson, Jr., DM 2517 380, 467, 595; 2546
453, 660 381, 596; 3522 410, 625
Iida K 4229, 4232 429, 430, 646 Jacob A 26; 1661 314, 571

692
AUTHOR INDEX

Jacob G 2857, 2858 392, 604; 2873 392, Kasprzak LA 1253 256, 561; 1258 258, 561
467, 605; 3481 408, 624 Kasuga M 3239-3241 69, 399, 617
Jacobs K 2880, 2888 392, 605 Kato I 220, 221 49, 58, 84, 532
Janai M 3863, 3864 84, 418, 419, 635; Katz LE 912 210, 551; 1569 270, 301, 312,
3874, 3889 418, 419, 636; 3890 139, 418, 569; 2079 40, 338, 583; 2146, 2147
636 338, 585
Janousek B K 350 58, 86, 87, 426, 535; Katzschner W 1920 314, 329, 330, 578;
2210 338, 339, 586 1938 314, 330, 579
Jastrzebski L 495; 1082 231, 232, 556; Kawamura H 4756 4 5 6 - 4 5 9 , 475, 661;
2428-2432 377, 378, 5 9 2 ; 5005 465, 4781 4 5 6 - 4 5 8 , 460, 475, 662
467, 493, 495, 668 K a w a t a H 1710 314, 323, 572; i732 314,
Jenkins MW 26, 282; 1354 270, 279, 281, 316, 324, 573
563; 1777 314, 324, 574 K a y ΈΤ4473, 4474 437, 653
Johnston, Jr., WD 2850m 251, 391, 392, K a y PMR 3272, 3273 399, 618
411, 468, 604; 3780 416, 417, 470, 504, Keen JM 192; 864 192, 550
632; 3781 416, 417, 632; 5100 466, 502, Kehr D E R 24; 4737 85, 454, 455, 660
671 Kelly J J 1601 270, 303, 306, 314, 569;
Jones DI 3913 4 1 8 - 4 2 0 , 637; 3995, 3996 1619 210, 310, 314, 570
84, 420, 421, 639 Kelly MA 212; 931 212, 551
Jones ME 2 5 ; 515 101, 540 Kendall E J M 4290 432, 648; 4374 432,
Joyce BA 2 3 - 2 5 ; 753 171, 546; 763 174, 472, 650
176, 547; 789, 791 176, 547; 841 187, Kennedy J K 2662, 2672 384, 385, 599;
549; 2327 171, 375, 381, 590; 2361 138, 3547 410, 626
171, 376, 5 9 1 ; 2418 377, 592; 2489 380, Kern W 20, 2 2 - 2 6 , 191, 192, 270, 348;
594; 2578 383, 384, 597; 2597 384, 597; 12 19, 20, 58, 60, 69, 163, 173, 345,
3388 404, 6 2 1 ; 3436 404, 406, 623 370, 373, 423, 428, 429, 438, 456, 525;
Jurgensen H 607 127, 138, 543; 2909 393, 90 58, 346, 349, 423, 424, 528; 182 44,
606 58, 80, 347, 426, 432, 530; 203 46, 48,
Kahng D 26; 2483 138, 380, 594; 4956 58, 474, 5 3 1 ; -205 48, 58, 80, 414, 427,
465, 492, 667; 4986 465, 472, 493, 668; 531; 507 177, 178, 548; 863 191, 192,
5358 519, 680 304, 550; 918 210, 5 5 1 ; 1016 221, 554;
Kajiyama K 482 95, 100, 539; 484 95, 1246 255, 560; 1303 2 6 9 - 2 7 1 , 274, 278,
539 280, 285, 292, 297, 562; 1318 269, 271,
K a m a d a M 4352 432, 472, 649; 5273 395, 274, 276, 280, 562; 1568 270, 273, 300,
469, 507, 512, 677 301, 312, 569; 1575 270, 301, 312, 569;
Kamins T I 2 4 - 2 6 , 232, 414, 495; 521 108, 2262-2264 345, 346, 348, 588; 2311,
145, 157, 540; 809 138, 172, 176, 180, 2312 372, 589; 4061, 4067 301, 425, 6 4 1 ;
414, 548; 1055 228, 555; 1090 231, 556; 4073 300, 425, 426, 642; 4088 425, 426,
1096, 1100, 1102, 1104, 1105 231, 232, 471, 642; 4129 427, 643; 4134 301,
415, 556', 2008 335, 581; 2169 338, 339, 427, 428, 643; 4135 427, 643; 4162 428,
415, 585; 3450 404, 406, 623; 3652 414, 644; 4182 233, 428, 429, 471, 645;
415, 629; 3659, 3660 414, 629; 3675 4183 80, 84, 233, 428, 429, 471, 645;
84, 414, 629; 3681 414, 630; 3689 253, 4253 430, 647; 4390 432, 472, 6 5 1 ; 4506
414, 415, 630; 3703 414, 415, 630; 309, 440, 472, 654
3727 416, 470, 631; 4525 81, 440, 654; Kilby J S 4911, 4915 465, 487, 666
4885 465, 470, 483, 495, 665; 4934 465, Kim CJ 1968 333, 580; 2817 391, 603;
472, 487, 666 2825 391, 604
Kamoshida M 26; 1009 220, 554; 4205 429, Kim M J 2054 336, 337, 582; 4377 432,
645 472, 650
Kanbe H 3100, 3103 249, 396, 468, 612; K i t a m u r a N 2900 247, 392, 393, 606; 3172
5136 466, 505, 673 397, 615
Kane J 24, 26; 4643 253, 447-449, 658; Klein DL 26; 1336 270, 279, 280, 563
4671, 4672 253, 447, 449, 658 Knight J R 2 3 ; 2599 247, 384, 385, 597
Kane P F 650 142, 544; 895, 896 201, 550 Knights JC 24; 315 58, 83, 84, 420, 421,
Kaplan L H 1260 258, 561; 4145 All, 644; 534; 321 58, 83, 84, 421, 534; 3823
4504 440, 654 418, 634; 3836, 3837 84, 418, 634; 3909
Kaplan R 299 58, 81, 534; 4585 443, 656 137, 418, 419, 637; 3920-3924 418-
Karlicek R F 448 59, 81, 538; 535 109-111, 420, 637; 4024 84, 420, 421, 640
541; 2935 393, 607 Knolle W R 908, 909 210, 551
Kasano H 246 58, 65, 69, 532; 3563 253, Kobayashi I 490; 3711 416, 467, 470, 490,
411, 626; 3593 411, 627; 3616 412, 628 630

693
AUTHOR INDEX

Kobayashi K 2767 80, 384, 385, 387, 602; Lampert CM 509; 5200 466, 507, 674
3210 80, 398, 468, 616; 32J2 80, 398, Lanford WA 26; 1015 220, 554
616i 3980 84, 420, 639; 4299 432, 648; Lang AR 2 5 ; 821 183, 548
4343 84, 432, 649 Lang GA 26; 1398 270, 272, 277, 279, 285,
Kobayashi N 2736 384, 385, 601; 3074 564
395, 611; 5323 397, 469, 507, 512, 679; Lanza C 3761 416, 470, 632; 5116 466, 502
5343 507, 515, 680 672
Kobayashi T 1544 270, 279, 297, 568; 4240 Laurence G 770, 771 175, 547
429, 430, 472, 646 Layton DN 4566 442, 656) 4625 446, 657
Kobayashi Y 1081 231, 232, 556) 1126 Leamy H J 1127 231, 232, 415, 557; 3732
231 232 415 557 416, 470, 631
Kodama K 5291, 5292 507, 512, 678 Learn AJ 23; 2270 345-349, 588; 4078
Komeno J 2628 384, 385, 598; 2655, 2685 80, 425, 426, 642; 4092 425, 426, 471,
384, 385, 599; 5290 507, 512, 678 642; 4533 81, 440, 655
Konagai M 3859 84, 418, 419, 470, 635 LeComber P G 22, 2 4 ; 3914 253, 4 1 8 - 4 2 0
Kontrimas R 2630 384, 385, 598; 3595 637; 4892 465, 470, 483, 665
411, 627 Lee DB 1332 270, 563; 4168 428, 644
Kooi E 4834-4836 465, 471, 472, 477, 663 Lee MK 584 120, 542; 2753, 2755 384,
Korec J 2 5 ; 602-605 121, 542; 606 111, 385, 602
543; 635 137, 543 Lee P H 2 3 ; 2390 80, 347, 376, 467, 591
Koren U 513; 5293 469, 507, 512, 513, Lehmann H W 2 6 ; 1719 314, 323, 572;
678 1826 314, 315, 575; 1844 314, 324, 576;
Korman CS 1709 314, 323, 572; 1781 314, 1846 314, 318, 3 2 5 - 3 2 8 , 576
324 574 Lehrer W I 4726 453, 474, 475, 660; 4739
K o r z o ' v F 4220 429, 430, 646; 4630 446, 81, 454, 455, 660
447, 449, 657 Lessoff H 509; 5158 460, 466, 475, 507,
Kosonocky W E 492; 4974 465, 470, 667 509, 673
Koukitu A 2650 384, 385, 599; 3178 397, Lever R F 240 58, 65, 68, 532; 468 95, 100,
615; 3206 398, 616 539
Kressel H 2 7 ; 143 38, 57, 229, 529; 1030 Levin RM 4099 425, 426, 471, 642; 4142,
223, 554; 1158 239, 558; 1190 241, 244, 4143 80, 427, 644
559; 2522 380, 595; 5048 466, 499, 670; Levy RA 1086 231, 556) 4138 80, 2 2 1 ,
5049 466, 499, 500, 670; 5077, 5078 427, 644; 4491, 4495 81, 439, 654; 4520
466, 467, 502, 671 81, 440, 654
Kriegler R J 2109, 2110 338, 583 Lewis B 741, 742 167, 546
Krullmann E 49; 2385 49, 80, 376, 591 Lewis CR 2746 384, 385, 6 0 1 ; 2756 384,
Kjuech T F 2749 384, 385, 6 0 1 ; 2758 384, 385, 467, 468, 504, 602; 3221 398, 616
385, 602; 2773 80, 384, 385, 387, 602; Lewis K L 3262 399, 618; 3799 80, 416,
3343 400, 620; 3533 410, 625 417, 470, 633
Kühl C 3418, 3419 404, 406, 622 Leyendecker G 401 59, 87, 88, 420, 537;
Kuiper AET 638 137, 543; 4416 80, 432, 402 59, 87, 88, 140, 420, 537
434, 651 Leys MR 532 1 0 9 - 1 1 1 , 5 4 1 ; 3008 395
Kumagawa M 341 58, 86, 87, 378, 443, 468, 609
535; 2355 376, 590 Li CH 2321 375, 589; 2348 376, 590
Kuo CP 3122 249, 396, 613; 5287 507, Li J Z 1887 314, 325, 327, 577
512, 678 Li P-C 4181 428, 645; 4438 435, 652
Kuppers D 27, 502; 5055 84, 85, 466, 471, Liaw HM 2 0 ; 2340 20, 375, 590; 2518
474, 500, 502, 670 380, 595
Kuroiwa K 2551 381, 382, 596; 2673 384, Liebl H 981 216, 553; 984 111, 553
385, 599 Ligenza J R 157, 158 39, 42, 57, 530; 653
Kurtz SR 3586 418, 419, 636; 4709 452, 142, 143, 544; 2238 338, 340, 341, 587
659 Light R W 1784 314, 317, 324, 574; 1870
Kuznetsov P I 3316 139, 400, 619; 3324 314, 325, 326, 5 7 7 ; 1895 314, 325, 326
400, 620; 3327 139, 400, 620 328, 577
Light T B 882 197, 550; 3542 69, 410, 626,
Lacombe J 24; 2765 80, 384, 385, 387, Lilley P 3268, 3269 399, 618; 3270 70
467, 602 399, 618
L a d a n y I 3508, 3511 408, 468, 625 Lindstrom C 5250, 5251 395, 466, 469, 507,
Lagowski J 849, 851, 852 190, 549 512, 676; 5252 395, 469, 507, 512, 676
Lam H W 1098 231, 232, 415, 556) 5006 Lo CC 509; 5187 466, 473, 507, 509, 674
465, 493, 668 Lopez-Otero A 35) 134 35, 36, 56, 529

694
AUTHOR INDEX

Lu C Y 1091 231, 232, 556; 2177, 2178 338, Maruyama M 2600 247, 384, 385, 597; 2620
339, 415, 585; 3723 416, 470, 631 384, 385, 598
Lu N C C 3670 414, 629; 3699 414, 415, Mathad GS 1653 314, 5 7 1 ; 1693 314, 323,
630 572
Lu P-Y 3338 400, 620; 3359 400, 621 Matsuda A 3845 84, 418, 635; 3860 84,
Lucovsky G 3921 418-420, 637; 4086 84, 418, 419, 635; 3906 137, 418, 419, 637
425, 426, 642 Matsuda N 3267 70, 399, 618; 3626 412,
Ludowise M J 20, 384, 390; 2595 20, 46, 628
383, 384, 390, 397, 468, 597; 3034, 3035 Matsukawa N 495; 4985 465, 470, 472, 493,
395, 468, 610; 3071 395, 610; 3116 396, 496, 668
468, 613; 3121 396, 398, 468, 505, 613; Matsumoto T 2980 395, 608; 3264 70, 399,
3220 398, 469, 616; 5308 469, 507, 512, 618; 3279 399, 618; 3624 412, 628;
679 4028 420, 421, 640
Luther LC 2641 384, 385, 598; 2552, 2886 Matsumura H 3891, 3892 418, 419, 636;
392, 605; 35-73 411, 626 4021 84, 420, 421, 640
Lydtin H 2 3 ; 374 59, 8 7 - 8 9 , 414, 420, 536 Matsumura M 4891 465, 470, 483, 665;
4980 465, 470, 668
Ma Y Y 192 46, 48, 58, 253, 5 3 1 ; 5107 Matsuo S 1749 314, 324, 326, 327, 5 7 3 ;
466, 469, 502, 504, 672 1760 314, 318, 324, 574; 1866 314, 325,
MacChesney J B 2 7 ; 5058 466, 474, 500, 326, 577; 1867 314, 3 2 5 - 3 2 8 , 577
670; 5061 466, 500, 670 Matsushita K 314 58, 83, 84, 420, 534;
Macrander AT 1231 241, 254, 560; 1298 2225 338, 340, 587; 2868 84, 392, 605;
263, 264, 562; 3098 396, 612 4003 84, 420, 421, 640
Madan A 3854-3856 84, 418, 419, 635; Matsushita T 478; 3744 303, 427, 470, 471,
3858 84, 418, 419, 470, 504, 635 478, 6 3 1 ; 3745 416, 479, 6 3 1 ; 3935 418,
Maeda M 4324 432, 649; 4334 84, 432, 649 420, 470, 638
Maguire CF 4875, 4876 465, 472, 483, 664 Matthews J W 2 0 ; 4 19, 20, 31, 525
Maguire H G 2 6 ; 964 215, 552 Mattox DM 99 31, 55, 528; 140 38, 56,
Mai CC 1566 270, 300, 312, 569; 3654 414, 529; 1033 224, 554; 2306 345, 370, 589;
629; 4089 425, 426, 471, 642 5i95, 5201 466, 507, 679; 5204 466, 473,
Maissel L I 1, 6 19, 31, 525; 111 38, 56, 474, 507, 675
529; 1838 314, 324, 576 Mattson B 332 58, 83, 84, 432, 535; 4094
Makita K 3092, 3093 396, 612 425, 426, 471, 642
Manabe T 479 95, 100, 539; 2961 395, 608 Mayer J W 2 6 ; 1003 219, 553
Manasevit HM 2 2 - 2 5 , 4 3 ; 1596 270, '303, Mayer TM 438 59, 87, 88, 442, 538; 1758
312, 313, 569; 2474 380, 594; 2591 361, 314, 318, 324, 574; 1932 314, 330, 579
383, 384, 597; 2898 392, 393, 606; 2956 Mazur R G 26, 2 4 3 ; 1174 241, 243, 558
393, 607; 3275 399, 400, 618; 3371 401, McCloskey J P 26, 193; 565 193, 550
621; 3384 404, 407, 408, 6 2 1 ; 3385 229, McDonald BA 4572 465, 472, 483, 664;
404, 407, 6 2 1 ; 3390 181, 404, 405, 6 2 1 ; 4574 465, 472, 483, 664
3395 180, 404, 622; 3396 404, 622; 3397 McGinn J T 891 198, 550; 3755 416, 632
272, 404, 622; 3398 404, 467, 622; 3399 McGuire GE 5176 466, 474, 507, 674; 5365
404, 622; 3413 404, 405, 622; 3428 404, 519, 520, 681
406, 622; 3432 186, 404, 406, 623; 3477 McTaggart F K 207 49, 58, 531
392, 408, 624; 3498 408, 409, 624; 3499 Mee J E 24, 2 5 ; 4754 456, 460, 461, 475,
392, 408, 409, 624; 3501 408, 624; 3502 662; 4787 456, 460, 461, 662; 4755, 4759
251, 408, 624; 3504 251, 392, 408, 409, 456, 460, 662
624; 3514 408, 625; 3515 409, 625 Meek R L 2 5 ; 1006 220, 553; 1386 270, 279,
Mandurah MM 2061 336, 337, 582; 3692 284, 564; 1457 270, 279, 290, 566; 2111
353, 414, 415, 630 338, 583
Manifacier JC 201 46, 48, 58, 5 3 1 ; 4636 Mehal E W 2 4 ; 50J5 465, 496, 669
447, 657 Meieran E S 520, 525 184, 548; 1500 270,
Marcoux P J 322, 325; 1644 314, 322, 315, 276, 279, 293, 567; 1992 333, 580;
325, 5 7 1 ; 1708 314, 323, 572 3594 411, 627
Marcus R B 824 184, 548; 2102 338, 5 8 3 ; Melliar-Smith CM 24, 2 6 ; 1651 314, 316,
2174 338, 339, 415, 585; 2465 379, 593 317, 324, 326, 329, 5 7 1 ; 1909 314, 325,
Marinace JC 2 4 ; 3540 68, 410, 625 329, 578; 4505 440, 473, 654
Marty A 506; 5144 466, 467, 505, 506, 673 Mendel E 1324 274, 563; 1327 270, 274,
Maruska H P 2854 392, 467, 604; 2871, 563
2872 392, 467, 605; 3061 395, 468, 6 1 1 ; Mendelson S 670 144, 148, 544; 2455 379,
4664 310, 447, 474, 658 593

695
AUTHOR INDEX

Menezes C 279, 280 58, 65, 68, 533 Mori Y 5 0 1 ; 1477 270, 279, 292, 566; 3011
Mercier J 239 58, 65, 532; 757 171, 176, 395, 468, 501, 609; 3012-3015 395,
546; 988 217, 406, 553; 3406 404, 405, 468, 609
622 Morimoto Y 2864, 2865 392, 605
Mercier J S 1087 231, 556; 1878 314, 325, Morita M 2208 338, 339, 586; 2837 391,
327, 577 473, 604; 2838, 2839 391, 604
Messick L 4107, 4108 425, 426, 471, 643 Morizane K 2621 384, 385, 598; 3562 411,
Messier D R 4192 429, 645; 4209 429, 430, 626.
646 Morosanu CE 20, 24, 25, 93, 95, 97, 117,
Meyerson BS 293 58, 81, 534; 2417 377, 120, 141, 346, 4 3 3 ; 15 19, 20, 58, 142,
592; 3671 414, 629; 3898 418, 419, 636 423, 438, 456, 525; 89 58, 120, 141,
Middelhoek J 2023 336, 471, 5 8 1 ; 4065 142, 149, 256, 259, 272, 423, 424, 431,
425, 641 433, 528; 93 20, 528; 206 48, 58, 80,
Miki H 2696 384, 385, 600; 3958 418, 420, 81, 84, 85, 346, 414, 426, 432, 440, 5 3 1 ;
470, 638 292 79, 534; 488 93, 95, 97, 100,539;
Milano RA 506; 5145 466, 468, 505, 506, 526 108, 145, 157, 159, 540; 589 122
6 7 3 ; 5146 466, 468, 505, 673 542; 609 118, 138, 543; 610 120, 127
Milek J T 2 0 ; 84 19, 20, 58, 261, 302, 423, 138, 543; 611 127, 543; 632 137, 5 4 3 ;
431, 528 643 111, 139, 140, 172, 543; 694 145
Miller A 3387 404, 621 154, 155, 545; 3872 84, 418, 419, 635;
Miller DC 25, 270; 800 111, 270, 280, 290, 4305 424, 432, 648; 4306 415, 432, 648;
548; 1624 270, 311, 314, 570 4307, 4308 432, 648; 4313 80, 432, 648;
Miller K ] 2347 376, 590; 2381 138, 139, 4507 140, 270, 309, 440, 654; 4825 465
376, 5 9 1 ; 2528 381, 595; 3526 410, 625; 663
4567 442, 473, 656 Moss R H 2933 249, 393, 396, 607; 2936
Mimila-Arroyo J 275 58, 65, 68, 533; 5095 247, 393, 607; 2937 393, 607; 5280 396
466, 467, 502, 505, 671 469, 507, 512, 678
Minagawa S 481 95, 100, 539; 1108 231, Motojima S 2803 391, 603; 4692 450, 659;
232, 415, 556; 2539 247, 381, 595 4705 452, 659
Minden H T 2 0 ; 2585 20, 383, 384, 597; Motooka T 406 59, 87, 88, 378, 381, 537;
2661 384, 385, 599; 2846 391, 392, 604; 431 59, 87, 88, 439, 538
3075 396, 6 1 1 ; 3610 411, 627 Mucha J A 1667 314, 3 1 6 - 3 1 9 , 571
Mircea A 2931 249, 393, 607 Mueller CW 3394 404, 622; 3459 190, 404,
Misawa Y 893 200, 550; 4301 432, 648 406, 623; 4856 465, 467, 480, 664
Mishima Y 346 58, 86, 87, 419, 535; 361 Mullin J B 372 58, 86, 87, 400, 401, 536;
58, 86, 87, 419, 546; 368 58, 86, 87, 2586 383, 384, 597; 3226, 3227 398,
426, 536; 1222 241, 244, 560 617; 3326, 3350, 3352 400, 620
Miura S 3036 395, 468, 610; 3091 396, 612 Muranoi T 3278, 3282 399, 618; 4647 140,
Miyake M 4551 441, 655; 4593 443, 656 447, 448, 658
Mizuno O 2654 384, 385, 599; 2682 241, Murarka SP 153 39, 40, 57, 530; 913 210,
384, 385, 599; 2952 249, 393, 607; 3166 5 5 1 ; 1056 228, 555; 1083 231, 556;
397, 615 1820 314, 321, 324, 575; 2184 338,
Mizuo S 1990, 1991 333, 580; 4369 432, 339, 586; 2196 338, 339, 424, 586; 2198
472, 650 338, 339, 586; 2795 391, 603; 3662 414,
Mizutani T 1072 229, 555; 1438 270, 279, 629; 4727, 4729 453, 474, 475, 660;
288, 565; 3185 397, 615 4964 465, 474, 475, 492, 667
Moest R R 2638 384, 385, 598; 3589 411, Murase K 2179 338, 339, 585; 4004 84,
627 420, 421, 471, 640
Mogab CJ 26; 697 145, 154, 545; 1008 220, Murphy BT 489; 4923 465, 4 8 7 - 4 8 9 , 666
Murray LA 699 145, 154, 545; 1214 241,
554; 1647 314, 5 7 1 ; 1678 314, 315, 5 7 1 ;
244, 559
1688 314, 317, 322, 572; 1772 314, 317,
Mutsukura N 362, 363 58, 86, 81, 419, 536
324, 574; 1815 314, 320, 324, 575 Myers DR 5318, 5319 469, 507, 512, 679
Mohammadi F 2197 338, 339, 586; 4730
453, 474, 475, 660 Nagai H 483, 485 95, 100, 539; 3077 396,
Monemar B 1151 237, 558; 2860 392, 604; 6 1 1 ; 4355 432, 472, 650; 4433 140,
2861 392, 605 302, 435, 652
Monkowski J 1961 333, 579; 2120-2122 Nagao S 2397 84, 376, 378, 5 9 1 ; 5004 465,
338, 584 467, 472, 493, 668
Morabito JM 953 214, 552; 980 216, 553; Nagasima N 1092 231, 232, 556; 3678 414,
4554 441, 655 629

696
AUTHOR INDEX

Nakagawa M 2604 384, 385, 597; 2697 384, Ogawa H 2399 377, 592; 3303, 3304 69»
385, 600 399, 400, 619; 4370 176, 432, 472, 650
Nakai K 2623 384, 385, 598; 2683 384, Ogirima M 301 58, 79, 80, 138, 534; 2500
385, 599 380, 594; 2881 392, 605; 3054 395, 611
Nakamura K 1010 220, 554; 2808 391, Ogura M 1229 241, 254, 255, 560; 2914,
603; 4683 450, 659 2915 247, 393, 606; 5040 465, 467,
Nakamura M 845 189, 549; 1062 228, 555 498, 669
Nakanisi T 2669 384, 385, 599; 2730 247, Oishi M 2951 80, 393, 607; 3133 80, 396,
384, 385, 467, 601 613
Nakanuma S 921 210, 5 5 1 ; 2502 380, 594; Okamoto H 2607 384, 385, 597; 3838 84,
2523 380, 467, 595; 5029 465, 467, 498, 418, 470, 634; 4019 84, 420, 421, 471,
669 640
Nakashima H 2550 381, 596; 2695 384, Okamoto K 2787, 2788 384, 385, 387, 603
385, 600 Okano H 1845 314, 324, 576; 1853 314,
N a k a y a m a Y 2723 384, 385, 6 0 1 ; 3866, 3 2 5 - 3 2 7 , 576; J930 314, 329, 330, 579
3867 84, 418, 419, 635 Okuda K 3739 416, 470, 6 3 1 ; 5097 466,
Namikawa H 4197, 4198 429, 645 502, 671
N a n b a M 1972-1974 333, 580 Okuyama M 349 58, 86, 87, 426, 535;
Naumaan A 1085 231, 556; 4159 427, 471, 5215 466, 470, 507, 675
644 Oldham W G 1407 270, 277, 279, 565; 1451
Nelson AW 2919 393, 606; 2938 393, 607; 270, 275, 279, 289, 566; 2420 377, 592;
3204 397, 616; 5286 507, 512, 678 3604 411, 627
Nelson H 39; 144 38, 39, 57, 529 Olsen GH 2 7 ; 806 111, 548; 1511 210,
Newkirk L R 2 4 ; 4760 4 5 6 - 4 5 9 , 661; 4763 279, 293, 567; 2713 384, 385, 600;
311, 314, 456, 457, 459, 661 3151 396, 468, 504, 614; 3183, 3184
Nguyen VS 4420, 4421 84, 432, 434, 652; 397, 468, 615; 3187-3189 397, 615;
4468 85, 437, 473, 653 3191 397, 468, 615; 5143 466, 505, 673
Nicoll F H 2 4 ; 252 58, 65, 68, 69, 407, 533 Orlowski T E 172 39, 42, 57, 530; 2207
Niihara K 1035 224, 554; 4270 431, 647 338, 339, 586
Nishi Y 2488 380, 594; 4952 465, 472, Osbourn GC 5315, 5317 469, 507, 512, 679
492, 667 Osburn CM 858, 859 191, 549; 2114 338,
Nishida S 358 58, 86, 87, 378, 535; 360 584
58, 86, 87, 378, 536; 3742 416, 470, Osgood, Jr., RM 436 59, 87, 88, 443, 538;
631 1628 277, 570; i940 314, 331, 579
Nishinaga T 2814 68, 391, 603; 2815 139, Otsubo M 1488 270, 275, 279, 293, 295,
391, 603 297, 567; 2644 384, 385, 598
Nishino S 2553 251, 381, 382, 596; 2555, Outlaw RA 3473, 3474 407, 467, 624
2556 381, 382, 596; 2575 381, 382, 410, Ovshinsky SR 3809 418, 633; 3853 84,
468, 597; 4014 84, 420, 421, 640 418, 419, 635
Nishio M 243 58, 65, 69, 532; 3302, 3305 Ozeki M 2674, 2684 384, 385, 599
69, 399, 400, 619
Nishizawa J 22, 25 111, 182, 514; 383 Paidassi S 4771 4 5 6 - 4 5 9 , 6 6 1 ; 4777, 4778
59, 87, 88, 536; 530, 531, 533 1 0 9 - 456, 457, 661
111, 5 4 1 ; 736 163, 467, 546; 784-787 Palik E D 1383 270, 276, 279, 284, 564;
176, 547; 812 181, 182, 584; 1713 314, 1394 270, 279, 285, 564
323, 572; 2675 384, 385, 599; 4871 Palmberg P W 214; 952, 954 214, 552
465, 467, 483, 664; 5340 507, 514, 680; P a n P 4087 84, 425, 426, 642; 4314 80,
5362 519, 681 432, 648
Nolder R L 3434, 3435 404, 406, 623 Pande K P 2776, 2777 84, 384, 385, 387,
Noyce RN 2 6 ; 4913 465, 487, 666 602; 3772, 3775 416, 417, 632; 4109
Nuese CJ 26, 485; 1504 270, 276, 279, 425, 426, 471, 643; 4225 84, 429, 430,
294, 567; 2706 384, 385, 467, 468, 600; 646; 4435 435, 652
2712 384, 385, 467,485, 600; 3058, 3059, ' Pankove J I 2 6 ; 1093 231, 232, 556; 1149
3064, 3068 395, 468, 6 1 1 ; 3081-3083 1150, 237, 558; 1440 270, 279, 289, 296,
396, 612; 3145 396, 614; 3147, 3152 297, 565; 2869, 2870 392, 467, 605;
396, 468, 614 3066 395, 468, 611; 3483-3487 408, 467,
Nuttall R 536 109, 112, 113, 5 4 1 ; 2352 624; 3803 418, 6 3 3 ; 3928 4 1 8 - 4 2 0 ,
376, 590 637; 3932 418, 420, 470, 637; 3950
418, 420, 470, 638; 3966 84, 420, 638;
O'Neill T G 1655 314, 5 7 1 ; 2154 338, 585; 5166 466, 507, 674
5171 466, 507, 674 Parekh PC 4170 428, 644; 4280 432, 647

697
AUTHOR INDEX

P a r k e r SG 1556 270, 279, 299, 568; 2634 Pugacz-Muraszkiewicz I J 874 195, 270,
384, 385, 598; 3287 399, 618; 3288 70, 550; 1570 270, 301, 312, 569
399, 618; 3289 399, 618; 3372 401, 621 Palfrey DL 160, 161 39, 42, 57, 530; 2239
Parsons J D 2303 369, 589; 2745 384, 385, 338, 340, 341, 587; 5118 466, 502, 672
6 0 1 ; 2752 384, 385, 602 Pulliam G R 4792, 4807 456, 460, 662
P a t r i c k W J 848 190, 549; 1167 241, 242, Purohit R I ^ 2 7 0 ; 261 58, 65, 68, 533; 3566
558 411, 626
P a u l e a u Y 446 59, 87, 89, 440, 538; 497 Putz N 366 58, 86, 87, 536; 2718 80, 384,
99, 100, 540; 2841 391, 604; 4521, 4522 385, 600
81, 440, 654; 4965, 4967 438, 454, 465,
4 7 3 - 4 7 5 , 492, 667; 4968 438, 465, 473, Queisser H J 2447, 2450 379, 593
492, 667
Pavelescu C 489 95, 539; 4069-4071 425, Rai-Choudhury P 2 4 ; 475 95, 100, 539;
641 1235 241, 660; 1328 274, 563; 1396
Pearce CW 2 0 ; 1411 270, 279, 565; 2296 270, 272, 277, 279, 285, 377, 564; 1405
345, 367, 589; 2338 20, 375, 590 270, 272, 277, 279, 286, 565; 2406 377,
P e c k DS 478; 4845 465, 472, 478, 479, 592; 2423, 2424 176, 377, 592; 2470
663 379, 594; 2473 380, 594; 2477, 2479
Peercy P S 26, 220; 1014 220, 554 380, 594; 2552 381, 596; 2603 384,
Peltzer D 27, 490; 4929 465, 467, 472, 385, 597; 27i9 384, 385, 6 0 1 ; 3691
487, 489, 490, 666 253, 414, 415, 630
Perrin J 3601 411, 627; 3908 137, 418, Raider SI 26, 212; 905210, 5 5 1 ; 939 212/552
419, 637; 3910 137, 418, 419, 637 Ramachandran T B 3618, 3619 412, 628
P e t e r s J W 2 3 ; 353 58, 86, 81, 432, 434, Rand M J 24, 2 6 ; 210 49, 58, 84, 432, 5 3 1 ;
535 703 145, 154, 545; 1021 221,554; 1608
Peterson D 4177 428, 645; 4486 438, 4 4 0 - 270, 307, 314, 570; 2793 288, 391, 603;
442, 447, 653 4044 425, 6 4 1 ; 4046 139, 425, 6 4 1 ;
Picraux ST 879 880, 195, 406, 550 4411 302, 424, 432, 434, 6 5 1 ; 4553 307,
Pierson HO 2805 391, 603; 4488 439, 653; 441, 473, 474, 655
4681, 4687 450, 659; 4711 451, 452, Randich E 502 99, 100, 540; 4690 450,
659 474, 659; 4691 310, 450, 474, 659;
Pintchovski F 2028 336, 5 8 1 ; 2309 372, 4694 450, 659; 4695 140, 450, 659
589 Rankel Plauger L 1453 270, 275, 279, 289,
Pizzarello F A 285 58, 69, 70, 533; 1070 566; 1576 270, 301, 312, 569
228, 555; 3479 408, 624 Ravi K V 593 123, 542; 804 177, 548
Pliskin WA 26, 153; 649 142, 143, 544; Rawlins T G R 831 185, 548; 1211 241,
691 144, 153, 545; 692 144, 149, 153, 244, 245, 559
545; 693 144, 153, 545; 1144 233, 558; Razeghi M 2744 384, 385, 6 0 1 ; 2918 393;
1238 255 338, 560; 1567 270, 300, 312, 606; 2942 80, 249, 393, 607; 3195,
569; 4031, 4032 423, 640 3196 80, 397, 468, 615; 3198, 3199 80,
P o a t e JM 1028 222, 554; 2227 338, 340, 397, 468, 616; 5279, 5284 507, 512,
587 678; 5312 468, 469, 507, 512, 679;
Pogge H B 2 0 ; 45 19, 20, 58, 60, 280, 287, 5344, 5347, 5350 469, 507, 515, 680;
290, 292, 297, 299, 303, 345, 346, 373, 5351 507, 515, 680
402, 526; 1860 314, 325, 326, 576; 2609 Razouk R R 1251 256, 5 6 1 ; 2149 338, 585
347, 384, 385, 597; 3559 253, 411, 468, Reason R E 147; 654 142, 143, 147, 544
626 Reif R 600, 601 127, 542; 620, 621 134,
Pollock HMM 766 174, 175, 547 138, 543; 1129 231, 232, 415, 557; 2395
Poulsen R G 1659 314, 5 7 1 ; 1703 314, 323, 84, 376, 5 9 1 ; 4541 85, 440, 441, 454,
572 455, 4 7 3 - 4 7 5 , 655
Powell CF 2 0 ; 11 19, 20, 58, 60, 373, 474, Reinberg AR 2 3 ; 213 49, 58, 84, 276, 5 3 1 ;
525; 4480 438, 4 4 2 - 4 4 5 , 653; 4482 1657 314, 5 7 1 ; 2276 345, 347, 588;
438, 653; 4677 4 5 0 - 4 5 2 , 659 4310 432, 648
Powell M J 485; 3947418, 420, 470, 638; 4385 Reisman A 1274 262, 5 6 1 ; 1370 270, 271,
432, 470, 472, 485, 651 274, 279, 283, 564; 1371 270, 271, 279,
Powell RA 1134 231, 232, 415, 557; 1669 283, 564; 1424 270, 277, 279, 287, 565;
314, 571 1589, 1590 270, 302, 303, 312, 569;
Preuss E 3470 407, 467, 623 2409 377, 592; 2535 69, 381, 595; 3232-
Price J B 1375 270, 275, 279, 283, 284, 3234 399, 617
564; 2494 380, 594 Reizman F 685 144, 145, 149, 152, 545;
Prussin S 1962, 1994 333, 580 687 145, 152, 545

698
A U T H O R INDEX

Reuter W 218; 950 213, 552; 986 217, Sanders T J 490; 4927 465, 467, 470, 4 8 7 -
218, 553 490, 666
Revesz AG 1242 255, 300, 560; 2080 338, Sandor J E 22
538; 2351 376, 590 Sangster RC 2 7 ; 2380 376, 591
Richman D 23, 2 5 ; 287 58, 69, 70, 533; Sano M 2802 391, 472, 603; 2856 139,
2413, 2414 377, 378, 592; 2845 251, 392, 604
391, 604; 2874 467, 392, 605 Sarace JC 22, 2 4 ; 4851 465, 470, 472, 664
Rideout VL 1470 270, 279, 291, 566; 4869 Saraie J 3317, 3348 69, 249, 400, 620;
465, 482, 664 4222 80, 429, 430, 646;
Robbins D J 4123, 4124 427, 471, 643 Saraswat KC 1981 333, 580; 2172 338,
Robbins H 2 6 ; 1334 270, 275, 279, 280, 339, 415, 585; 4743 81, 454, 455, 660
563 Sato K 715 145, 154, 545; 2468 379, 593
Robinson MCD 2089 338, 583; 2356 376, Sato Y 2158 338, 339, 585; 3722 416, 470,
590; 4796, 4802 456, 460, 461, 662 631
Robinson P H 255 58, 65, 68, 69, 139, 533; Sawyer W E 2 1 ; 94 21, 528
1594 270, 303', 312, 313, 569; 2108 338, Saxena AN 152; 679 144, 150, 544; 680
583; 2372 247, 376, 5 9 1 ; 3409 404, 622; 144, 150, 152, 544; 4962 465, 474, 475,
3460 404, 406, 623; 3760 416, 470, 504, 492, 667
632 Saxena R R 2 7 ; 2971 395, 608; 3030 395,
Rogers T J 2 7 ; 4946 465, 467, 491, 667 468, 505, 610; 5153 466 468, 469, 505,
Rohatgi A 1864 314, 325, 326, 577; 2118, 673 ·
2119 338, 584 Schäfer H 25; 238 58, 65, 532
Ronen R S 3464 404, 407, 467, 623 Schaffer P S 1195 241, 244, 559; 1261 260,
Rosler R S 23, 351, 394; 204 48, 58, 80, 5 6 1 ; 4294 432, 648
139, 347, 414, 426, 432, 5 3 1 ; 212 49, Schintlmeister W 4698, 4699 451, 452, 659
58, 276, 347, 351, 5 3 1 ; 2258 3 4 5 - 3 4 8 , Schlesier KM 1290 263, 306, 472, 562;
394, 588; 2277 84, 345, 432, 588; 2279 1580 270, 301, 312, 569; 4208 429, 644
346, 588 Schlotterer H 3420 404, 622; 3437 404,
Ross E C 4372 432, 472, 650; 4857 465, 406, 623; 3438 190, 404, 406, 623
467, 480, 664 Schmidt P F 914 210, 5 5 1 ; 2015 336, 5 8 1 ;
Rossi R C 637 137, 543; 5220 466, 507, 675 2211 338, 340, 586; 2233 338, 340, 587
Roth A P 2740, 2748 384, 385, 601; 3134 Schmit J L 2305 369, 589; 3229 398, 617
80, 396, 613; 3247 139, 399, 617; 3798 Schnäble GL 91 58, 423, 528; JOS 33, 55,
253, 416, 417, 633; 5310 469, 507, 512, 679 271, 529; 2421 377, 592; 4090 425, 426,
Rozgonyi G A 25, 270; 816 183, 548; 1051 471, 642; 4153 427, 471, 644
226, 555; 2505 380, 594; 4401 432, Schultz PC 2 7 ; 5057 466, 474, 500, 670
472, 651 Schumaker N E 1447, 1450 270, 279, 289,
Runyan W R 20, 23, 25, 256, 270; 647 566
142, 544; 801 111, 188, 548; 1309 269, Schumann, Jr., P A 711-713 145, 154,
270, 280, 292, 296, 297, 562; 2320 138, 545; 1166 241, 242, 558; 1194 241,
375, 589; 2325 20, 375, 590; 2401 377, 244, 559; 1213 241, 244, 245, 559
592 Schwartz B 2 6 ; 1032 223, 554; 1335 270,
Ryabova L A 183 46, 58, 407, 431, 438, 275, 279, 280, 563; 1419 270, 271, 275,
442-444, 447, 453, 473, 474, 530; 279, 287, 565; 1458 270, 276, 279, 290
4219 429, 430, 646; 4629 446, 447, 449, 294, 566; 2181 269, 338, 339, 586; 2319
657; 4632 446, 657; 4675 447, 449, 215, 589
658
Schwartz GC 1581 270, 301, 312, 569;
Sadhir R K 4444 436, 652; 4469 437, 473,
653; 4470 437, 653; 4471 437, 473, 653 1733 314, 324, 573; 1848 314, 325, 326,
Sah C T 26 576
Saitoh T 345 58, 86, 87, 419, 535; 794 Schwuttke G H 2 5 ; 817 183, 548; 2454
176, 547; 1461 270, 279, 566; 3070 379, 593
395, 611; 3783 139, 416, 417, 633; 3784 Scifres D R 3004 395, 468, 609; 3023 395,
253, 416, 417, 633 468, 610; 5244, 5245 395, 466, 469,
Sakurai J 1117 231, 232, 415, 557; 4367 507, 512, 676
432, 472, 650 Scott BA 3839 84, 418, 634; 3893 418,
Salama C A T 4227 429, 430, 646; 4947 419, 636; 3894 139, 418, 419, 636;
465, 467, 491, 667 3895, 3897 418, 419, 636
Salmer G 5034 465, 498, 669 Scott J H 27
Samuelson L 2733 384, 385, 601; 3072
Secco D'Aragona F 26, 2 8 1 ; 1353 270, 279,
395, 611 2 8 1 , 563

699
AUTHOR INDEX

Sedgwick TO 25, 114; 470 95, 100, 539; Shibata M 528, . 29 1 0 9 - 1 1 1 , 541
553 114, 5 4 1 ; 555 109, 114, 5 4 1 ; 1077, Shiloh M 328 58, 83, 84, 432, 535; 3237
1078 231, 555; 1099 231, 232, 415, 556; 399, 617
3716 416, 470, 630; 4830 427, 465, 471, Shimizu I 3961, 3962 418, 470, 638; 5127
472, 475, 663 466, 505, 672
Segui Y 2 4 ; 313 58, 83, 84, 420, 534; 4460, Shinoda Y 3582 411, 468, 627; 4238,4241,
4462 85, 437, 473, 653; 4466 85, 437, 4242 429, 430, 472, 646
653 Shintani A 560 109, 115, 5 4 1 ; 1441 270,
Seki H 480 95, 100, 539; 2382 376, 591; 279, 289, 565; 2171 338, 339, 415, 585;
2611, 2617, 2618, 2629, 2647 384, 385, 2855 392, 604
598; 2651, 2664 384, 385, 599; 2879, Shiosaki T 3236 399, 468, 617; 3252 84,
2887 392, 605; 2962 395, 608; 3177 399, 617; 3253 69, 84, 253, 399, 468,
397, 615; 3605 411, 627; 4271 432, 647; 470, 617; 3255 399, 617
4358 432, 472, 650 Shiota I 967 215, 552; 1467 270, 275, 279,
Sekimoto M 5177, 5178 466, 472, 507, 674 291, 566
Senhouse L S 489; 4924 465, 4 8 7 - 4 8 9 , 666 Shioya Y 4127 80, 84, 427, 643; 4538 81,
Sequin CH 4969, 4977 465, 470, 667 440, 655; 4745 81, 454, 455, 660; 4749
Seraphin BO 2 7 ; 5205 466, 473, 474, 507, 81, 454, 455, 661.
675; 5216 466, 507, 675 Shohno K 1967 333, 580; 2819 391, 603;
Seto J Y W 2 5 ; 595 127, 139, 542; 3686, 2822 251, 391, 603; 2826 391, 604
3690 414, 415, 253, 630; 3698 AM, 415, Sigai AG 1452 270, 275, 279, 289, 566;
630; 5190 466, 470, 507, 674 2849 288, 391, 392, 604; 3146 249, 396,
Severin P J 2 2 ; 663 143, 147, 544; 710 468, 614
145, 154, 545; 720 145, 158, 545; 729 Silvestri VJ 2357 376, 590; 2526 381, 595;
146, 161, 546; 1168 241, 242, 558; 2534 381, 467, 595; 4210 140, 429, 646;
1177, 1179 241, 243, 558; 1181 241, 4432 435, 652; 4995 465, 470, 471, 493,
243, 559; 1187 241, 244, 245, 559; 522i, 668
5222 466, 507, 675 Simon R E 2893 392, 605; 3069 395, 468, 611
Sowell, Jr., F A 4371, 4376 432, 472, 650 Simsova J 4811 69, 456, 460, 461, 662
Shankoff TA 4510 309, 440, 654; 4840 Singer P H 902 201, 5 5 1 ; 1765 314, 324,
465, 471, 472, 477, 663 574; 2268 345, 346, 348, 588; 2284 361,
Shappir J Uli 231, 232, 415, 556; 4258 588; 5171 466, 507, 674
430, 647 Sinha AK 331, 335 58, 83, 84, 432, 535;
Sharma BL 270; 1539 270, 279, 568; 2182 1049 226, 555; 1822 314, 321, 324, 575;
338, 339, 586; 3518 409, 625; 3519 4484 438, 653; 4734 85, 455, 474, 475,
270, 280, 409, 625; 4033 423, 641 660
Sharp CD 1198, 1199 241, 244, 245, 255, 559 Sirtl E 25, 26, 2 8 1 ; 492 99, 100, 539; 1352
Shastry SK 2783 384 385, 387, 602; 3588 270, 279, 281, 563; 2384 376, 591
411, 627; 3777 416, 417, 632 Sladek K J 561 115, 173, 174, 176, 5 4 1 ;
Shaw D W 20, 2 5 ; 46 19, 20, 58, 373, 526; 4251 430, 647
509 101, 102, 107, 540; 511 101, 540; Smeltzer R K 2 0 ; 1296 263, 562; 2425 377,
518 108, 109, 139, 540; 1468 270, 275, 592
279/ 566; 1515 270, 279, 293, 567; 2633 Smid V 316 58, 83, 84, 534; 4387 432,
384, 385, 598; 2652 139, 384, 385, 599; 472, 651
2778 384, 385, 387, 602; 5019 465, 496, Smith H I 1123 231, 232, 415, 557; 1908
669 314, 325, 578
Shaw JM 24; 4503 440, 473, 654 Smits FM 26; 1164 241, 558;
Shay J L 3787 416, 417, 470, 633; 5105 Smolinsky G 1061 228, 555; 1680 311, 315,
466, 469, 470, 502, 504, 672. 5 7 1 ; 1735 314, 317, 324, 573; 1790
Shealy J R 2043 336, 399, 469, 470, 582; 314, 319, 324, 574; 1871 314, 325, 327,
2985 395, 608; 2995 80, 395, 609; 3157 577
80, 396, 614; 3246 399, 617; 5306 469, Sobol H 497; 5023 465, 496, 669; 5u24
507, 512, 678 465, 473, 496, 497, 669
Shedd GM 54; 236 54, 59, 90, 532 Soga T 3585 411, 468, 627; 5322 469, 507,
Shepherd W H 570 120, 542; 2475, 2496 512, 679
380, 594 Solanski R 233 52, 59, 88, 89, 432, 434,
Sherman A 218 49, 58, 532; 5369 519, 441, 532; 355 59, 87, 88, 439, 536;
520, 681 393, 394 59, 8 7 - 8 9 , 4 4 0 - 4 4 2 , 537; 423
Shibata K 1097 231, 232, 415, 556; 2039 59, 87, 88, 399, 537
336, 415, 470, 5 8 1 ; 4839 465, 471, 472, Somekh S 1649 314, 571; 1816 314, 320,
477, 663 324, 327, 329, 575; 1817 314, 324, 575

700
AUTHOR INDEX

Spear K E 2 5 ; 467 95, 539; 498 99, 100, 540 Susa M 4184 428, 429, 645; 4188 428, 429'
Spear W E 22, 2 4 ; 3827, 3828 418, 634; 471, 645
3530 84, 253, 418, 420, 634; 3912 Susa N 27; 1890 314, 325, 327, 328, 577;
4 1 8 - 4 2 0 , 637; 3912, 3915 4 1 8 - 4 2 0 , 2908 247, 393, 606; 3101, 3102, 3104,
637; 4023 84, 420, 421, 640 3106 249, 396, 468, 612; 5132 466, 468,
Springthorpe AJ 2966 395, 608; 3552 410, 505, 672; 5133, 5142 466, 468, 505, 673
626 Suzuki A 2191, 2192 338, 339, 586; 2559,
Srinivasan GR 2498 380, 595; 2509 380, 595 2561 381, 382, 596
Staebler D L 1094 231, 232, 556; 3927 Suzuki K 405 -59, 87, 88, 414, 537; 1698,
4 1 8 - 4 2 0 , 637; 3940 418, 420, 470, 638 1699 314, 323, 572
Stein H J 24, 26; 138 36, 56, 529; 333 Suzuki M 540 109, 112, 113, 5 4 1 ; 4005
58, 83, 84, 432, 535; i022, 1023 221, 84, 420, 421, 471, 640; 4774 456, 457,
554; 1135 231, 232, 415, 557; 2062 459, 661
336, 337, 582; 3417, 404, 406, 622; Suzuki S 2391, 2393 84, 376, 5 9 1 ; 3530
4427 302, 434, 472, 652; 410, 625
Steinbruchei C 1730 314, 323, 573; 1876 Suzuki T 539 109, 112, 5 4 1 ; 1074 229,
314, 325, 326, 577 555; 2358 376, 590; 2464 379, 5 9 3 ;
Steinmaier W 469 95, 100, 539; 4047 139, 3160 396, 614; 3710 416, 470, 489, 630;
425, 641 4880 333, 338, 465, 467, 483, 665
Sterling H F 2 2 ; 319 58, 83, 84, 421, 534; Swaroop B 2 6 1 ; 951 214, 552; 1240 255,
339 58, 83, 85, 4 4 0 - 4 4 2 , 535 261, 432, 560; 4295 432, 648
Sternheim M 1724 314, 323, 573; 2176 Swartz GA 5031 465, 472, 498, 669; 5035
338, 339, 415, 585 465, 467, 498, 669
Stewart CEE 239; 1156 238, 558; 3052 Sze SM 2 6 1 ; 10 19, 31, 519, 525; 4273
395, 611 432, 647; 4860 410, 465, 467, 468, 482,
Stillman GE 506; 1162 240, 558; 3079 664; 5364 520, 681
396, 612; 5064 466, 467, 500, 670; 5135
466, 467, 505, 506, 673; 5140 466, 468, Taft E A 1017 221, 554; 2342 376, 590;
505, 673 4166 428, 644; 4318 84, 302, 432, 648;
Stoller AI 1343 270, 279, 281, 563; 1374 Takahashi R 25; 622 135, 136, 543
270, 279, 283, 564; 4406 432, 472, 651 Takahashi S 1813 314, 320, 324, 575; 4837
Stone J L 2045 333, 336, 582; 4920 465, 465, 471, 472, 477, 663
487, 666 Takahashi T 180 44, 58, 81, 530; 2800
Stowell M J 743, 746 167, 546 391, 603; 4688 450, 659; 4700 451, 659
Strehlow W H 268, 269 58, 65, 68, 533 Takamatsu A 4048 84, 425, 426, 642;
Stringfellow GB 23, 238; 184 46, 58, 5 3 1 ; 4140 84, 427, 644
2589 383, 384, 597; 2716 247, 384, 385, Takei H 365 58, 86, 87, 382, 536; 4806
467, 600; 2725 384, 385, 6 0 1 ; 2876 247, 456, 460, 461, 662
392, 605; 2877 247, 392, 467, 605; Takenaka T 2818, 2824 391, 603; 2827
2894 392, 467, 605; 2967 395, 608; 391, 604
2968 238, 249, 395, 608 ; 2969, 2970,3073 Takigawa M 1436 270, 279, 288, 565; 2821
395, 6 1 1 ; 3138 396, 614 251, 391, 603; 2828 139, 391, 604;
Stulz L W 1523 270, 279, 295, 568 2829 391, 604
Stutius W 3290 80, 253, 399, 618; 3293 Takikawa M 3058 396, 468, 612; 5259 507,
80, 399, 618; 3367 80, 401, 469, 621 512, 678
Sugano T 26, 4 1 ; 162 39, 41, 42, 57, 530; Talasek R T 1557 270, 279, 299, 568; 2232
1670 314, 5 7 1 ; 2248 338, 341, 588; 338, 340, 587
4288 432, 648; 4545 441, 446, 655 Tamaki Y 2107 338, 583; 4345 432, 649;
Sugawara K 522-525 108, 145, 157, 540; 4365 432, 472, 650; 4992 465, 470, 471,
577 120, 122, 542; 1399 270, 2 7 7 , 2 7 9 , 493, 668
285, 564 Tamura M 553 197, 550; 1128 231, 232,
Sugiyama K 82; 181 44, 58, 81, 82, 530; 415, 557; 2449 379, 593
Tanaka K 4236 429, 430, 472, 646; 5303
3186 397, 615; 4686 450, 659; 4704
469, 507, 512, 678
452, 659
Sugou S 3201, 3203 80, 397, 468, 616 Tang CC 15i9 314, 320, 324, 575; 4514
Sullivan MV 1513 270, 275, 279, 291, 567; 440, 654; 4515 85, 440, 654
1550 270, 279, 298, 568; 5173 466, 474, Tanikawa E 22, 2 3 ; 4074 80, 4 2 5 - 4 2 8 ,
507, 674 642; 4075 80, 4 2 5 - 4 2 7 , 642
Sunami H 1058 228, 555; 2168 338, 339, Tanno K 2386 80, 376, 5 9 1 ; 2434 377,
415, 585; 4942 465, 491, 666 378, 593

701
A U T H O R INDEX

Tarui Y 1485 270, 275, 279, 292, 567; Tsaur B Y 1121 231, 232, 415, 557; 3581
2350 376, 590; 5361 519 680 · 411, 627; 4887 465, 470, 483, 665;
Tawada Y 4022 84, 420, 421, 504, 640; 5013 465, 493, 494, 669
4013 84, 420, 421, 640; 4017, 4018 84, Tsubouchi N 4 1 ; 2143 41, 338, 584; 2144
420, 421, 471, 640; 5096 466, 502, 671 338, 584
Taylor RC 2626, 2639 384, 385, 598; 2875 Tsui RTC 2 6 ; 1833 314, 324, 576
392, 605; 3571 411, 626; 4794' 456, Tsujide T 4206 429, 472, 645; 4230, 4231
460, 662; 5170 466, 507, 674 429, 430, 646
Teng S J J 3602, 3603 411, 627 Tuck B 2 6 ; 1316 269, 275, 280, 297, 562;
Tenney AS 698 145, 154, 545; 1019 221, 1518 270, 279, 567
554; 1577 270, 301, 312, 569; 4165, Tufte O N 281 58, 65, 68, 533; 3342 400,
4167 428, 644 620
Tews H 2076, 2077 333, 337, 583 Tung S K 2400 172, 377, 592; 4048 139,
Theeten J B 510 101, 127, 138, 540; 772 425, 641; 4180 140, 428, 645; 4202
175 547 301,429, 645
Theuerer HC 21, 23, 26; 2344 138, 347, Turban G 642 137, 543; 3901 137, 418, 419;
376, 590; 2513 380, 467, 595 636; 4319 84, 432, 648
Theunissen M J J 1361 270, 279, 281, 284, Turner GW 4368 432, 472, 650; 4394 432,
563; 1384 270, 279, 284, 564 472, 651
Thomas CO 1184 241, 244, 559; 2484 380,
594; Ukai K 1727 314, 323, 573; 1881 314, 325,
Thomas S 836 185, 549; 965 215, 552 577
Thomas, I I I , J H 2 6 ; 932 212, 5 5 1 ; 933 Unagami T 485; 2166, 2167 338, 339, 585;
212, 215, 551 4888 465, 470, 483, 485, 665
Thompson J D 4768 456, 457, 459, 6 6 1 ; Usui A 2883 392, 605; 3148 396, 614
4772 311, 314, 456, 457, 459, 6 6 1 ;
4773 456, 457, 459, 661 Valdes L B 2 4 1 ; 1163 241, 458
Thompson L R 2 3 ; 223 53, 59, 89, 90, 426, Van De Ven J 1478, 1479 270, 279, 292,
532; 225 53, 59, 89, 90, 426, 432, 532 566
Thornton JA 117 38, 56, 529; 216 49, 58, Van Den Brekel C H J 2 2 ; 352 58, 86, 87,
531; 1617 270, 310, 314, 570 535; 624-626, 628 135, 543; 760 172,
Thorsen AC 3452 180, 404, 406, 623; 3503 546; 3666 414, 629; 4558 441, 655
408, 624; 3507 251, 408, 468, 625 Van Der Meulen Y J 2113 338, 583; 2115
Thrush E J 3123 396, 613; 5240 395, 466, 338, 584; 2159 338, 339, 585
469, 507, 512, 676 Van Der P u t t e P 1322 273, 562; 4539
Tietjen J J 20, 2 3 - 2 7 , 389; 22 19, 20, 81, 440, 655
58, 526; 2582 383, 384, 597; 2701 384, Van Ruyven L J 3550 410, 626; 3628 412,
385, 389, 393, 468, 470, 600; 2705 384, 628
385, 467, 600; 3047 249, 395, 6 1 1 ; Vandenbulcke L 503 99, 100, 540; 4679 140,
3065, 3067 395, 468, 6 1 1 ; 3161 397, 450, 659
614; 3607 411, 627 Vanier P E 3851 84, 418, 635; 3902 137,
Tokunaga K 1800, 1802 314, 318, 319, 418, 419, 637
324, 575 Venables J A 164; 733 163, 164, 170, 174,
T o k u y a m a T 1071 229, 555; 4060425, 471, 641 546; 747 167, 168, 546
Tolansky S 150; 671, 672 144, 149, 544 Veprek S 214 49, 58} 84, 412, 5 3 1 ; 337 58,
Tombs NC 1586 270, 302, 312, 569; 2545 83, 85, 535; 1750 314, 317, 324, 5 7 3 ;
381, 596; 4095 425, 426, 471, 642; 4436 435, 652
4382 210, 432, 472, 650; 4412 424, 432,
Veuhoff E 2714 384, 385, 600; 2751 384,
434, 651
Tracy CE 1141 233, 558; 4719 85, 452, 385, 602
453, 660 Viguie JC 2 2 ; 155 46, 48, 58, 444, 531
Tressler R E 1975 333, 580; 2117 338, 584 Vohl P 2906 393, 606; 3180 397, 615;
Truesdale EA 1686, 1687 314, 315, 572
Tsai CC 3918 4 1 8 - 4 2 0 , 637; 3998 84, 420, 3266 69, 70, 399, 618
Vojak BA 513; 2789 384, 385, 387, 6 0 3 ;
421, 639 4879 465, 468, 473, 483, 664; 5234
Tsang P J 1579 270, 301, 312, 569; 4190 395, 466, 469, 507, 512, 6 7 6 ; . 5235 395,
429, 645 466, 469, 507, 512, 513, 676
Tsao J Y 290 59, 72, 401, 534; 390 59, 87, Von Muench W 2031 336, 475, 5 8 1 ; 2032
88, 439, 536; 399 59, 87, 89, 444, 537; 336, 5 8 1 ; 2542 381, 382, 467, 659;
428 59, 87, 89, 538; 435 59, 87, 88, 2543,2544 381, 382, 596; 2596 69, 384,
443, 538 597; 4194 429, 645

702
AUTHOR INDEX

Vossen J L 20, 47, 448; 2 19, 20, 31, 525; Wilson SR 1079 231, 555; 1136 231, 232,
112, 116 38, 56, 529; 867 193, 550; 415, 557
1690 314, 322, 572; 1835, 1839 314, Winters H F 1410 270, 279, 565; 1677 314,
324, 576; 2289 359, 360, 589; 4039 315, 5 7 1 ; 1939 314, 330, 579
425, 426, 471, 642; 4407 432, 434, Wittberg T N 214; 936 212, 214, 552
472, 6 5 1 ; 4487 438, 653; 4638 47, 447, Wohlheiter VD 2260 302, 345, 346, 348,
448, 474, 657 349, 588; 4353 302, 432, 472, 649
Vu D P 1112, 1114 231, 232, 415, 557 Wolfe CM 506; 885 197, 550; 2608 384,
385, 597; 2687, 2688, 2700 384, 385,
Wada O 1921 314, 329, 578; 3036 395, 468, 600; 5065 466, 468, 500, 670
610 Wong J 843, 844 189, 549; 1020 221, 554;
Wager J F 2186 338, 339, 586; 2250 338, 1145 233, 558; 2024 336, 581; 3757
341, 588; 4104 425, 426, 471, 642 416, 632; 4171, 4172 428, 644
Waggener HA 1331 270, 563; 1388 270, Wong SS 166 39, 42, 57, 530; 2244 338,
276, 279, 284, 564 341, 587
Wajda E S 20, 25, 7 1 ; 284 58, 68, 70, 71 Wronski CR 26, 2 7 ; 3834 84, 418, 634;
533 3931 418, 420, 470, 637; 3992 84, 420,
Walsh R J 708 145, 154, 545; 1323 274, 563 421, 639; 4867 465, 467, 482, 664;
Walton D 167; 740 167, 546 5514 466, 502, 672; 5335 470, 507, 512,
Wang CC 20, 24, 2 5 ; 830 184, 548; 3386 680
361, 404, 407, 408, 468, 6 2 1 ; 3433 404, Wu T Y 477, 478 95, 100, 539
406, 623; 3496, 3497, 3505 251, 408,
624; 4247 70, 430, 474, 647 Yamaguchi K 3309 253, 400, 619; 3310 70,
W a n g K L 495; 4111 425, 426, 471, 643; 253, 400, 504, 619; 3313 70, 400, 469,
5017 465, 471, 472, 493, 496, 669 619
Wang P 2 0 ; 1337 270, 275, 279, 280, 286, Yamaguchi M 171 39, 42, 57, 530; 2187,
563; 4821 465,663 2188 338, 339, 586
Wang P J 2097 393, 606; 3168 397, 615 Yamamoto A 1505 270, 279, 294, 567;
Warekois E P 1537 270, 279, 296, 568; 1633 277, 570; 2230 338, 340, 587
1545 270, 279, 2 9 7 - 2 9 9 , 568 Yamasaki K 2 6 ; 994 218, 553; 1227 241,
Watanabe H 2614, 2615 384, 385, 598; 254, 560; 1842 314, 324, 576
4335 84, 432, 649 Yamashita A 1196, 1197 241, 244, 245,
Watanabe Y 490; 4930 465, 472, 487, 255, 595
489, 490, 666 Yamauchi Y 3105 249, 396, 468, 612
Watts BE 2 0 ; 52 19, 20, 58, 373, 467, Yamazaki T 1761 314, 324, 574; 1809 314,
527; 790 176, 547 320, 324, 575; 4666 447, 658
Wegener H A R 4949 465, 472, 492, 667 Yang J J 2920 247, 393, 606; 2978 3 95,
Weinstein M 3654 411, 626; 3641 412, 628; 608
3648 412, 629 Yasuda H 289 58, 72, 436, 533; 4441 436,
Weissmantel C 3 5 ; 122 34, 55, 529; 123 652
34 35 55 529 Yasuda Y 616, 617 134, 543; 1645 314,
Welch BM 4899, 4900 465, 467, 486, 665 571
Wen DD 492; 4976 465, 470, 472, 492, 667 Yeargan J R 4289 424, 432, 648; 4292 432,
Wessels B W 1228 241, 254, 255, 560; 648
2878 392, 605 Yim WM 2 4 ; 2847 251, 391, 392, 604;
West GA 403, 404 59, 81, 89, 537 3263 70, 251, 399, 618; 3315 70, 251,
White J G 2 8 1 ; 1472 270, 279, 291, 566; 400, 619; 3374, 3375 401, 402, 6 2 1 ;
1482 270, 279, 291, 567 3476 408, 624
White P 4451 436, 473, 652; 4452 436, Yokoyama S 4117 84, 427, 643; 4321 432,
652 648
Whiteley J S 2916 249, 393, 396, 606; Yoshida M 486 95, 100, 539; 552 109, 113,
3118 396, 613 5 4 1 ; 2762 384, 385, 602
Widmer AE 910 210, 5 5 1 ; 3750 416, 6 3 1 ; Yoshida S 2570 80, 381, 382, 596; 2571
3899 ίθ, 418, 419, 636 80, 281, 282, 468, 597; 2576 381, 382,
Wieder H H 2298 367, 589; 2579 383, 384, 597
597; 4906 465, 486, 665 Yoshihara H 322 58, 83, 84, 534; 257 384,
Williams LM 4254 85, 430, 647; 4689 85, 381, 382, 597
450, 659 Yoshikawa A 271, 272 58, 65, 68, 533;
Wilmsen CW 1243 255, 258, 560; 1321 380 59, 81, 88, 419, 536; 3292 80, 399,
269, 562; 2183 338, 339, 586; 2231 618; 3311, 3312 400, 619; 4026 420,
338, 340, 587 421, 640

703
CVD FILM I N D E X

Yoshimi T 550 80, 109, 113, 427, 5 4 1 ; Zanzucchi P J 648 142, 264, 544; 846 189,
945 213, 552 406, 549; 3930 418, 420, 470, 637
Yoshino J 2913 393, 606; 3153 80, 249, Zarowin CB 1700 314, 323, 572; 1782 314,
396, 614 324, 574; 3984, 3985 84, 420, 639
Yous B 4513 440, 654; 4720 452, 453, 660
Zhu LD 2949 80, 393, 607; 5349 469, 507,
Yuan J S 2924 393, 606; 3219, 398, 616
515, 680
Zaininiger K H 26; 87 58, 423, 528; 683 144, Zimmer G 495; 5011 465, 495, 493, 669
150, 545; 1245255, 560; 1289 263, 472, 562 Zirinsky S 4430 435, 473, 652

CVD Film Index


Ag 146, 211, 308, 438, 442 A l 2 0 3 - C r 2 0 3 429
Al 53, 54, 59, 62, 80, 86, 87, 88, 90, 146, A 1 2 0 3 - P 2 0 5 429
181, 184, 195, 203, 211, 303, 304, 314, A l 2 0 3 - T a 2 0 5 188, 260, 429
319, 322, 323, 324, 327, 329, 330, 340, 346, A l / y ^ 73, 76, 88, 144, 185, 188, 215,
438, 439, 473, 511, 521 259, 260, 431, 435
AlAs (heteroepi) 18, 100, 181, 188, 189, AIP 78, 180, 229, 237, 250, 384, 389, 391,
229, 237, 250, 266, 288, 384, 389, 391- 408, 409, 411, 468
392, 408, 409, 411, 468, 503, 505, 513, A\VxOy 84, 430, 431
514, 515 AlSb 78,229,288, 384, 389, 392, 408, 411, 468
AlAs (poly) 186, 414, 416, 417, 470 AISi 445
AlAsyV^y 384, 394, 397, 469, 514 AlTa 473, 509
A1B 450 Al 3 Ta 439, 445, 446
Al^Ga^ xAs 24, 27, 47', 18, 80, 175, 180, As 304
181, 185, 209, 214, 218, 237, 238, 239, A s : H 84, 420, 421
240, 248, 255, 276, 297, 312, 329, 383, A s - S 421
384, 394, 395, 402, 409, 412, 468, 469, As — Se 421
499, 500, 501, 503, 504, 505, 512, 513, Au 54, 59, 62, 88, 90, 146, 211, 305, 320,
514, 515 324, 327, 329, 438, 442
Al^Ga^aAs^Sbj y 384
A1
2/Gal-S-2/In*As 384> 394> 398
> 5 0 5 B 87, 187, 450
A1
2 / G a i - z - 2 / I n z P 80> 2 3 7 > 2 3 8 > 248
> 384
> 394
> BAs 68, 229, 389, 391, 411
398, 468 B : H 84, 88, 140, 225, 238, 420
A1
*Gai-*N 397 BC 511
Ali-sGa^P 237, 384, 394, 397, 409, 468 B 4 C 451
A l i - s G a ^ A s ^ y 384, 394, 398, 469, 512 BCN 85, 451
ALj-Ga^Sb 69> 248> 3 8 4 ' 394> 397> 412> BN 76, 80, 84, 139, 144, 145, 146, 188, 189,
468 205, 215, 224, 228, 259, 288, 389, 391,
Al^In^As 397 411, 431, 435, 451, 472, 480, 510, 511
Al^In^P 80, 237, 240, 384, 394, 396, 412 BO^. 453
A1N (a) 16, 84, 99, 100, 144, 185, 205, 214, B 2 0 3 85, 452, 453
259, 260, 473 (Β 2 0 3 )ι-*( Ρ 2° 5 )* 429> 5 0 2
A1N (heteroepi) 180, 186, 188, 190, 198, B P 62, 64, 68, 78, 139, 180, 181, 188, 225,
228, 229, 236, 274, 275, 288, 389, 391, 229, 250, 260, 272, 288, 389, 391, 402, 411
402, 408, 409, 411, 431, 451, 467, 509 B 1 3 P 2 62, 78
A l N - S i 3 N 4 431, 435, 473 Be 304, 438, 442-443
A1 2 0 3 24, 62, 66, 70, 73, 74, 75, 80, 84, 88, Be 3 N 2 452
113, 116, 140, 144, 145, 146, 161, 180, BeO 452, 453
188, 191, 199, 205, 209, 214, 219, 220, Bi 87, 88, 304, 438, 443
227, 231, 256, 257, 258, 259, 260, 261,
263, 276, 301, 312, 318, 319, 329, 335, C 21, 54, 59, 87, 90, 175, 363, 364, 451,
346, 424, 425, 429-430, 452, 472, 480, 474, 511
483, 485, 491, 492, 499, 511 C : H 84, 88, 140, 225, 418, 420-421, 471

704
CVD FILM I N D E X

Yoshimi T 550 80, 109, 113, 427, 5 4 1 ; Zanzucchi P J 648 142, 264, 544; 846 189,
945 213, 552 406, 549; 3930 418, 420, 470, 637
Yoshino J 2913 393, 606; 3153 80, 249, Zarowin CB 1700 314, 323, 572; 1782 314,
396, 614 324, 574; 3984, 3985 84, 420, 639
Yous B 4513 440, 654; 4720 452, 453, 660
Zhu LD 2949 80, 393, 607; 5349 469, 507,
Yuan J S 2924 393, 606; 3219, 398, 616
515, 680
Zaininiger K H 26; 87 58, 423, 528; 683 144, Zimmer G 495; 5011 465, 495, 493, 669
150, 545; 1245255, 560; 1289 263, 472, 562 Zirinsky S 4430 435, 473, 652

CVD Film Index


Ag 146, 211, 308, 438, 442 A l 2 0 3 - C r 2 0 3 429
Al 53, 54, 59, 62, 80, 86, 87, 88, 90, 146, A 1 2 0 3 - P 2 0 5 429
181, 184, 195, 203, 211, 303, 304, 314, A l 2 0 3 - T a 2 0 5 188, 260, 429
319, 322, 323, 324, 327, 329, 330, 340, 346, A l / y ^ 73, 76, 88, 144, 185, 188, 215,
438, 439, 473, 511, 521 259, 260, 431, 435
AlAs (heteroepi) 18, 100, 181, 188, 189, AIP 78, 180, 229, 237, 250, 384, 389, 391,
229, 237, 250, 266, 288, 384, 389, 391- 408, 409, 411, 468
392, 408, 409, 411, 468, 503, 505, 513, A\VxOy 84, 430, 431
514, 515 AlSb 78,229,288, 384, 389, 392, 408, 411, 468
AlAs (poly) 186, 414, 416, 417, 470 AISi 445
AlAsyV^y 384, 394, 397, 469, 514 AlTa 473, 509
A1B 450 Al 3 Ta 439, 445, 446
Al^Ga^ xAs 24, 27, 47', 18, 80, 175, 180, As 304
181, 185, 209, 214, 218, 237, 238, 239, A s : H 84, 420, 421
240, 248, 255, 276, 297, 312, 329, 383, A s - S 421
384, 394, 395, 402, 409, 412, 468, 469, As — Se 421
499, 500, 501, 503, 504, 505, 512, 513, Au 54, 59, 62, 88, 90, 146, 211, 305, 320,
514, 515 324, 327, 329, 438, 442
Al^Ga^aAs^Sbj y 384
A1
2/Gal-S-2/In*As 384> 394> 398
> 5 0 5 B 87, 187, 450
A1
2 / G a i - z - 2 / I n z P 80> 2 3 7 > 2 3 8 > 248
> 384
> 394
> BAs 68, 229, 389, 391, 411
398, 468 B : H 84, 88, 140, 225, 238, 420
A1
*Gai-*N 397 BC 511
Ali-sGa^P 237, 384, 394, 397, 409, 468 B 4 C 451
A l i - s G a ^ A s ^ y 384, 394, 398, 469, 512 BCN 85, 451
ALj-Ga^Sb 69> 248> 3 8 4 ' 394> 397> 412> BN 76, 80, 84, 139, 144, 145, 146, 188, 189,
468 205, 215, 224, 228, 259, 288, 389, 391,
Al^In^As 397 411, 431, 435, 451, 472, 480, 510, 511
Al^In^P 80, 237, 240, 384, 394, 396, 412 BO^. 453
A1N (a) 16, 84, 99, 100, 144, 185, 205, 214, B 2 0 3 85, 452, 453
259, 260, 473 (Β 2 0 3 )ι-*( Ρ 2° 5 )* 429> 5 0 2
A1N (heteroepi) 180, 186, 188, 190, 198, B P 62, 64, 68, 78, 139, 180, 181, 188, 225,
228, 229, 236, 274, 275, 288, 389, 391, 229, 250, 260, 272, 288, 389, 391, 402, 411
402, 408, 409, 411, 431, 451, 467, 509 B 1 3 P 2 62, 78
A l N - S i 3 N 4 431, 435, 473 Be 304, 438, 442-443
A1 2 0 3 24, 62, 66, 70, 73, 74, 75, 80, 84, 88, Be 3 N 2 452
113, 116, 140, 144, 145, 146, 161, 180, BeO 452, 453
188, 191, 199, 205, 209, 214, 219, 220, Bi 87, 88, 304, 438, 443
227, 231, 256, 257, 258, 259, 260, 261,
263, 276, 301, 312, 318, 319, 329, 335, C 21, 54, 59, 87, 90, 175, 363, 364, 451,
346, 424, 425, 429-430, 452, 472, 480, 474, 511
483, 485, 491, 492, 499, 511 C : H 84, 88, 140, 225, 418, 420-421, 471

704
CVD F I L M INDEX

Cd 87, 88, 304, 443 Ga 88


CdCr2S4 (heteroepi) 402 GaAs (a) 418, 420, 421
CdCr 2 S 4 (poly) 416 GaAs (heteroepi) 47, 139, 181, 184, 185,
C d j ^ H g ^ T e 48, 68, 69, 87, 88, 211, 250, 186, 188, 190, 228, 232, 235, 250, 276,
299, 339, 398, 399, 400-401, 402, 469 402, 408, 409, 411, 468, 506, 512, 513,
CdO 310, 447, 449, 452, 473 514, 515,
CdS (epi) 48, 68, 69, 70, 100, 113, 188, GaAs (homoepi) 23, 24, 27, 58, 64, 66,
228, 230, 238, 239, 250, 252, 298, 399, 61, 68, 69, 70, 72, 77, 78, 80, 84, 87,
400, 409, 412, 469, 503, 504, 505, 509 88, 94, 100, 111, 113, 127, 134, 138,
CdS (poly) 252, 414, 416, 417, 470 139, 143, 175, 184, 188, 190, 198, 205,
C d S - I n P 401, 402 207, 209, 214, 217, 218, 230, 232, 239,
C d S ^ S e , 401 240, 243, 245, 246, 255, 260, 262, 263,
CdSe 68, 70, 77 139, 181, 230, 250, 299, 271, 272, 275, 276, 277, 279, 292, 293,
399, 400, 409, 412, 469, 503, 505 312, 319, 322, 327, 329, 330, 331, 332,
C d 2 S n 0 4 48, 252, 447, 449, 473, 511 339, 340, 341, 374, 383, 384-389, 467,
CdTe (a) 418 496, 497, 498, 500, 501, 503, 504, 505,
CdTe (epi) 69, 78, 87, 139, 230, 238, 248, 507
255, 299, 340, 398, 399, 400, 402, 409, GaAs (poly) 180, 186, 252, 340, 414, 416-
412, 467, 469, 505 417, 470, 503, 504
CdTe (poly) 417 GaAs^yPy 24, 27, 58, 68, 69, 70, 88, 100,
Ce 443 113, 139, 180, 205, 213, 230, 237, 238,
Ce 2 0 3 452 239, 240, 248, 255, 266, 276, 332, 337,
Co 305, 438, 443 339, 341, 362, 383, 384, 394, 395, 402,
CoB 450 408, 409, 412, 468, 469, 499, 500, 505,
CoFe 2 0 4 461, 475 507, 512, 514
CoO 74, 452, 462 GaAs^ySbj, 27, 100, 113, 230, 248, 384,
Co 3 0 4 75, 462 394, 396, 409, 412, 468, 507
CoSi 454 G a ^ I n ^ A s 27, 48, 70, 77, 80, 94, 100, 113,
Cr 87, 88, 175, 304, 305, 320, 322, 324, 327, 139, 143, 175, 180, 182, 184, 189, 228,
438, 442, 446, 473, 499, 511 230, 235, 238, 240, 248, 329, 330, 383,
CrAl 439, 445 384, 394, 395-396, 408, 409, 411, 412,
CrB 450 468, 469, 504, 505, 506, 507, 512, 513,
CrC 451 514, 515
CrFe 445 G a ^ I n ^ A s ^ S l t y 384, 394, 398
CrN 511 G a ^ I n ^ P 27, 80, 100, 113, 205, 213, 230,
CrO a 89, 310, 320, 462, 475 237, 238, 240, 248, 276, 384, 394, 396,
C r 2 0 3 48, 89, 452, 453, 474, 511 412, 468, 469, 499, 500, 504, 507, 515
CrSi 2 454, 455 G a ^ I i ^ A s ^ 27, 48, 70, 80, 100, 111,
Cu 87, 88, 305, 438, 442 175, 180, 230, 235, 238, 248, 255, 295,
CuInS 2 68, 69, 180, 402, 412, 469 296, 384, 394, 397, 402, 412, 468, 469,
CuInSe 2 48, 402 500, 512, 515
CuO 452, 453 G a ^ I n ^ S b 384, 394, 396
GaN (a) 16, 84, 431, 435, 451
D y 3 F e 5 0 1 2 268, 311, 460, 461, 475 GaN (heteroepi) 84, 100, 113, 139, 184,
D y ^ e ^ G a ^ O ^ 74 186, 228, 229, 237, 238, 250, 274, 289,
DyN 402 389, 392, 402, 408
G a P (a) 84, 418, 420, 421
E r 3 F e 5 0 1 2 268, 311, 460, 475
E ^ F e ^ G a ^ 74 G a P (heteroepi) 181, 184, 186, 189, 250,
E r N 402 276, 402, 468
E u 3 F e 5 0 1 2 268, 311, 460, 475 G a P (homoepi) 24, 67, 68, 69, 78, 100, 113,
E u g F e ^ G a Ä 74 184, 189, 230, 237, 238, 239, 246, 252,
255, 260, 263, 275, 276, 279, 289, 290,
F e 87, 88, 90, 306, 438, 443 319, 340, 383, 384, 389, 392, 408, 409,
F e B 450 411, 467, 468, 499, 514
F e F e 2 0 4 461, 475 G a P (poly) 470
FeO 74, 452, 462 GaSb 69, 100, 113, 230, 246, 252, 277, 294,
F e 2 0 3 48, 85, 225, 311, 452, 453, 474, 509 384, 389, 392-393, 408, 411
F e 3 0 4 75, 462
FeSi 454 Gd 3 Fe 5 0 1 2 181, 311, 314, 460, 461, 475
FeSn 64, 445 Gd 3 Fe 5 _3Ga,p 1 2 74

705
CVD FILM INDEX

Ge (heteroepi) 24, 182, 190, 250, 407, 409, Ug 306, 443


410, 468 MgFe 2 0 4 75, 461, 475
Ge (homoepi) 21, 63, 66, 68, 71, 87, 139, MgO 452, 453
143, 145, 184, 190, 229, 242, 246, 271, MgO · A1 2 0 3 (spinel) 452, 453
275, 276, 287, 330, 331, 363, 365, 375, Mn 306
381, 467, 498 MnFe 2 0 4 461, 475
Ge (poly) 88, 252, 363, 414, 416, 470, 511 M n 0 2 452
GeAs 421 MnSi 454
GeC 84 Mo 24, 80, 85, 87, 89, 146, 175, 181, 185,
G e : C : H 420, 421 203, 211, 241, 306, 314, 320, 322, 327,
G e : H 84, 175, 363, 418, 420, 421, 514 330, 332, 335, 438, 440-441, 473, 480,
Ge 3 N 4 140, 302, 313, 431, 435, 451 485, 499, 509, 511, 521
GeOa 84, 85, 89, 302, 339, 430, 452, 453, MoB 2 450, 511
474, 501, 502 MoC 451
GeSe 84 MoN 511
Mo0 3 85, 452, 453, 511
Hf 305, 438, 443 MoSi 2 80, 85, 146, 181, 203, 211, 241, 320,
HfB 2 450 328, 330, 454, 455, 474, 480, 485, 511,
HfC 451, 511 521
HfCN 451, 511
HfN 452, 511 Nb 307, 320, 324, 327, 438, 443
H f 0 2 144, 180, 302, 313, 425, 430, 431, NbB 2 450
452 NbC 451, 511
HfSi 2 339, 454, 455 NbCN 457, 458, 460, 475
HgSe 299 Nb 3 Ga 100, 457, 458, 460, 475
HgTe 87, 139, 195, 250, 299, 399, 400, 412 Nb 3 Ge 24, 64, 99, 100, 181, 185, 187, 188,
Ho 3 Fe 5 O i a 268, 311, 460, 475 228, 229, 267, 311, 314, 456, 457, 458,
H o g F e ^ G a ^ 74 459, 475
Nb 5 Ge 3 188
In 88, 443 NbGeSi 457
InAs 78, 100, 113, 230, 240, 248, 296, 389, NbN 76, 77, 452, 457, 458, 460, 475, 511
393, 408, 409, 411, 467, 514, 515 N b 2 0 5 70, 144, 180, 188, 259, 260, 302,
I n A s ^ ^ P g S b y 384, 394, 398, 469, 514 313, 425, 430, 431, 452
I n A s ^ S b ^ 230, 240, 384, 394, 397, 412 NbSi 2 181, 241, 320, 328, 454, 455, 474
l n 2 0 3 48, 73, 74, 75, 85, 89, 143, 232, 252, Nb 3 Si 64, 267, 457, 458, 460, 475
314, 365, 446, 447, 449, 452, 474, 509 Nb 3 Sn 24, 59, 64, 70, 229, 267, 311, 314,
In 2 0 3 :Sn(ITO) 24, 48, 187, 205, 213, 310, 445, 457, 458, 459, 475
314, 412, 416, 447, 449, 474, 504, 505, Nd 3 Fe 5 0 1 2 268, 311, 460, 475
509, 511 N d a F e ^ G a ^ 74
InP (epi) 48, 70, 78, 80, 88, 100, 111, 113, Ni 21, 62, 85, 87, 89, 307, 438, 441-442,
134, 138, 139, 175, 176, 180, 182, 186, 473, 511
228, 230, 238, 240, 246, 252, 255, 263, NiB 450
266, 271, 272, 275, 277, 279, 295, 296, NiCr 195, 309, 310, 445, 446, 473, 499
319, 322, 327, 329, 330, 332, 339, 340, NiFe 310, 439, 445, 473
341, 383, 384, 389, 393, 408, 411, 467, N i F e 2 0 4 67, 69, 70, 100, 181, 184, 188,
468, 469, 500, 512, 513, 514, 515 189, 198, 232, 332, 475
InP (poly) 139, 180, 185, 186, 188, 252, (Ni, Mn) F e 2 0 4 461, 475
414, 416, 417, 470, 504 NiO 452, 453, 462
InPyAs^y 27, 184, 230, 240, 248, 384, 394, N i 3 0 4 75
396-397, 412, 468, 506, 507 NiSi 454
InP^Oy 85, 430, 431 (Ni, Zn) F e 2 0 4 461, 475
InSb 230, 240, 248, 255, 297, 340, 384,
389, 393, 467 Os 307, 438, 443-444
Ir 306, 438, 443 P : H 84, 421
P N 89
LaN 452 P 3 N 5 85, 435
Li 2 Fe 2 0 4 461, 475 ΡΛ.Ν2/Οζ 435
LiNbOg 452, 453 P 2 0 5 75, 85, 452, 453, 474, 501, 502
Lu 3 Fe 6 0 1 2 268, 311, 460, 475 Pb 90, 306, 438, 443
LugFeg^Ga^O^ 74 PbFe 1 2 O l 9 509
LuN 402 PbO 70, 311, 452, 453

706
CVD F I L M I N D E X

P b 0 2 452, 453 281, 282, 283, 284, 316, 322, 324, 326,
P b S 299, 401 329, 330, 331, 332, 335, 336, 338, 346,
P b S ^ S e ^ . 240, 401 349, 351, 361, 362, 365, 374, 375-381,
PbSe 240, 300, 401 412, 467, 475, 476, 479, 480, 482, 483,
PbTe 240, 300, 401 '486, 489, 490, 493, 494, 495, 498, 503,
PbTiO a 75, 452, 453 504, 505, 515, 520
P d 48, 146, 211, 307, 444 Si (poly) 23, 24, 26, 39, 53, 58, 80, 84, 85,
P d S i 454 87, 88, 90, 108, 122, 127, 132-134, 135,
Polymers 138, 143, 144, 145, 146, 156, 158, 159,
epoxy polymers 90 161,· 162, 166, 168-170, 171, 175, 176,
metal-containing fluoropolymers 437 180, 185, 186, 188, 189, 190, 199, 203,
miscellaneous organometallic polymers 209, 210, 215, 220, 228, 231, 232, 233,
437 241, 252, 263, 266, 279, 284, 285, 286,
organotin polymers 437 317, 320, 321, 323, 324, 326, 329, 330,
photoresist 319, 327 331, 332, 335, 336, 337, 338, 339, 346,
polyacrilonitril 436 349, 351, 361, 362, 412, 414-416, 426,
polyacrolein 72, 87, 436 470, 481, 485, 487, 488, 489, 490, 492,
polybutadiene 72, 87, 90, 436 492, 493, 495, 496, 503, 504, 509, 511,
polydivinylbenzene 72, 87, 90, 436 511, 519
polymethylmethacrylate 72, 87, 88, 90, SiAsTe 418, 420, 421
436 SiB 450
polymethylvinylketone 436 S i : B : H 80, 84, 186, 188, 195, 203, 205,
polymethylsiloxane 73, 85, 437, 473 210, 215, 420, 421,
poly-/>-xylylene (parylene) 73, 85, 436, SiC (epi) 62, 64, 80, 139, 229, 237, 246,
473, 487 250, 279, 287, 288, 318, 324, 339, 362,
polysilicone 90, 436 365, 375, 381-382, 407, 409, 410, 467,
polysiloxane 258, 259, 280, 319 468
polystyrene 72, 85, 90, 261, 436, 413 SiC (poly) 11, 89, 451, 474, 499, 509
polyvinylidene fluoride 85, 436 S i : C : H 84, 85, 86, 81, 189, 212, 233, 418,
transition-metal-containing polymers 437 420, 421, 471, 504
P t 89, 146, 211, 307, 438, 441, 473 S i : F : H 470
P t S i 454, 455, 474 Si: Ge (epi) 184, 409, 410
Pu 444 Si; G e : B 84, 420, 421, 471
S i : G e : H 84, 87, 420, 421
Re 307, 438, 444 Si: H 23, 24, 27, 39, 51, 52, 80, 84, 87, 88,
ReSi 454 114, 137, 138, 139, 146, 160, 165, 175,
R h 307, 438, 444 180, 184, 186, 188, 189, 190, 195, 205,
RhSi 454 207, 209, 212, 213, 215, 218, 220, 2 2 1 ,
Ru 48, 308, 439 225, 232, 233, 234, 235, 236, 237, 238,
RuO a 453 252, 255, 266, 279, 286, 339, 341, 346,
351, 361, 362, 363, 418-420, 421, 470,
Sb 304, 439, 442 503, 504, 509, 511, 514
Sb 2 O s 452 Si: SiO a ; Si: Si 3 N 4 (SIPOS) 24, 80, 203, 205,
ScAs 401, 402 210, 212, 213, 215, 303, 346, 351, 416,
ScAsP 401, 402 470, 477, 478, 479, 487
ScN 113, 250 Si 3 N 4 23, 24, 32, 51, 52, 53, 58, 59, 70, 76,
ScP 40 1, 402 79, 80, 84, 86, 81, 88, 89, 90, 93, 95->
Si (heteroepi) 61, 144, 145, 146, 160, 171, 98, 99, 100, 108, 110, 113, 116, 121,
174, 176, 180, 181, 185, 186, 188, 190, 122, 125, 127-131, 138, 140, 143, 144,
195, 197, 198, 217, 227, 228, 232, 243, 145, 146, 149, 152, 153, 154, 155, 156,
250, 263, 266, 275, 279, 285, 335, 339, 158, 159, 166, 175, 180, 186, 191, 199,
402, 403, 404-407, 410, 467, 480-482, 200, 205, 209, 210, 212, 214, 215, 219,
493, 494 220, 221, 225, 227, 228, 229, 231, 236,
Si (homoepi) 2 1 , 23, 58, 62, 68, 69, 71> 255, 256, 257, 258, 259, 260, 261, 263,
80, 84, 86, 87, 88, 94, 100, 102, 111· 272, 276, 302, 313, 316, 317, 318, 320,
113, 116, 120, 122, 127, 134, 138, 143» 321, 323, 324, 327, 331, 335, 337, 339,
145, 146, 148, 157, 158, 161, 162, 166> 340, 346, 349, 351, 361, 362, 363, 378,
171, 174, 176, 182, 184, 185, 190, 197, 423, 426, 431-434, 451, 472, 477, 478,
198, 203, 207, 210, 217, 229, 231, 238, 479, 480, 482, 483, 485, 486, 487, 489,
242, 243, 245, 246, 255, 260, 262, 263, 490, 491, 492, 494, 495, 496, 499, 500,
264, 270, 271, 272, 275, 276, 277, 279, 504, 505, 509, 510, 511, 519, 520

707
CVD FILM I N D E X

Si 3 N 4 (thermal) 57 ( δ ί Ο ^ . ^ Ζ η Ο ^ Β , Ο ^ [ZBSG] 313, 425,


S i 3 N 4 : S i 94, 144, 185, 188, 205, 213, 431, 428
434 SixOyNz 52, 73, 76, 80, 84, 87, 88, 93, 94,
Si^NyH* 76, 85, 160, 189, 195, 205, 209, 144, 205, 209, 212, 213, 215, 218, 219,
212, 215, 221, 231, 263, 302, 313, 424, 220, 221, 228, 231, 263, 302, 313, 335,
431, 487, 498, 514 349, 424, 431, 434-435, 472
Si«, N x Hy0 2 431 Sm 3 Fe 5 0 1 2 268, 311, 460, 475
S i 0 2 21, 23, 24, 51, 52, 53, 58, 59, 62, 66, SmgFeg^Ga^O^ 74
67, 69, 70, 73, 74, 75, 80, 84, 85, 86, 87, Sn 62, 87, 89, 308, 439, 444
88, 89, 90, 99, 100, 108, 113, 116, 121, SnO a 24, 47, 48, 73, 74, 75, 80, 85, 116, 138,
122, 138, 139, 143, 144, 145, 146, 149, 140, 144, 149, 181, 187, 189, 192, 203,
154, 156, 158, 159, 160, 166, 180, 186, 205, 211, 213, 214, 252, 277, 310, 314,
189, 192, 193, 195, 199, 200, 203, 205, 320, 346, 351, 366, 412, 416, 417, 446-
209, 210, 212, 214, 215, 219, 220, 225, 447, 448-449, 452, 474, 503, 505, 509,
227, 228, 231, 256, 257, 258, 259, 260, 511
262, 263, 276, 300, 301, 312, 313, 316, Sn
i - * P b * S e 2 4 0 > 3 00, 401, 469
317, 318, 320, 321, 323, 324, 326, 329, Sn^PUpTe 70, 240, 300, 374, 401, 409, 469
330, 331, 332, 335, 337, 339, 346, 348, SnS 401
349, 351, 361, 362, 363, 378, 382, 423, SnSe 401
424, 425-426, 452, 471, 477, 478, 480, SnTe 401
482, 483, 485, 486, 488, 490, 491, 494,
495, 496, 498, 499, 501, 502, 505, 520 Ta 64, 146, 203, 211, 308, 314, 320, 324,
S i 0 2 (thermal) 40, 41, 57, 80, 160, 199, 327, 329, 332, 438, 441, 473, 499, 510
207, 210, 212, 218, 220, 227, 233, 257, TaAIN 446, 473, 510
258, 338, 339, 341, 476, 489, 505 TaB 2 78, 181, 225, 450
S i 0 2 : N d 429 Ta 2 B 225
SiO a : Sb 336, 425, 429 TaC 451, 511
SiO a :Si 24, 94, 144, 185, 188, 205, 213, TaN 76, 77, 446, 452, 473, 499, 510, 511
425, 427, 471, 487, 492 Ta 2 N 77, 446, 452
SiO a : Sn 336, 425 Ta 3 N 5 .76, 446, 452
(SiOJ^AlaOa)* [AISG] 140, 301, 313, 425, TaNb 439, 445
428 TaO 75
(Si0^x.y(A\203)x(Bfi3)y [A1BSG] 313, 365, Ta 2 O s 75, 144, 180, 188, 209, 219, 259, 260,
425, 428 302, 313, 425, 430, 431, 452, 499, 504
( S i O a W ^ A l ^ y P p ^ [A1PSG] 313, 425, TaxOyNz 510
428 TaSi 2 80, 85, 146, 203, 211, 241, 320, 328,
;
(Si0 2 ) 1 _*_ 2/ (Al 2 0 3 yPbO) 2 , (LA1SG) 428 330, 339, 454, 455, 474, 480
( S i O ^ f A s a O e k [AsSG] 80, 84, 189, 200, TaTiB 2 181, 225, 450
205, 214, 221, 301, 313, 318, 336, 346, Tb 3 Fe 5 0 1 2 268, 311, 460, 475
425, 428, 471, 487 TbgFeg^Ga^O^ 74
( S i C g ^ B ^ [BSG] 73, 80, 84, 143, 144, Tb3_3JEr*Fe5012 74, 461
145, 146, 186, 218, 221, 228; 231, 301, Th 439, 444
313, 318, 332, 336, 346, 348, 349, 351, T h 0 2 425, 430, 431, 452
361, 424, 425, 428, 471, 487, 504 Th 3 N 2 452
( S i O a J i ^ B a O g y P b O ^ [LBSG] 313, 425, Ti 89, 309, 320, 322, 324, 327, 329, 330, 332,
428 439, 444, 473
S i 0 2 - G e 0 2 429 TiB 2 78, 80, 85, 99, 100, 140, 181, 187,
S i 0 2 - G e 0 2 - B 2 0 3 - P 2 0 5 501, 502 225, 339, 450, 474, 511
( S i O a h - ^ t G e O a U B ^ [GBSG] 425, 428 Ti-C 77, 80, 85, 89, 99, 100, 446, 447, 451,
(SiOaK-yPaO^ [PSG] 52, 73, 80, 84, 87, 474
111, 113, 143, 144, 145, 146, 186, 192, TiCN 80, 85, 451, 511
200, 203, 205, 210, 211, 214, 221, 227, Ti_C-0451
228, 231, 233, 258, 263, 301, 313, 318, T i - F e 445
323, 324, 327, 335, 336, 346, 348, 349, T i - G e - C 451
351, 424, 425, 427, 471, 487, 504 TiN 80, 181, 225, 452, 474, 511
S i O a - P 2 0 5 429 T i 0 2 21, 48, 75, 85, 89, 116, 140, 144, 180,
tSi0 2 ) 1 _^(P 2 0 5 ) : i : (B 2 0 3 ) 2 / [BPSG] 80, 84, 181, 185, 187, 188, 225, 259, 261, 301,,
233, 348, 349, 351, 425, 428-429, 471 313, 318, 328, 425, 430, 452, 474, 504
( S i C y ^ P b O ^ [LSG] 301, 313, 425, 428 TiSi 2 80, 85, 89, 146, 181, 203, 211, 241
( S i 0 2 ) i - * ( Z n ° ) s t Z S G ] 301> 313> 336> 425> 321, 322, 328, 329, 330, 351, 454, 455,
428 474, 480

708
SUBJECT INDEX

T i _ s i - C 451 Y b 3 F e 5 0 1 2 268, 311, 460, 475


T i - T a 439, 445 Y b g F e s ^ G a ^ O ^ 74
(Ti, Zr)B 2 181, 225, 450 Y b N 402
Tl 89
T m F e 5 0 1 2 268, 311, 460, 475 Zn 85, 81, 89, 444
T ^ F e s - a G a ^ O ^ 74 Zn
i - z C d z S 401
Zn
i - * C o y r e 398, 401, 470
U 439, 444 ZnCr 2 S 4 417
U 0 2 452 ZnO (epi) 48, 67, 69, 70, 73, 74, 75, 84,
85, 86, 88, 186, 188, 215, 224, 228, 230,
V 309, 328, 438, 442 237, 238, 250, 252, 274, 297, 336, 399,
V B 2 450 409, 412, 468, 469, 504, 509
VC 451, 511 ZnO (poly) 139, 180, 232, 252, 416, 417,
V 3 Ga 457, 458 452, 470
VN 452 Zn 3 P 2 (poly) 69, 252, 416, 470, 505
VO^. 453 ZnS 67, 69, 70, 78, 80, 100, 113, 188, 228,
V 0 2 446, 447, 452, 474 230, 239, 297, 374, 399, 402, 409, 412
V 2 0 3 48, 452, 453 Z n S - G a P 401, 402
V 2 0 5 328 Z n S ^ S e ^ (epi) 69, 70, 80, 180, 189, 230,
VSi 2 454, 455 235, 252, 399, 401, 412, 469, 514
V 3 Si 457, 458 Z n S ^ S e ^ . (poly) 80, 416, 417
ZnSe 67, 68, 69, 70, 78, 84, 87, 139, 181,
W 24, 54, 59, 62, 65, 80, 85, 87, 89, 90, 184, 186, 188, 189, 205, 214, 215, 230,
116, 127, 140, 175, 181, 241, 263, 270, 238, 239, 245, 250, 252, 298, 399, 402,
309, 314, 320, 322, 324, 328, 332, 346, 409, 412, 469, 514
349, 351, 363, 438, 439-440, 473, 480, Z n S e - G a A s 401, 402
499, 511, 521 Z n S e - G a P 401, 402
W B 450 Z n S e ^ T e ^ 398
WC 451 ZnSiAs 2 (epi) 78, 180, 209, 218, 252, 402,
WMo 439, 445 412, 469
WMoRe 439, 445 ZnSiAs 2 (poly) 416
W 0 3 85, 311, 452, 453, 474, 511 Z n 2 S i 0 4 : M n 425, 429
W R e 439, 445 ZnSiP 2 (poly) All
WSi 2 80, 85, 146, 188, 203, 211, 232, 241, ZnTe 69, 230,· 298, 398, 399-400, 409,
263, 321, 328, 337, 339, 351, 363, 454, All, 469
475, 480, 521 Zr 309, 439, 444
W T a 439, 445
ZrB 2 140, 187, 225, 229, 232, 339, 450r
Y 3 F e 5 0 1 2 75, 100, 181, 267, 268, 311, 460, 474, 511
461, 475 Zr 451, 511
Y3Fe 5 _sG a a O 1 2 461 ZrCN 451, 511
Y N 401, 402 ZrN 452, 474, 511
Y 2 0 3 425, 429 ZrO a 144, 180, 185, 188, 260, 302, 313, 425
Y 2 0 2 S 425, 429 430, 452, 474, 504, 511
Y V 0 4 425, 429 ZrSi 2 454, 455

Subject Index

Activation energy of CVD processes 104, Aluminium-gallium arsenide 395


122, 123, 124, 126, 1 3 8 - 1 4 0 , 172 Aluminium oxide films 429—430
Adhesion 224 Aluminium-V compound films 391 — 393
AES 2 1 4 - 2 1 5 Amorphous films
Alloy films 445 insulators 422 — 437
Aluminium films 439 semiconductors 418 — 421

709
SUBJECT INDEX

T i _ s i - C 451 Y b 3 F e 5 0 1 2 268, 311, 460, 475


T i - T a 439, 445 Y b g F e s ^ G a ^ O ^ 74
(Ti, Zr)B 2 181, 225, 450 Y b N 402
Tl 89
T m F e 5 0 1 2 268, 311, 460, 475 Zn 85, 81, 89, 444
T ^ F e s - a G a ^ O ^ 74 Zn
i - z C d z S 401
Zn
i - * C o y r e 398, 401, 470
U 439, 444 ZnCr 2 S 4 417
U 0 2 452 ZnO (epi) 48, 67, 69, 70, 73, 74, 75, 84,
85, 86, 88, 186, 188, 215, 224, 228, 230,
V 309, 328, 438, 442 237, 238, 250, 252, 274, 297, 336, 399,
V B 2 450 409, 412, 468, 469, 504, 509
VC 451, 511 ZnO (poly) 139, 180, 232, 252, 416, 417,
V 3 Ga 457, 458 452, 470
VN 452 Zn 3 P 2 (poly) 69, 252, 416, 470, 505
VO^. 453 ZnS 67, 69, 70, 78, 80, 100, 113, 188, 228,
V 0 2 446, 447, 452, 474 230, 239, 297, 374, 399, 402, 409, 412
V 2 0 3 48, 452, 453 Z n S - G a P 401, 402
V 2 0 5 328 Z n S ^ S e ^ (epi) 69, 70, 80, 180, 189, 230,
VSi 2 454, 455 235, 252, 399, 401, 412, 469, 514
V 3 Si 457, 458 Z n S ^ S e ^ . (poly) 80, 416, 417
ZnSe 67, 68, 69, 70, 78, 84, 87, 139, 181,
W 24, 54, 59, 62, 65, 80, 85, 87, 89, 90, 184, 186, 188, 189, 205, 214, 215, 230,
116, 127, 140, 175, 181, 241, 263, 270, 238, 239, 245, 250, 252, 298, 399, 402,
309, 314, 320, 322, 324, 328, 332, 346, 409, 412, 469, 514
349, 351, 363, 438, 439-440, 473, 480, Z n S e - G a A s 401, 402
499, 511, 521 Z n S e - G a P 401, 402
W B 450 Z n S e ^ T e ^ 398
WC 451 ZnSiAs 2 (epi) 78, 180, 209, 218, 252, 402,
WMo 439, 445 412, 469
WMoRe 439, 445 ZnSiAs 2 (poly) 416
W 0 3 85, 311, 452, 453, 474, 511 Z n 2 S i 0 4 : M n 425, 429
W R e 439, 445 ZnSiP 2 (poly) All
WSi 2 80, 85, 146, 188, 203, 211, 232, 241, ZnTe 69, 230,· 298, 398, 399-400, 409,
263, 321, 328, 337, 339, 351, 363, 454, All, 469
475, 480, 521 Zr 309, 439, 444
W T a 439, 445
ZrB 2 140, 187, 225, 229, 232, 339, 450r
Y 3 F e 5 0 1 2 75, 100, 181, 267, 268, 311, 460, 474, 511
461, 475 Zr 451, 511
Y3Fe 5 _sG a a O 1 2 461 ZrCN 451, 511
Y N 401, 402 ZrN 452, 474, 511
Y 2 0 3 425, 429 ZrO a 144, 180, 185, 188, 260, 302, 313, 425
Y 2 0 2 S 425, 429 430, 452, 474, 504, 511
Y V 0 4 425, 429 ZrSi 2 454, 455

Subject Index

Activation energy of CVD processes 104, Aluminium-gallium arsenide 395


122, 123, 124, 126, 1 3 8 - 1 4 0 , 172 Aluminium oxide films 429—430
Adhesion 224 Aluminium-V compound films 391 — 393
AES 2 1 4 - 2 1 5 Amorphous films
Alloy films 445 insulators 422 — 437
Aluminium films 439 semiconductors 418 — 421

709
SUBJECT INDEX

Amorphous silicon 418 — 420 Charges in dielectric films 256—258


Analysis techniques of CVD films 201 — 222 dipole charge 258
Auger electron spectroscopy 214 — 215 fixed insulator charge 257
electron-probe microanalysis 204, insulator trapped charge 258
213-214 interface trapped charge 257
emission and atomic absorption spec- interface trapping charge 258
troscopy 202, 210 mobile ionic charge 257—258
glow-discharge mass spectrometry 208, Chemical reactions in CVD 60 — 90
218 acoustic wave stimulated reactions
glow-discharge optical spectroscopy 81-82
208, 2 1 8 - 2 1 9 carbidization 77
ion-beam backscattering 208, 219— catalytic-stimulated reactions 79
220 chemical reduction 63 — 64
ion probe microanalysis 206, 216—217 chemical transport 65—70
ion scattering spectroscopy 206, 215 — combined reactions 78
216 disproportionation 70 — 72
neutron activation analysis 202, 210 electron-beam-promoted reactions 89—
nuclear reaction analysis 208, 220 — 90
221 glow-discharge reactions 83 — 86
radioactive tracer analysis 202, 210 high-pressure reactions 81
scanning Auger microanalysis 206, 215 high-vacuum (pyrolysis) reactions 81
secondary ion mass spectrometry 206, hydrolysis 74—76
217-218 ion-beam promoted reactions 90
spark-source mass spectrometry 204, laser-promoted reactions 87—89
212-213 low-pressure reactions 79—81
wet chemical 202, 210 nitridation 76—77
X-ray fluorescence spectroscopy 202, OM-compound reactions 82—83
211 oxidation 73 — 74
X-ray photoelectron spectroscopy 204, photon-promoted reactions 86—87
211-212 plasma-promoted reactions see glow-
Annealing of CVD films 2 3 1 - 2 3 3 discharge reactions
Anodization 33, 4 1 , 42, 3 4 0 - 3 4 1 polymerization 72—-73
electrolytic 33, 340 premixed gas reactions 79
pyrolysis 61 — 63
plasma 41, 42, 3 4 0 - 3 4 1
reaction with substrate 65
Arrhenius plot 104, 121, 122, 123, 124, 126, synthesis 77—78
168, 171, 172, 323 thermally-activated reactions 61 — 83
Artificial heterostructures 512 — 515 Chemicals in CVD 3 5 8 - 3 6 0 , 3 6 1 - 3 6 6
Monolayers 514—515 Chemical vapour deposition of thin films
Quantum wells 512 — 513 fundamental aspects 29—341
Superlattices 512—514 future trends 5 1 9 - 5 2 1
Two-dimensional electron gasses 515 history 21 — 27
Autodoping 380 present status 518 — 519
Band gap energy of CVD semiconductor techniques of preparation 343—462
uses 463 — 515
films 2 2 9 - 2 3 0 , 2 3 4 - 2 3 5 Close-spaced vapour transport 65, 61, 68,
Binary semiconductor compounds 384 — 393, 69, 70
3 9 9 - 4 0 0 , 401, 4 0 7 - 4 0 8 , 409; 411, 412, Closed-tube vapour transport 65, 66, 67,
4 1 6 - 4 1 7 , 421 6 8 / 69, 70
Boride films 450 Coatings see Thin films
Boron-V compound films 389, 391 Comparison (table) of
Bulk crystals by CVD 510 analyses techniques for thin films
202-209
Carbide films 451 chemicals (gases and organometallies)
Carrier concentration measurement 244 — 245 used in CVD 3 6 1 - 3 6 6
correlation of SC resistivity to dopant CVD equipment suppliers 350 — 359
concentration 244 CVD reactor systems 346—347
C-V measurements 244 — 245 diffusion techniques 334
Hall effect 244 dry etching processes 315
I R reflection or absorption spectra 245 formation techniques of thin films.
Carrier mobility measurement 264 — 266 55-59

710
S U B J E C T INDEX

processes used in integration tech- Equipment for CVD 3 4 5 - 3 5 8


niques 518 — 519 Etch
properties of CVD substrates 368 — 371 A-B 290, 292
properties of dielectric films 424 CP-4 286
properties of superconducting com- CP-4A 286, 297
pounds 458 Dash 282
solar cell characteristics 504 — 505 ferricyanide 287
thickness measurement techniques for iodine 280, 286
thin films 1 4 3 - 146 P 285, 312, 424
uses of CVD materials 467—475 planar 280
Composition measurement of CVD films 221 Sailor 282
Conductor films 438 — 455 Sirtl 281
Secco 281
Deep level impurities 254 — 255 superoxol 286
Deep level transient spectroscopy 254 — 255 White 281
Defect in Wright-Jenkins 282
amorphous insulating films 199—200 Etchant type
crystalline semiconductor films 196 — anisotropic 311
199 electrochemical 311
Deposition rate, effect of CVD parameters fused 311
on 1 0 2 - 1 0 7 gaseous 311
Devices see also Microwave devices; Pho- isotropic 311
tonic devices; Miscellaneous devices liquid 311
diode 4 8 2 - 4 8 3 selective 311
thyristor 486 Etchants for
transistor 483 — 486 cleaning 311
Dielectric films see Insulator films film thinning or removal 311
Dielectric^nsulation see Insulation in bipolar general etching 311
ICs junction delineation 311
Diffusion of impurities in thin films 333 — 336 p a t t e r n definition 311
from doped films 336 polarity differentiation 311
from a gas-phase deposited source polishing 311
333-335 thinning 311
Disproportionation 70 — 72 structural defect determination 311
Doping of films and substrates 332 — 337 surface finishing 311
during CVD process 134—135, 333, Etching of thin films
380, 415 by sputtering see Ion beam etching;
ion implantation 336—337 Plasma etching; Reactive ion etching;
laser-induced diffusion 337 Sputter etching; Reactive ion-beam
thermal diffusion 333 — 336 etching
Dry etching 314 — 332 chemical 2 6 9 - 3 1 4
EBCVD 53, 90 equipment 274
Electrical properties 240 — 264 kinetics 272 — 273
Electroless plating 32 — 33 laser-induced 277
Electroplating 32 processes 274—277
Elemental semiconductor films 375 — 381, electrolytic 276—277
4 0 4 - 4 0 7 , 410, 4 1 4 - 4 1 6 , 4 1 8 - 4 2 0 gas-phase 277
Energy band gap see Band gap energy isotropic 275
Epitaxial films 373 — 413 mechanico-chemical 274—275
heteroepitaxial on oxides 402 — 409 preferential 275
heterojunctions 409—413 selective 276
homoepitaxial 373 — 402 reactions 270—272
Epitaxial growth techniques 274
at low pressure 377 for p a t t e r n delineation 278—279
a t low temperature 378 laser-assisted electrochemical 277, 278
in plasma 378 of specific materials 279—314
on selected substrate area 378 conductors 3 0 3 - 3 1 1 , 313, 314
over ion-implanted substrates 378 insulators 3 0 0 - 3 0 3 , 3 1 2 - 3 1 3
E p i t a x y see V P E ; L P E ; MBE ; S P E ; Sput- magnetics 311, 314
tering semiconductors 279—285, 312
EPMA 204, 2 1 3 - 2 1 4 superconductors 311, 314

711
SUBJECT INDEX

photoelectrochemical 277 silicon on insulator 493, 494, 495


Feasibility of a CVD process 92 — 94 silicon on sapphire 494
Ferrite films 4 6 1 - 4 6 2 silicon over implanted buried oxide
Films see Thin films or nitride 493, 494, 495
trench isolation 493, 494, 495
Gallium arsenide-phosphide 395 Insulator films 422—437
Gallium arsenide films 3 8 4 - 3 8 9 , 408, 411, Integrated circuits 486—496, 497, 498—499
416-417 bipolar 4 8 7 - 4 9 0
heteroepitaxial on oxide substrates 408 hybrid 497, 4 9 8 - 4 9 9
heteroepitaxial on semiconductor sub- monolithic 486—496
strates 411 MOS 4 9 1 - 4 9 6
homoepitaxial 384—389 charge-coupled device (CCD) 492,
polycrystalline 416—417 493
Gallium,-V compound films 392—393 memory 492
Garnet films 4 6 0 - 4 6 1 SOS-MOS 493
Germanium films 381, 407, 410, 416, 421 Ion-beam backscattering analysis 208, 219—
Glow-discharge polymerization 72 220
Group II-IV semiconductor films 401, 409, Ion-beam etching (milling) 325, 328—329
412, 417 Ion implantation 36—37, 56, 336—337
Group III-V semiconductor films 382 — 398, Ion plating 37, 38, 56
4 0 7 - 4 0 9 , 4 1 1 - 4 1 2 , 4 1 6 - 4 1 7 , 421 Kinetics and mechanism of dopant incor-
Group IV semiconductor films 375 — 382, poration in CVD films 1 3 4 - 1 3 5
4 0 4 - 4 0 7 , 410, 4 1 4 - 4 1 6 , 4 1 8 - 4 2 1 Kinetics of CVD 1 0 1 - 1 4 1
Group IV-VI semiconductor films 398 — 401 deposition rate, continuous measure-
ment of 1 0 8 - 1 0 9 , 110
Hall effect 2 6 4 - 2 6 6 gravimetric method 109, 110
Hard coatings 511 optical method 108—109
Heterogeneous reaction 101 — 102, 123, 124 — deposition rate, influence of experi-
127, 1 2 7 - 1 3 1 , 1 3 2 - 1 3 3 mental parameters on 102— 107
Heterojunctions 4 0 9 - 4 1 3 , 421 example of kinetic and mechanism
Homogeneous reaction 115—116, 124, 131, investigations for CVD systems 136—
133-134 140
H W E 35, 36, 56 experimental methods for studying
CVD kinetics 1 0 9 - 1 1 5
IBCVD 54, 90 I R absorption spectroscopy 110 —
Indium-V compound films 393 111
Insulation in bipolar ICs 487 — 490 gas chromatography 112—113
etch-refill process 488, 490 mass spectrometry 113
I P O S process 489, 490 R a m a n spectrometry 114—115
isoplanar process 489, 490 homogeneous reactions in CVD 113—
LOCOS 489, 490 116
polyplanar process 489, 490 mechanism of CVD processes 116— 134
with polysilicon 489, 490 equilibrium-controlled processes 117
with recessed oxide 489, 490 diffusion-controlled processes 117—
Insulation of MOS ICs 4 ^ 3 - 4 9 6 120
buried oxide 493, 494 kinetically-controlled processes 120 —
direct moat process 493, 494, 496 134
epitaxial lateral overgrowth 493, 494, steps and control type of a CVD hetero-
495 geneous reaction 101 — 102
full isolation by porous oxide silicon
494 Laser-assisted dry etching 331 — 332
polysilicon recrystallization 493, 494, Lattice parameter of CVD films 229—230
495 Layers see Thin films
recessed LOCOS 494 LCVD 5 2 - 5 3
sealed interface local oxidation 493, LPCVD 4 8 - 4 9
494, 496 LPE 3 8 - 3 9
selective epitaxial growth 493, 494,
495 Magnetic films 460—462
selective polysilicon oxidation 493, 494, Magnetic properties 2 6 4 - 266
496 MBE 3 4 - 3 6
side wall masked isolation 493, 494, 495 Mechanical properties 224 — 228

712
SUBJECT INDEX

Mechanism of CVD processes 116—134, anodic 340 — 341


1 3 6 - 140 electrolytic 340
Melting temperature of CVD films 229—230 photochemical/laser 339
Metal films 4 3 8 - 4 4 4 plasma anodization 340 — 341
Microwave devices 496—499 Oxide films 4 2 5 - 4 3 1 , 4 5 2 - 4 5 3
Gunn effect device 496, 497, 498
IMPATT diode 497, 498 PECVD 4 9 - 5 1
microwave integrated circuits 497, 498, Phosphide films 408
499 Photoelectric properties 236—240
microwave transistors 497, 498 Photonic devices 499—507
Schottky mixer diode 497, 498 electroluminiscent diodes 499—500
Schottky varactor 497, 498 laser diodes 2 3 9 - 2 4 0 , 500, 501
variable capacitance diode 497, 498 optical fibres 5 0 0 - 5 0 1 , 502
Miscellaneous devices 507—511 photocathodes 505, 506, 507
bubble memories 507, 508, 509 photodetectors 505, 506
chemical sensors 508, 509, 511 solar cells 501 — 505
electronic printers 508, 509, 510 Plasma etching 314—324
energy conserving windows 508, 509, chemical reactions 315 — 322
511 endpoint detection 323—324
liquid-crystal cells 508, 509 of electronic materials 316—321
photo-lithography masks 508, 509, 510 processing parameters 323
photothermal solar energy converters reactors 322 — 323
508, 509, 511 Polycrystalline semiconducting films 413 —
strain gauge transducers 508, 509, 510 417
surface-acoustic-wave devices 508 — Polycrystalline silicon 414—416
509 Polymers 436—437
X-ray lithography masks 508, 509, 510 Preparation techniques of thin films 31 — 59
Miscellaneous semiconductors 401—402, CVD 4 2 - 5 4 , 5 8 - 5 9
4 1 2 - 4 1 3 , 417, 421 APCVD 4 4 - 4 8 , 58
MOCVD 46, 47, 49, 50, 51, 52, 53 HTCVD 44
Modelling of CVD processes 127— 134 LTCVD 44, 46
Monolayers 514 — 515 MOCVD 46
SP 4 6 - 4 8
Nitride films 4 3 1 - 4 3 5 , 4 5 1 - 4 5 2 EBCVD/EBMOCVD 53, 58
Neutron activation analysis 202, 203, 210 IBCVD 54, 58
Nuclear reaction analysis 208, 209, 220—221 LCVD/LMOCVD 5 2 - 5 3 , 58
Nucleation and growth of CVD films 163 — LPCVD/LPMOCVD 4 8 - 4 9 , 58
176 PECVD/PEMOCVD 4 9 - 5 1 , 58
dependence on deposition parameters UVCVD/UVMOCVD 5 1 - 5 2 , 58
170-172 electroless plating 32 — 33, 55
examples of experimental results 176 electrolytic anodization 32, 33, 55
experimental investigation techniques electroplating 32, 55
174-175 H W E 35, 36, 56
ellipsometry 175 ion-beam deposition 34, 55
field ion/electron microscopy 174 — ion implantation 36, 37, 56
175 ion plating 37, 38, 56
mass spectrometry 174 laser substrate conversion/oxidation
TEM 174 41, 42, 57
formation of epitaxial, polycrystalline L P E 3 8 - 3 9 , 57
and amorphous structural films 173 ' MBE 3 4 - 3 5 , 56
homogeneous nucleation 173—174 plasma substrate conversion/anodiza-
regimes 164—166 tion 41, 42, 57
stages 163—164 S P E 39, 57
theory 1 6 6 - 1 7 0 thermal substrate conversion/oxida-
statistical approach 167— 170 tion 40, 4 1 , 57
thermodynamical approach 166—167 sputtering 37 — 38, 56
vacuum evaporation 33 — 34, 35, 55
Open-tube chemical vapour transport 65, Processes in CVD see Chemical reactions
66, 67, 6 9 - 7 0 in CVD
Optical properties 233 — 236 Profiling
Oxidation of thin films 338 — 341 of depth impurities 222

713
SUBJECT IHDEX

of resistivity or carrier concentration hot-wall 346, 347, 349


255 low-pressure 347, 349
Properties of CVD films 223 — 341 low-temperature 346, 347, 348
chemical 268 — 341 photochemical 347, 351
diffusion 332 — 337 plasma-promoted 357, 350, 351
etching 2 6 9 - 3 3 2 Recrystallization of CVD films 1 9 8 - 1 9 9 ,
oxidation 338 — 341 231, 232
electrical 240—264 Resistivity measurements 241—243
carrier concentration 244—245 four-point probe technique 241 — 242
current transport 260 — 261 spreading resistance technique 243
dielectric constant 259—260 three-point probe technique 242—243
dielectric strength 260
interfacial properties 255—259 Safety, in CVD 3 5 8 - 3 6 0 , 3 6 1 - 3 6 6
resistivity 241—243 Semiconductor films
magnetic 264—268 elemental 3 7 5 - 3 8 1 , 4 0 4 - 4 0 7 , 410,
Hall effect 2 6 4 - 2 6 6 414-416, 418-420
of magnetic bubble films 267—268 group II-VI 401, 409, 412, 417
of superconducting films 267 group I H - V 3 8 2 - 3 9 8 , 4 0 7 - 4 0 9 , 4 1 1 -
mechanical 224 — 228 412, 4 1 6 - 4 1 7 , 421
adhesion 224 group IV 375, 3 8 1 - 3 8 2 , 4 0 7 - 4 1 0 ,
density 225 421
mechanical stress 225—228 group IV-VI 3 9 8 - 4 0 1
microhardness 224—225 miscellaneous compou nd 401 — 402,
piezoelectric and piezoresistive 4 1 2 - 4 1 3 , 417, 421
effects 228 Silicate films 4 2 7 - 4 2 9
optical 233 — 236 Silicides 4 5 3 - 4 5 5
absorption of light 2 3 4 - 2 3 5 Silicon carbide films 381 - 382, 407, 410, 421,
reflection of light 235 — 236 451
refractive index 233 Silicon dioxide films 425 — 426
photoelectric 236—240 Silicon films 453 — 455
cathodoluminescence 238 — 239 amorphous 418 — 420
electroluminescence 236 heteroepitaxial 4 0 4 - 4 0 7 , 410
photoconduction 236 homoepitaxial 375 — 381
photoluminescence 236—237 polycrystalline 414—416
photovoltaic effect 236 Silicon nitride films 431 — 434
stimulated light emission (the laser Silicon oxynitride films 434 — 435
effect) 2 3 9 - 2 4 0 SIMS 206, 2 1 7 - 2 1 8
thermal 2 2 8 - 2 3 3 Sources see Chemicals in CVD
thermal annealing 231 — 233 S P E 39, 57
thermal conductivity 231 Spray pyrolysis 46 — 48
thermal endurance 231 — 233 Sputter etching 324 — 325
thermal expansion 228 — 230 Sputtering 37, 56
Structure of thin films 1 7 7 - 2 0 0
Quantum wells 512 defect types 196
Quaternary semiconductor alloys 397—398 in amorphous dielectric films 199 —
200
Radiation damage 262—264 embedded impurities 200
in amorphous dielectric films 262, 263 large surface roughness 200
in crystalline semiconductor films 262, microcracks 199—200
263 microcrystallites 200
Reactions in CVD see Chemical reactions pinholes 199
in CVD surface irregularities 200
Reactive ion-beam etching 329—331 thin spots 200
Reactive ion-beam milling see Reactive ion- in crystalline semiconducting films
beam etching 196-199
Reactive ion etching 325, 326—328 dislocations 196— 197
Reactive sputter etching see Reactive ion grains and grain boundaries 198
etching inclusions 198
Reactors, for CVD 3 4 5 - 3 5 8 interstitials 196
cold-wall 346, 347, 349 microcracks 198
high-temperature 347, 348 stacking faults 1 9 7 - 1 9 8

714
SUBJECT INDEX

twins 198 types


vacancies 196 alumina 369
voids 198 garnets 368, 369
techniques of defect detection 178 glass 369
chemical 194—195 group III-V semiconductors 367 —
selective substrate chemical et- 368, 369
ching 195 oxides 368, 369
electrical 1 9 0 - 1 9 3 silicon 367, 368
current-noise measurement 193 Superconducting films 456—460
decoration by electrostatic charg- Superlattices 512—414
ing 1 9 1 - 1 9 2 Surface cleaning 370 — 372
electrophoretic decoration 191 Surface contamination 370 — 372
inference from electrical proper-
ties 190 Technologies using CVD films 4 7 5 - 4 8 2
liquid-crystal technique 192 beam-lead 478, 479
self-healing dielectric breakdown buried collector (bipolar) 479, 480
191 LOCOS 477, 478
standard dielectric breakdown MOS 4 7 9 - 4 8 0 , 481
191 planar 4 7 5 - 4 7 7
electrochemical 193 — 194 P L E S A 477, 478
electrochemical autography 193 S I P O S 478, 479
electrolytic (electrophoretic) cop- SOS 4 8 0 - 4 8 2
per decoration 194 TEM 1 8 4 - 1 8 5
electrolytic gas bubble forma- Ternary semiconductor alloys 393 — 397,
tion 1 9 3 - 1 9 4 400-401
electronic 184—188 Thermal expansion coefficient of CVD films
ED 187-188 228-230
SEM 1 8 5 - 1 8 7 Thermal oxidation 338 — 339
TEM/REM 1 8 4 - 1 8 5 Thermal properties 228—233
mechanical 195 Thermodynamics of CVD 91—100
sectioning techniques 195 examples of thermodynamic studies in
surface profilometry 195 CVD systems 99—100
nuclear 195 techniques for equilibrium calculations
electron spectroscopy resonance in CVD systems 9 5 - 9 9
195 free-energy minimization method
ion backscattering and channe- 98-99
ling 195 non-linear equation method 95 — 98
optical 1 8 8 - 190 Thickness measurement of thin films 141 —
interference contrast microscopy 162
189 angle lap and stain method 143, 147
I R absorption spectroscopy 189 capacitance voltage method 146, 161
I R reflectance spectroscopy 189 colour chart method 144, 149
light scattering 190 ellipsometry 144, 1 5 0 - 1 5 1 , 152
metallographic microscopy 188 Fourier transform spectrophotometry
optical absorption 190 145, 158, 160
phase-contrast microscopy 188, grooving method 143, 148
189 interferometry 144, 149— 150
R a m a n spectroscopy 190 I R reflection method 145, 1 5 4 - 1 5 7
UV reflectance spectroscopy 190 I R transmission (absorption) method
X-ray: 1 7 9 - 184 145, 154
Laue X-ray back-reflection 181 — measurement of stacking fault dimen-
184 sion 144, 1 4 8 - 1 4 9
X-ray diffraction 1 7 9 - 1 8 1 methods based on UV-visible spectra
X-ray double crystal spectro- 151-153
metry 181-182 CARIS 145, 152, 153
X-ray topography 183—184 VAMFO 144, 153
Substrates, in CVD 360, 3 6 7 - 3 7 0 miscellaneous methods 161—162
classification 360, 367 prism coupling method 146, 160—161
preparation, properties, and uses 367 — profilometer method 142, 143, 147
369 radiation interference method 145,
requirements 367 1 5 7 - 1 5 8 , 159

715
S U B J E C T INDEX

sample weighing 142, 143 IBCVD 90


Thin films of LCVD 8 8 - 8 9
conductors 438 — 455 LPCVD 8 0 - 8 1
elemental metals 439—444 PECVD 8 4 - 8 5
metal alloys 445 SP 48
metal borides 450 UVCVD 87
metal carbides 451 Tin dioxide films 4 4 6 - 4 4 7 , 4 4 8 - 4 4 9
metal nitrides 451 — 452 Transistors 4 8 3 - 4 8 6
metal oxides 452—453 bipolar 485
metal suicides 453 — 455 MOS 4 8 5 - 4 8 6
optically transparent conductors Transport-phenomena in CVD 135— 136
447-449 flow visualization 136
resistors 446—447 mass gradient measurement 136
dielectrics 422 — 437 temperature gradient measurement 136
inorganic materials 422 — 435 Tungsten films 4 3 9 - 4 4 0
organic polymers 436—437 Two-dimensional electron gasses 515
magnetics 456, 460 — 462
ferrites 461 — 462 Uses of CVD films 4 6 5 - 5 1 5
garnets 460 — 461 as electronic materials 466—475
simple oxides 462 in electronic and microelectronic tech-
semiconductors 373—421 nologies 475—482
amorphous 418—421 in fabrication of device structures
heteroepitaxial on oxide substrates 482-496
404-409 in miscellaneous fields 507 — 511
heteroepitaxial on semiconductor in optoelectronics 499—507
substrates 409—413 in the microwave field 496—499
homoepitjaxial 373 — 402 UVCVD 51-52
polycrystalline 413 — 417
superconductors 456—460 VPE 373-413
Thin films, prepared b y
APCVD 4 5 - 4 6 Wet etching 2 6 9 - 3 1 4
AWCVD 8 1 - 8 2
CVT 6 8 - 7 0 XRD 179-181
EBCVD 90 XRT 183-184
Supplier Index
Advanced Concepts, Inc. 350 Kanematsu Semiconductor Corp. 354
Advanced Crystal Sciences, Inc. 352 Kokusai Electric Co. 354
Advanced Semiconductor Materials (ASM) Koyo Lindberg Ltd., Semiconductor Equip-
International NV 352 ment Div. 354
Amaya 352 Kevex Corp. [923]: 211, 551
American Lava Corp. [2302]: 368, 369, 589 Leadthorn Industrial Inc., 354
Anicon, Inc. 356; [2269]: 345, 346, 3 4 8 - Leybold A. G. 354
350, 588 LFE Corp., Process Control Div., 354
Applied Materials, Inc. 348, 352; [2258]: Master Images, Inc. 354
345, 346, 348, 394, 588 Machine Technology, Inc. (MTI) 354
ARL (Applied Research Laboratories) 216; Matheson Gas Products [2285, 2288]: 359,
217 361, 589
Bio-Rad, Semiconductor Measurement Sys- MCP Electronic Materials, Ltd. [2299]: 367,
tems / Bio-Rad Polaron Equipment Ltd. 368, 589
[722]: 160; [1224]: 241, 254, 560 Metals Research Ltd. 354
BTU Engineering Corp. / Bruce Systems Microscience, Inc. 354
Div. 352 Nav-Tec Industries 354
Cameca Instruments Corp. 216 Novellus Systems, Inc. 354
Corning Glass Works [2301]: 368, 369, 589 Pacific Western Systems, Inc. / CVD Div.
Crystal Microwave Corp. 352 23, 348, 354; [2267]: 345, 346, 348, 588
Crystalox 1982 Ltd. 352 Peak Systems, Inc. 354
Crystal Specialties, Inc. 352 Perkin Elmer — Physical Electronics Indus-
CVD Equipment Corp. 352 tries Div. 214
CVD Systems & Services 352 PFD (Precision Flow Devices) Systems, Inc.
Digilab., Inc. [722]: 158, 160, 545 354
E.T. Electrotech, E.T. Equipments 352 Philtec Instrument Co. [666]: 148, 544
Elmatec Electronics GmbH 352 Phoenix Materials Corp. 356
Emcore Corp. 352 Plasma Physics Corp. 356
Enerjet Div., Kurt J. Lesker Co. 352 Plasma Technology (UK) Ltd. 356
Enya 352 Plasma-Therm, Inc. 356
Epitaxx, Inc. 352 Process Technology Ltd. 356
Focus Semiconductor Systems 352 Rank Taylor Hobson [655]: 142, 544
Gemini Research, Inc. 352 Samco International, Inc. 356
General Air Corp. 352 Semy Engineering 356
Genus, Inc. 354 Silicon Valley Group (SVG), Inc. 350, 356
Helionetics, Inc., Laser Div. 354 Siltec Corp. [870]: 194, 550
Helmut Seier GmbH 354 Sitesa SA Semiconductor Technology 356
Hewlett-Packard Co. 212 Sloan Technology Corp. [656]: 142, 544
High Vacuum Equipment Corp. 354 Spectrum CVD, Inc. 356
Hitachi 354 Spire Corp., Inc. 356
International Advanced Materials, Inc. 354 Technics, Inc., 356
IVI Corp. 354 Tempress, A Unit of General Signal 356
Japan Prod. 354 Tencor Instruments [657]: 142, 544

717
SUPPLIER INDEX

Tetron, Inc. 356 V E B Electromat 358


The Semy Group, Inc. 356 Ventron Corp. — Alfa Division/Ventron Alfa
Thermco Systems, Inc. 356
Tylan Corp. 356; [2278]: 351, 588 Produkte [2291]: 359, 361, 589
Ulvac North America Corp. 356 Watkins-Johnson Co. 348, 358
Vacutec AB 358
Varian Associates, Inc., Semiconductor Wellman Furnaces Ltd., Hi-Tech Div. 358
Equipment Group 358 XMR, Inc. 358

You might also like