Professional Documents
Culture Documents
Morosanu C.E.-Thin Films Science and Technology - Volume 7. Thin Films by Chemical Vapour Deposition
Morosanu C.E.-Thin Films Science and Technology - Volume 7. Thin Films by Chemical Vapour Deposition
Thin Films by
Chemical Vapour
Deposition
C .E. MOROSANU
Electronic Components Research and
Development Centre, 72996 Bucharest 30
Romania
Morosanu, C.E.
Thin Films by Chemical Vapour Deposition
(Thin Films Science and Technology: vol. 7)
Rev., updated, and enl. translation of: Depunerea chimicä din vapori a straturilor subjiri
Includes bibliographical references and indexes.
ISBN 0-444-98801-7
1. Vapour plating. 2. Thin films. 3. Semiconductors.
I. Title. II. Series: Thin films science and technology: 7.
TS 695. M6713 1990
671.7*35 dc20
] $ B N 0-444-98801-7 (vol.7)
ISBN 0-444-41903-9 (series)
With 135 illustrations and 36 tables
© E D I T U R A TEHNICA, 1990
©Translation, C.E. MOROSANU, 1990
All rights reserved. No part of this publication may be reproduced, stored in a retrieval system,,
or transmitted in any form or by any means, electronic, mechanical, photocopying, recording, o r
otherwise without prior w r i t t e n permission of the copyright owner.
P R I N T E D IN ROMANIA
Preface
The explosive growth of the semiconductor industry has caused a rapid evolution
of thin-film materials that lend themselves to the fabrication of state-of-the-art
semiconductor devices. Beginning with the decade of the 60s, an old research tech-
nique named chemical vapour-phase deposition (CVD), which shows several
unique advantages, has developed into the most widely used technique for thin
film preparation in electronics technology.
In the last thirty years, tremendous advances have been made in the
science and technology of thin films prepared by means of CVD. The scope of
this book is to present, in a single volume, an up-to-date overview of the important
field of CVD processes which has never been completely reviewed, previously.
The topic of the present volume has been organized into three main parts, i.e.
fundamental considerations (Chapters 2—10), thin film preparation and charac-
terization (Chapters 11 — 15) and applications (Chapter 16). Thus an attempt is
made to provide a comprehensive treatment of both theoretical and practical
aspects of all classes of CVD thin films, i.e. semiconductors, insulators, metals,
superconductors, and magnetics.
It is hoped that the book will be useful to both beginning and advanced special-
ists as .well as to workers in related fields, thus contributing to the further deve-
lopment of CVD thin films.
C.E. Morosanu
Acknowledgements
I am grateful to the authors acknowledged in the figure captions and table headings, to the
publishers of Applied Physics Letters, Electronics, Electronics Letters, Hewlett-Packard Jour-
nal, IBM Journal of Research and Development, IEEE Transactions on Electron Devices, the
Japanese Journal of Applied Physics, the Journal of Applied Physics, the Journal of Crystal
Growth, the Journal of the Electrochemical Society, the Journal of Electronic Materials, the
Journal of Vacuum Science and Technology, Metallurgical Transactions of the AIME, Philips
Research Reports, Physics of Thin Films, Proceedings of the IEEE, RCA Review, Revue Rou-
maine de Chimie, Revue Technique Thomson-CSF, Scientific American, Semiconductor Inter-
national, Solar Energy Materials, Solid-State Electronics, Solid State Technology, and Thin
Solid Films and to the following book publishers—Academic Press, McGraw-Hill Book Co., North-
Holland Physics Publishing, Pergamon Press, Plenum Publishing Corp., Springer Verlag,
and Wiley for permission to copy figures and data for tables.
I have also been helped by numerous colleagues throughout the world who have sent me
most of their important published articles and whose work I have used.
I gratefully acknowledge the support of the Electronic Components Research and Develop-
ment Centre.
1
Evolution of CVD Films
Thin films have been the topic of a large number of investigations during
the past quarter century since these films became technologically important
particularly in the field of semiconductor electronics [1 — 10].
Thin films can be prepared by using a variety of methods, among which
chemical vapour deposition (CVD) has received widespread acceptance [11 —
93]. CVD involves the formation of a solid film on a heated substrate sur-
face by means of a chemical reaction in a gas or in the vapour phase. This
process employs various gaseous, liquid and solid chemicals as sources
of the elements of which the thin film is to be made. In comparison with
most thin film preparation methods, CVD has a number of unique advan-
tages such as the versatility, adaptability, compatibility, quality, simplicity,
reproducibility, productivity and cheapness. For these reasons, CVD has
expanded continuously and developed into the most important method for
producing films for solid-state devices.
In the present book, an attempt has been made to cover all aspects
of CVD thin films. Both early and recent developments, especially of
materials used in the semiconductor industry (where the majority of high
quality CVD films are extensively applied), are surveyed. The material pre-
sented is organized in five parts, i.e. an introduction, fundamentals, techni-
ques, applications and conclusions. In the introductory part, a brief presenta-
tion of the historic development of CVD thin films is also included. The
second part begins with a comparison between CVD and other modern film
formation methods and then covers fundamental aspects of CVD films such as
thermodynamics, kinetics, thickness, nucleation, structure, analysis and
properties. Reactor systems and process techniques for preparing semicon-
ducting, insulating, conducting, superconducting and magnetic films are
then discussed. Next, the applications of CVD films primarily in the field
of microelectronics are discussed briefly. Finally, a brief concluding part,
19
INTRODUCTION
which also contains a presentation of the present status and future trends
in CVD films, is provided.
Numerous excellent general and specialized reviews are available on
theoretical and practical aspects of CVD thin films [11—22].
Many general aspects of the growth of thin films by means of CVD
have been summarized in books by Powell et al. (published in 1966) [11]
and Vossen and Kern (1978) [2]. General reviews available dealt with all
CVD thin film materials — e.g. Feist et al. (1969) [14], Campbell (1970)
[16], Haskell and Byrne (1972) [17] and Bryant (1977) [19] — or only the elec-
tronic CVD thin film materials —e.g., Chu(1969) [20], Amick and Kern (1970)
[92], Wang and Bracken (1972) [4821], Chu and Schmeltzer (1973) [21],
Tietjen (1973) [22], and Watts (1973) [52]. There are also two general bibliogra-
phies by Agajanian (1976) [41] and Hawkins (1981) [42] covering several as-
pects of CVD. Proceedings of international [23—31] or European [32—36]
conferences on CVD held generally bi-annually and tri-annually, respectively,
contain a collection of original papers describing almost all CVD topics.
Other symposia, such as Electrochemical Society Meetings [37] (held semi-
annually), Electronic Materials Conferences [39] (held annually), Interna-
tional Conferences on thin films [38] (held tri-annually), etc. [40] include
in their topics many papers on CVD films. Patent literature on CVD films
is also very rich. A large body of important patents can be retrieved from
various sources: Chemical Abstracts, RCA Rev., IBM J. Res. Dev., Solid
State Technol., the Official Gazette of the US Patent Office, etc.
An important specialized subject, i.e. epitaxial semiconductor films
[43—54], has also been partly covered in books by Mathews (1975) [4], and
Cullen and Wang (1978) [44]. There are also general reviews by Glang and
Wajda (1962) [49], Francombe and Johnson (1969) [51], Shaw (1975) [46],
Arizumi (1978) [48], and Pogge (1980) [45] and special reviews by Cave and
Czorny (1963) [2349), Doo and Ernst (1967) [2254), Gupta and Wang
(1968) [2324], Runyan (1969) [2325], Gupta (1971) [2326], Watts (1973)
[52], Barry (1976) [53], Hammond (1978) [2255], Bloem and Giling (1978)
[47], Bollen (1978) [2329], Pearce (1983) [2338] and Liaw and Rose (1986)
[2340] (for silicon growth); by Holonyak et al. (1962) [2577], Minden (1973)
[2585], Hollan (1978) [2587], Hollan et al. (1980) [54] and Ludowise (1985)
[2595] (for III - V material growth); by Hartmann (1975) [3225] (for II - VI
material growth), and by Hiscocks (1972) [3370] (for IV—VI material growth).
A bibliography on epitaxial films was presented by Grünbaum (1975)
[83]. There is also a bibliography on silicon epitaxial growth [2326]. Inter-
national Conferences on Semiconductor Silicon [55—59], Vapour Growth and
Epitaxy [60—65], MOVPE [66—68], GaAs and Related Compounds [69—80],
and II-VI compounds [81, 82] provide much information on recent advan-
ces in CVD epitaxial films.
Another specialized topic, i.e. insulating films, has been thus far
summarized less extensive [84—93]. A book by Milek (1971 — 1972) [84],
reviews by Amick et ah (1977) [100], Morosanu (1980) [89], and Kern (1982)
[90] as well as bibliographies by Agajanian (1977) [4034] and Morosanu
(1980) [93] have been published on some aspects of CVD insulating films.
20
EVOLUTION OF CVD FILMS
21
INTRODUCTION
22
EVOLUTION OF CVD FILMS
in commercial use for the deposition of Si0 2 and Si3N4 films. LCVD and
EBCVD have been developed more recently by Lydtin and Wilden (1973)
and by Thompson et al. (1983), respectively.
Each of the above CVD techniques (with the exceptions of LCVD and
EBCVD) was performed industrially in specific reactors. HTCVD was carried
out mainly in RF heated vertical (Theuerer, 1961), horizontal (Emerson,
1967), and pancake (Emerson, 1967) cold-wall reactors. Later, several im-
provements appeared such as the radiant-heated horizontal reactor (Bean
and Runyan, 1968), the RF-heated barrel reactor (Ernst et al. 1965; Rosier
andBenzing, 1972), the radiant-heated barrel reactor (Lee et al. 1977; Ham-
mond, 1978) and the rotary disc reactor (Ban, 1978). Special types of LTCVD
reactors were developed by Kern in 1968 (the rotary plate vertical reactor
for batch processing) as well as by Benzing et al. (1973) and by Pacific Wes-
tern Systems, Inc. in the mid-1970s (continuous processing reactors using
either a slotted disperser plate or laminar flow nozzles for gas dispersion).
SP reactors adequate for continuous processing have been described more
recently (Gralenski, 1980). MOCVD processes do not necessitate a special
type of reactors. The single-wafer vertical reactor is the most suitable for
laboratory scale applications — Manasevit (1968), Dupuis and Dapkus (1975),
and Stringfellow and Horn (1979). LPCVD is best performed in horizontal
(Tanikawa et al., 1973) or vertical (Learn, 1985) resistance-heated systems,
but horizontal or barrel RF-heated reactors were also used.
PECVD uses three designs of industrial reactor developed by Reinberg
in 1974, Rosler et al. in 1976 (both are capacitively coupled reactors differ-
ing by the gas flow direction) and by Rosier and Engle in 1979 (an inducti-
vely-coupled reactor). The first commercially available photochemical reactor
was proposed by Peters et al. in 1980. Automated reactors have been
proposed by Benzing and Fisk (1975) and by Rosier and Benzing (1977).
Adequate wafers for epitaxial deposition of semiconductor films have
been obtained primarily by using saw wafering of single crystal ingots. These,
in turn, are grown in high purity using mainly two techniques: the Czoch-
ralski method (first used for semiconductor material by Teal and Little in
1950), and the float-zone method (first introduced by Theuerer in 1952).
Laser-recrystallized polysilicon layers also served as substrate in some
applications (Kamins et al., 1980).
Homoepitaxial growth of silicon, first obtained by Theuerer, was further
developed by introducing several improvements: the silane (Bhola and
Mayer, 1963; Joyce and Bradley, 1963), and dichlorosilane (Benzing et al.,
1971) processes, as well as low temperature (Richman et al., 1970; Gittler,
1972), low pressure (Bradshaw, 1967, Boss et. al., 1973) and selective (Joyce
and Bradley, 1962) growth. Homoepitaxial growth of device quality III—V
compound semiconductors dates from the mid-1960s.
The first successful growth of high-quality single-crystalline GaAs
layers using the AsCl3 VPE process was reported in 1965 by Knight et al.
In 1966 Tietjen and Amick published results on the hydride VPE growth of
single crystalline GaAs on GaAs substrates. OMVPE was first used to produce
single crystalline layers of GaAs in 1969 by Manasevit and Simpson. The
hydride and organometallic processes were then extended to nearly all binary
23
INTRODUCTION
(GaP, etc.) and ternary (GaAsP, AlGaAs, etc.) Ill—V compounds (Tietjen
et al., 1970 and Manasevit, 1971). New process technologies such as low
pressure (Lacombe et al., 1977), low temperature (Hallais et al., 1977) and
selective (Mehal et al., 1966; Rai-Choudhury, 1969) growth were also intro-
duced for homoepitaxial GaAs films. Homoepitaxial and heteroepitaxial
growth of II—VI compounds of quality as good as possible were obtained by
Yim and Stofko (1972) using the hydride process and by Manasevit and
Simpson (1971) using the organometallic process.
The interest in heteroepitaxial silicon films on refractory insulating
substrates such as sapphire, spinel and quartz dates from 1965 when Ma-
nasevit and Joyce demonstrated the feasibility of this growth. This
very promising system has been further developed by Dumin in 1967 and
Cullen et al. (1970—1985). Heteroepitaxial work using oxide substrates has
been extended to compound semiconductors, in particular III—V compounds,
by Manasevit in 1968 and later by Wang et al.
High performance CVD heterojunctions were first described in 1960
by Marinace (Ge on GaAs substrates). Two other major hetero junctions were
later synthesized: G a A s ^ P* on GaAs by Williams and Ruehrwein (1961),
by Burd (1969) and by Craford (1973) and Al^Ga^ As on GaAs by Dupuis
and Dapkus (1977).
The most important polycrystalline semiconductor film is of polysilicon
which was first synthesized in the late 1960s by Sarace et al. (1968), Faggin
and Klein (1970) and further developed by Kamins during the 1970s.
Amorphous silicon films useful for a variety of devices, prepared origi-
nally by Spear and LeComber in 1975, have been intensively investigated by
many authors (Carlson, Brodsky, Fritzsche, Knights, and others) in the last
ten years.
Pioneering research mainly on CVD passivation layers (Si0 2 , silicate
glasses, Si3N4, A1203) has been performed by Kern starting in 1967. Important
contributions in this field have been made by Chu, Doo and Rand in the
1960s and by Duffy, Gaind, Stein and Morosanu in the 1970s. Other less
used dielectric films have been introduced subsequently: polymers (Gregor,
1966; Segui et al., 1976), SIPOS (Aoki et. al, 1975), Si0 2 : Si films (Irene
et al., 1977).
•Among the CVD conductive films, three groups of materials, i.e. refrac-
tory metals, suicides and transparent conductors, have been investigated
the most completely. Refractory metals, such as Mo and W, were investigated
for LSI technology in the 1960s and early 1970s by Brown et al., and then
by Shaw and Amick, Melliar-Smith, and others. Later, refractory metal
suicides were investigated by Kehr (1977), Inoue et al. (1983), and Brors
et al. (1983). Transparent CVD conductors applicable to devices such as
Sn0 2 : Sb and ln 2 0 3 : Sn were obtained by Kane et al. in 1976.
Superconductive compounds such as Nb3Sn and Nb3Ge were first deposi-
ted by means of CVD b y Hanak et al. (1964) and Newkirk et al. (1976),
respectively.
Magnetic materials were first investigated by Mee et al. during the late
1960s (garnets and ferrites) and by Cech and Alessandrini in 1959 (simple
magnetic oxides).
24
EVOLUTION OF CVD FILMS
25
INTRODUCTION
26
EVOLUTION OF CVD FILMS
2.1 Introduction
31
FUNDAMENTALS
* 5
(a) (b) (c)
Fig. 2.1 Equipment using chemical methods of film formation from solution:
a — electroplating apparatus: 1 — electrolytic cell; 2 — electrolyte; 3 — anode; 4 — cathode
being coated ; 5 — current source;
b — electroless plating apparatus: 1 — electroless plating bath; 2 — plating solution; 3 —
substrates having a catalytic surface immersed in the bath; 4 — substrate holder; 5 — heater;
■c — (wet) anodisation cell (after Dell'Oca and Barry [2236]; reprinted with permission from
SOLID-STATE ELECTRONICS, Copyright© 1972, Pergamon Journals Ltd.):
1 — thermostatted bath; 2 — electrolyte (e.g., K N 0 3 in ethylene glycol for Si 3 N 4 anodisation,
or tartaric acid solution for Al anodisation); 3 — stainless-steel cathode; 4 — mercury anode;
5 — wafer; 6 — vacuum; 7 — power supply; 8 — voltmeter; 9 — stirrer.
32
TECHNIQUES O F P R E P A R I N G T H I N F I L M S
33
FUNDAMENTALS
or from the walls of the vacuum chamber are introduced in the layers;
crystalline defects are caused by radiation; non-uniform film thickness is
caused by substrate sputtering and charge trapping in the deposited films
produced by evaporant ionisation. Vacuum evaporation is specific to mate-
rials that are volatile at moderate temperatures, do not react with their
support or bell jar materials at the evaporation temperature and that are
not decomposed under the influence of evaporation conditions. In semicon-
ductor technology, this technique is applied to device metallization (Al, Au)
and to the fabrication of Ni—Cr resistors.
Ion beam deposition. Thin layer deposition by means of ion beams can
be obtained in two ways: the material can be deposited directly from an ion
beam containing the desired element or compound, or indirectly by bom-
barding the target with external ions [120—127]. The direct deposition
technique consists of the formation of a thin layer from the components
of a low-energy ion beam reaching the substrate. Compared with conventional
deposition methods such as vacuum evaporation, cathodic sputtering, and
ion plating, direct ion beam deposition results in films without foreign impu-
rities originating from the material source, equipment walls and gaseous
ambient. However, the deposition time is much longer, and the equipment
is more complex. The indirect technique is based on sputtering by using
an external inert ion beam for removing the target material followed by its
redeposition as a thin film on a substrate. A variant is ion beam reactive
sputtering, in which an ion beam reacts with the target, the thin layer being
either formed even on its surface or deposited on a substrate. The ion
beam apparatus contains an ion beam source, a target holder, a substrate
mount, and a vacuum chamber (Fig. 2.2b). The film deposition rate is mainly
related to the type and energy of the impinging ions, the target material and
temperature, the distance and angle of the substrate from the ion source
and of the substrate from the target, and the substrate temperature. The
main advantage of ion beam sputtering as compared to conventional sputtering
is that, owing to working under high vacuum conditions, unwanted interac-
tions between plasma and substrates can be avoided. However, disadvantages
such as the need for a high vacuum with a low growth rate have so far pre-
vented widespread use of this method. Ion beam sputtering has been applied
to the deposition of some epitaxial semiconductor (Si, GaAs) and dielectric
(Si3N4) films.
Molecular beam epitaxy (MBE). Molecular beam epitaxy is a process
involving interaction between a crystalline substrate surface and one or
more molecular beams, obtained by electron beam or thermal evaporation
of a selected source in an ultrahigh vacuum [128—133]. The MBE apparatus
consists of a stainless steel bell jar, several source effusion cells, a heated
substrate holder and an ion vacuum pump giving a typical pressure of ~ 1 X
10"l0 torr (Fig. 2.2c). The system may also be equipped with provisions
for the in-situ study of the structure and the composition of the layers
(LEED or RED and AES) and a quadrupole mass spectrometer for residual
gas analysis and analysis of the molecular beams. The condensation process
is mainly influenced by the nature of the effusing species, the incident mole-
cular beam energy and flux, the substrate temperature (up to 650°C), the
impurity doping beam flux, and the distance between the sources and the
34
TECHNIQUES OF P R E P A R I N G T H I N FILMS
\ \ / /
\\ / 7 <
r—vVlw—1,.
6
(a) (c)
35
FUNDAMENTALS
10
Έβ^ύ^Ο^^^όήI (e) ir
Fig. 22e
e — ion implantation system: 1 — source supplies; 2 — ion source; 3 — extraction a n d
focusing electrodes; 4 — accelerating column; 5 — diffusion p u m p ; 6 — quadrupole lens;
7 — mass analyser; 8 — bsam scanner; 9 — ion detectors; 10 — sample chamber; 11 —
wafer rack.
high vacuum, the fact that the process usually takes place under conditions
very far from thermodynamic equilibrium (which could be detrimental to
the epitaxial layers), and system expense. MBE has been applied especially
to the construction of various heterostructures, such as multilayers of
ALpGa^ As and GaAs that are thin enough (layer thickness as low as 10 A)
to exhibit quantum size effects.
Hot-wall epitaxy (RWE). Hot-wall epitaxy designates an epitaxial
layer deposition process employing vacuum sublimation of a heated source
followed by its condensation on a heated substrate, in a chamber using a
heated liner (hot wall) which encloses and directs the vapour from the source
to the substrate [134]. The equipment, shown schematically in Fig. 2.2d, is
contained in a vacuum and consists of a quartz tube in which the source
material is placed at the sealed bottom, while the substrate is placed on the
open end at the top. The substrate, the wall of the tube and the source are
resistively heated independently. The factors influencing the growth process
are the source, the wall and the substrate temperatures , the geometry of the
tube, the contamination of the source material, and the compensating sources,
eventually used together with the main source material. This technique allows
the preparation of thin layers having characteristics similar to those of the
bulk materials, by working in thermal equilibrium and using relatively
simple equipment. However, this technique is suitable only for sublimable
solids and is limited to small-scale applications. HWE has been very successful
in the preparation of epitaxial layers of II—VI and IV—VI compound semi-
conductors.
Ion implantation. Ion implantation represents a method of obtaining
thin layers which include atoms of the substrate [135—139]. It is a process
in which suitable ions are injected at a certain depth beneath the substrate
surface by bombarding it with high-energy accelerated ions. A thin layer
is formed on subsequent thermal annealing of the substrate which facilitates
the chemical combination of implanted and substrate atoms. The main parts
of all ion implantation systems include an ion source, an accelerating and
focusing column, a vacuum pump, a mass analyser, a beam scanner, an ion
detector, and the sample chamber; these are presented schematically in
Fig. 2.2£. The film thickness is controlled by the implantation voltage,
the ion mass, the ion dose and the annealing temperature. By using this
technique it is possible to obtain films with properties suitable for various
36
TECHNIQUES OF P R E P A R I N G T H I N FII.MS
Ar
13
37
FUNDAMENTALS
film results from the reaction between the target and certain gases introduced in
the inert atmosphere). The main factores affecting the deposition process are
the RF power, the target material, the substrate bias, the temperature, the
reactive gas concentration in the inert sputtering gas, the shape and size
of the electrodes, the chamber gas pressure and the presence of an auxiliary
magnetic field. The method is advantageous because deposition can be accom-
plished using unheated sources, the substrates can be cleaned by ion bom-
bardment before or during deposition and conformal coatings on irregular
surface substrates can be obtained. However, the deposition rate is relative
low, the film is contaminated from the target, deposition is only possible for
materials which are available as plates, the film structure is damaged as
a result of gas incorporation or bombardment with reactive species and
energetic radiation, and the equipment is expensive. Sputtering has been
successfully applied to deposit many films of resistors, conductors, insulators,
semiconductors, magnets and superconductors [111 — 119].
Ion plating. Ion plating is a combination of vacuum evaporation with
RF sputtering, being considered as evaporation in a glow discharge or eva-
poration with a biased substrate [140—142] . Similarly to sputtering, a gas
plasma discharge is set up between a cathode (the substrate) and an anode
(the source of the material to be deposited). Reactive ion plating uses in addi-
tion a reactive gas for preparing the compound films. In this process, the
substrate is subjected to a flux of energetic ions which is sufficient for its
sputter-etch cleaning before and during layer formation. Ion plating equip-
ment (Fig. 2.3&) consists of a combination of a resistively or electron-beam
heated evaporation system with a d.c. or RF plasma excited system. The
process depends on the nature of the evaporation source, the substrate and
reactive gas, the substrate temperature, the reactant gas pressure, the elec-
tron beam gun power, the RF power, the substrate voltage and the tempera-
ture. Although this technique includes the disadvantages inherent in each
of both methods, it is characterized by a higher deposition rate, similar to
that in vacuum evaporation, suitable substrate cleaning, good layer adhe-
sion and the ability to achieve coatings on tridimensional substrates, as in the
sputtering technique. A process of interest in solid-state technology is RF
reactive ion plating of Si3N4.
38
T E C H N I Q U E S OF P R E P A R I N G T H I N F I L M S
39
FUNDAMENTALS
this method of film formation is in fact not a deposition process but rather an
in-situ growth process. There are three main classes of substrate chemical
vapour conversions according to the type of energy used in the process:
thermal, plasma, and laser conversion. Substrate chemical vapour conversion,
as applied to silicon technology, offers an extremely important advantage,
namely the highest quality of grown Si0 2 , which is obtainable only through
this technique. However, grown Si0 2 films have several disadvantages in
planar semiconductor device processing over deposited Si0 2 films, e.g. some
negative effects can be maximized owing to consumption of some of the
silicon of the substrate (junction movement, pile-up or depletion of dopants
at the Si02-Si interface); thick Si0 2 films useful in MOSFET circuits cannot
be grown; these films are unable to serve as photolithographic masks for
films not etched in fluorides, such as silicon nitride; and grown oxides do
not lend themselves to in-siiu processing where two or more films are required
and one of these films is SiO«.
40
TECHNIQUES OF P R E P A R I N G T H I N F I L M S
" 5 3 2
;c^ r^j-9 -w V±
a, N2 H2 HO
(a)
.·4=β-ώ
Fig. 2.6 Techniques and systems for chemical vapour substrate conversion:
a — normal pressure thermal oxidation of silicon in dry oxygen (0 2 ), wet oxygen ( 0 2 — H 2 0 ) ,
steam (H 2 0), pyrogenic steam (H 2 —0 2 ) and HC1-containing ambients ( H O —O a ,
HC1—H 2 —0 2 ): 1 — membrane filters; 2 — flowmeters; 3 — two-way valves; 4 — water
bubbler flask; 5 — three-way valve; 6 — v e n t ; 7 — quartz t u b e ; 8 — furnace; 9 — silicon
wafers; 10 — quartz cradle; 11 — exhaust;
b — high pressure oxidation in pyrogenic steam or oxygen (after Tsubouchi et al. [2143]; re-
printed with permission from J A P A N E S E J O U R N A L OF A P P L I E D P H Y S I C S ) : 1 - q u a r t z
t u b e ; 2 — furnace; 3 — water cooling pipe; 4 ~ stainless steel pressure chamber; 5 — Si
wafers; 6 — susceptor; 7 — susceptor push r o d ; 8 — cap push r o d ; 9 — exhaust line;
10 — cold t r a p ;
c — d.c. or R F plasma-enhanced anodic oxidation of GaAs (after Sugano [162]; reprinted with
permission from T H I N SOLID F I L M S , Copyright (g) 1980, Elsevier Sequoia S.A.): 1 — quartz
chamber consisting of a bell j a r ; 2 — d.c. anodisation source; 3 — high-frequency oscillator;
4 — cathode; 5 — anode; 6 — quartz shields; 7 — GaAs sample; 8 — heater; 9 — thermo-
couple; 10 — 0 2 gas inlet; 11 — needle valves; 12 — rotary p u m p ; 13 — Pirani gauge;
d — laser-enhanced oxidation of Si in dry 0 2 : 1 — argon or carbon dioxide laser; 2 — power
meter; 3 — beam scanner; 4 — lens; 5 — growth cell; 6 — quartz window; 7 — wafer pedestal;
5 — resistance h e a t e r ; 9 — temperature measurement and control; 10 — wafer; 11 — oxide
l a y e r ; 12 — oxidant gas (dry oxygen or steam) inlet; 13 — exhaust.
41
FUNDAMENTALS
Chemical vapour deposition (CVD), as its name implies, means the formation
of a stable film on a substrate, produced by the reaction of chemicals from the
gaseous state making use of an activation energy. The equipment for thin
film deposition using CVD generally contains the following units: gas or vola-
tile liquid sources; a gas distribution and mixing system; a reaction chamber;
a system for providing the activation energy for the reaction and for heating
the substrates; and a neutralization system for the exhaust gases (Fig. 2.7a).
The equipment design depends on the type of activation energy, the initial
aggregation state of the sources, the reactor operating principle, the substrate
heating type, the reactor configuration, and the wall temperature.
In general, the variables affecting the deposition rate and film properties
are the nature of the reactants and their purity, the amount of energy supplied,
42
TECHNIQUES OF P R E P A R I N G T H I N FILMS
RESISTANCE
INDUCTIVE '
OR RADIANT
HEATING
1
{CARRIER GAS GAS FLOW SCRUBBER
GAS SUPPLY CONTROL —w \REACTOR\ •^
[PURIFIER
t
TEMPERATURE
MEASUREMENT
AND CONTROL
.Fig. 2.7 Block diagram of set-up for atmospheric pressure CVD.
the substrate temperature, the ratio of reactants, the gas flow rates, the sys-
tem pressure, the geometry of the deposition chamber, and the substrate sur-
face preparation. The main advantages of this technique consist in producing
uniform, reproducible and adherent layers of all classes of materials without
defects and impurities at relatively high rates in simple and cheap commer-
cially available non-vacuum equipment. However, there are some disad-
vantages, among which are the use of comparatively high temperatures in
many processes and chemical hazards caused by toxic, explosive, inflamma-
ble or corrosive gases. CVD processes can be classified according to the
type of their activation energy, namely thermally-activated CVD, plasma-
enhanced CVD, photochemical CVD, laser-induced CVD and electron-beam
assisted CVD.
43
FUNDAMENTALS
In fact, reaction with the substrate is not a deposition, but rather a growth
process. This method has therefore been treated separately.
By adopting an extended definition of CVD, we can include pyrolytic
spray processes. Indeed, spray pyrolysis resorts to a fine spray of a suitable
solution on a hot substrate in an open area in order to achieve the
desired coating. If the coating is obtained from a heterogeneous (at the
substrate surface) or homogeneous (in the gas phase) reaction of li-
quid droplets, this process is not a CVD process. Spray pyrolysis is a true
CVD process only when the precursors are reactant vapours as is the case
when the droplets are completely vaporized and a coating results from hetero-
geneous or homogeneous reactions.
44
TECHNIQUES OF PREPARING THIN FILMS
TABLE 2.1
z CVD films
0 1
3 LiNb03
4 Be, BeC, Be 3 N 2 , BeO, BeSi
5 B, B4C, BN, B 2 0 3 , ΒΟ^, ΒΡ, BAs
6 C, {0χΉ.ν)η
12 Mg, MgO, MgFe 2 0 4
13 AI, AlB, AIN, A1 2 0 3 , A l 2 0 3 - C r 2 0 3 , AIP, AlAs, AlSb, (AlGa)P, (AlGa)As, (AlGa)Sb,
(Alln)P
14 Si, SiB, SiC, Si0 2 , BSG, PSG, AISG, AsSG, LSG, ZSG, BPSG, GBSG,
A1PSG, A1BSG, LBSG, ZBSG, SiO^Nj,, SiO^NyH^ Si 3 N 4 , S i N , H y , SiGe
15 P 2 0 5 , P3N5
21 ScN, ScP, ScAs, Sc(AsP)
22 Ti, TiB 2 , TiC, TiCN, TiN, TiO a , TiSi, TiTa
23 V, VB 2 , VC, VN, V 2 0 3 , V 0 2 , V 2 0 5 , VSi
24 Cr, CrB2, Cr0 2 , Cr 2 0 3 , CrAl, CrSi, CrS, CrSe, CrTe
25 Mn, Mn0 2 , MnSi, MnFe 2 0 4
26 Fe, FeB, FeO, Fe 2 0 3 , F e 3 0 4 , FeFe 2 0 4 > FeSi, FeSn
27 Co, CoO, Co 3 0 4 , CoSi, CoFe 2 0 4
28 Ni, NiB, NiO, NiSi, NiCr, NiFe
29 Cu, CuB, CuO, CuInS 2 , CuInSe 2
30 Zn, ZnO, ZnS, ZnSe, ZnTe, Zn(SSe), (ZnCd)S, ZnSiP 2 , Zn 2 Si0 4 : Mn
ZnSiAs 2 , ZnGeAs 2 , ZnCr2S4, Z n S - G a P , Z n S e - G a P , Z n S e - G a A s
31 Ga, GaN, GaP, GaAs, GaSb, Ga(AsP), Ga(AsSb), (Galn)P, (Galn)As, (Galn)Sb,
(GaIn)(AsP)
32 Ge, GeC, Ge 3 N 4 , Ge0 2
33 As
39 YN, Y 3 Fe 5 0 1 2 , Y 3 Fe 5 _ a; Ga a: 0 12
40 Zr, ZrB 2 , ZrC, ZrN, Zr0 2 , ZrSi
41 Nb NbB, NbN, Nb 4 N 5 , NbC, Nb 2 0 5 , NbSi, Nb 3 Si, Nb 3 Ga, Nb 3 Ge, Nb 3 Sn
42 Mo MoB 2 , Mo2C, MoSi, MoSi 2 , MoW
44 Ru RuOo
45 Rh
46 Pd, PdSi
47 Ag, AgSi
48 Cd, CdO, CdS, CdSe, CdTe, Cd(SSe), C d S - I n P , CdCr2S4> Cd 2 Sn0 4 , (CdHg)Te
49 In,' InP, InAs, InSb, In(AsP), In(AsSb), l n 2 0 3
50 Sn, SnO a , SnO a : Sb, SnS, SnSe, SnTe, (SnPb)Se, (SnPb)Te
51 Sb
57 LaN
58 Ce, Ce 2 0 3
60 NdgFeg.^Ga^O^
62 Sm 3 Fe 5 _ a; Ga a; 0 12
63 Ga-O'3^12
-x
64 Gd 3 Fe 5 0 1 2 , G d g F e s ^ G a ^ a
65 Tb 3 Fe 5 0 1 2 , T b g F e ^ G a ^ a
66 DyN, DygFeg^Ga^O^
61 Ho 3 Fe 5 0 1 2 , HogFeg^Ga^Oia
68 ErN, Er 3 Fe 6 0 1 2 , E r g F e ^ G a ^ a
69 Tm 3 Fe 5 0 1 2 , Tm3Fe5_a;Gaa;01a
70 YbN, Yb 3 Fe 5 O i a , YbgFeg-^Ga^Oia
71 LuN, Lu 3 Fe 5 _a;Gaa;012
45
FO N D AMENTALS
0 1
Note: The materials are ordered by increasing atomic number (Z) of the single elements,
or of the first element of compounds or alloys.
injector-type) reactors (see Chap. 11). The main parts of LTCVD equipment
are shown in Fig. 11.2.
MOCVD. MOCVD is based on the decomposition, usually at normal pres-
sure, of an organometallic compound in a flow of carrier gas, mainly with
the use of thermal energy, resulting in the formation of a thin film [183,
184, 2595]. This technique has advantages compared with both non-CVD
and CVD techniques of film formation. By employing all starting materials
in the vapour state in a simple cold-wall reactor having only one heated
temperature zone, this technique allows the economic and highly productive
deposition of uniform and adhesive films at low substrate temperatures,
as well as the elimination of autodoping and impurity incoporation from
the reactor walls. Disadvantages include unavailability of high purity reac-
tants, difficult handling of toxic, volatile and often pyrophoric OM reactants,
and non-equilibrium deposition processes. MOCVD enables semiconductor,
insulator, conductive and resistive layers to be prepared in amorphous,
poly crystalline or single crystalline forms, as used in electronic, optoelectronic,
microwave and solar energy devices. The main factors determining the film
deposition rate and properties are the nature of the OM reagent, the tempera-
tures of the substrate and the evaporator, the rate of OM transport, and the
impurities introduced into the system. The apparatus is very similar to that
used in LTCVD, containing in addition an evaporator in which the initial
liquid or solid OM is evaporated (Fig. 2.8).
Spray pyrolysis. This method is based on the pyrolysis of a fine mist of
an organic or aqueous solution of one or more metal salts on a heated sub-
strate, on which the reaction is also produced [185—203]. Spray pyrolysis
(hydrolysis) was mainly used to deposit some wide band gap semiconductors
(metallic oxides) which have a large range of application in solar energy on
glass substrates. A complete list of pyrolytic spray coatings is given in Table
2.2. The main process control parameters in spray pyrolysis are the starting
46
TECHNIQUES OF P R E P A R I N G T H I N FILMS
· · · · · © · · I
—Ezäzszr—
\l// «
47
FUNDAMENTALS
TABLE 2.2.
Examples of Coatings Deposited by Spray Pyrolysis [185, 186, 5217]
Coating Substrate
No. Substrate Reactants Solvent tempera- Refs.
material
ture (°C)
48
TECHNIQUES OF PREPARING THIN FILMS
ii I WENT
\CARRIER GAS\ GAS SUPPLY 1 ^^
1 PURIFIER 1
I
1 CONTROL 1 ^-~GAS FLOW 1
1 UNIT \ w CONTROL 1
~TZ
\RESISTANCE
1
*
-^
|sc/?(yae£/? |
\0R RADIANT
REACTOR
^ ■ ^- PUMPING 1
j HEATING 1 W UNIT
Fig. 2.10 Basic components of set-up for low-pressure CVD (after Krullmann and Engl [2385];
reprinted with permission from IEEE TRANSACTIONS ON ELECTRON DEVICES,
© 1981 IEEE).
49
FUNDAMENTALS
ferent species existing in the glow discharge plasma as well as the interaction
between the plasma and the substrate, lead to the formation of films on va-
rious wafers which are maintained in a wide temperature range from 25°C
to the higher temperatures used in conventional CVD processes.
The advantages of the method are the capability of producing conformal
films at comparatively low temperatures (typically 200—400°C), relative
insensitivity to wafer temperature, and the possibility of obtaining films with
amorphous structure on various heat-sensitive substrates. Its main disad-
vantages are low deposition rate and efficiency, difficult control of film com-
position and thickness uniformity, inability to handle solid or liquid react ants,
nonstoichiometric and inhomogeneous films, exposure of substrate and film
to radiation damage, complicated and expensive equipment, and limited use
on a production scale.
The film deposition rate depends on the R F energy, the mole fraction of
the reactants, the total pressure, the substrate temperature, the reaction geo-
metry and the nature of the substrate.
A PECVD system contains the following components: a deposition cham-
ber; an R F generator provided (eventually) with an impedance matching
network; a gas control panel; and a vacuum pump with a pressure measuring
device (Fig. 2.11). PECVD is usually performed in a vertical reaction cham-
ber or in a bell jar chamber (the diode system), the glow discharge plasma
being excited either inductively from the outside of the reaction chamber, or
capacitively within the deposition chamber, respectively.
Schematic diagrams of the three main types of R F glow discharge reactors
(the inductively-coupled vertical-tube reactor, the inductively-coupled verti-
cal parallel-plate reactor, and the capacitively-coupled horizontal parallel-
plate reactor) are given in Chap. 11. Films deposited to date using PECVD
are summarized in Table 3.3.
PEMOCVD. PEMOCVD is a plasma-promoted CVD process using MO
reagents. This technique has recently attracted considerable attention, as a
means to obtain amorphous films of semiconductor compounds which are of
potential interest for electronic or optoelectronic devices. It can also be used
to prepare metal oxides or metal films. This technique allows cheap deposition
of amorphous semiconductor compounds, starting from commercially avail-
1 REACTANTS AND\
[CARRIER GASES \ HAND
VACUUM SYSTEM
EXHAUST
50
TECHNIQUES OF P R E P A R I N G T H I N F I L M S
I I I I
1 Ϊ
1 ]NH3 \N02 \siHA ]N:
Pig. 2.12 UV radiation-enhanced CVD system (photo-CVD of SiO a and Si 3 N 4 ):
1 — gases; 2 — filters; 3 — purge valve; 4 — flowmeters; 5 — Hg reservoir; 6 — mercury
vaporizer; 7 — vent valve; 8 — reaction chamber ;9 — substrate; JO — substrate heater
(hot plate or I R lamps); 11 — transparent window; 12 — UV l a m p ; 13 — throtle valve;
14 — t r a p ; 15 — chemical p u m p ; 16 — exhaust diluent valve; 17 — exhaust scrubber. {
51
FUNDAMENTALS
52
TECHNIQUES OF P R E P A R I N G T H I N F I L M S
LVi] ^. 2 .6
I
Fig. 2.14 Apparatus for electron-beam CVD: ΡΤΊΓΙ
1 «■* electron-beam source ; 2—system for magnet and electric
focusing and deflection of the electron b e a m ; 3 — substrate ;
4 — heater; 5 — OM or inorganic reactant vapours; 6 — de-
position chamber; 7 — vacuum pump. *'
53
FUNDAMENTALS
' » "L
5
:©=
32\L f ^4
Fig. 2.15 Schematic diagram of IBCVD system
(after Shedd et al. [236]; reproduced by permis-
sion of The American Institute of Physics):
1— focused ion beam (Ga+) source; 2—substrate;
N
2 3 — X — Y stage; 4 — reactant source; 5 — reac-
tant feed tubing; 6 — vacuum enclosure (P = 1 —
—4 x 10~4 ΤΌΓΓ) ; 7 — vacuum pumps.
54
TABLE 2.3
Comparison of the Main Techniques Used for Thin Film Formation [99, 100]
Typical
substrate Typical
Crystalline Sources Typical Typical
Deposition Source deposition
No. Substrates tempe- nature of of films electronics References
process material rate
rature deposit impurities formed applications
(°C) (μηι/min)
2 6
0 1 1 3 4 1 5 1 7 8 9 10
Electrolytic en vironment
1 Electro- Electro- Metals 25-70 0.01-100 Poly- Electrolyte Cu, Ni, Conducting [103]
plating lyte crystalline etc. layers
2 Electroless Solution of Metals or 25-70 ~0.1 Poly- Components Ni, etc. Conducting
plating metallic salt semiconduc- crystalline of t h e layers [104-107]
-f various tors plating b a t h
additives (Si, GaAs)
3 Electrolytic Substrate Metals or 25-70 Non-linear Amorphous Electrolyte A1 2 0 3 , Passivation [108, 109]
anodisation -f- electro- semiconduc- 0.01- Ta 2 O s , layers
lyte tors 0.1 μιη in Si02
1 min.
Vacuum envircmment
4 j Vacuum High-vapour Any 25-600 1-2 Amorphous, Filament/ Al, Au, Metalliza- [110]
evaporation pressure polycrystal- crucible, Ni-Cr tion or
solid line, or reactor resistive
monocrys- walls layers
talline
5 Ion-beam Solid Any 25-750 j 0.01-0.1 Amorphous, Ion beam Si, Supercon- [120-127]
deposition target polycrystal- target GaAs, ducting
line, or Si 3 N 4 layers
single-crys-
talline
en TABLE 2.3 (continued)
0 1 2 3 4 5 6 7 8 9 10
Plasma environment
9 Sputter Low-vapour Any 25-250 0.001- Amorphous, Solid W, Mo, Conducting 1 [111-119]
deposition pressure -0.01 polycristal- sources, WSi 2 , and insulat-
solid line reactor MoSi2, ing layers for
walls, Si 3 N 4 some SDs
reactive and ICs;
gases supercon-
ducting
layers
10 Ion plating High-vapour A n y 25-200 0.01-1 Amorphous, Crucible, Si 3 N 4 Dielectric [140-142]
pressure polycrystal- reactor materials
solid line walls, solid for some
source, SDs
reactive
gases
Liquid environment
11 Liquid- 1 Supersatu- Any I 600-10001 0 . 1 - 1 1 Single- Solution AlyGa^^As Semicon- [143-146]
phase rated solu- substrate crystalline materials, R 3 Fe 5 0 1 2 ductors
epitaxy tion withstand- gaseous used in
ing growth ambient microwave
temperature field and
optoelec-
tronics ;
magnetic
materials
14 Plasma Substrate Any oxi- 300-600 Non-linear Amorphous, Substrate Si02, Passivation [156-171]
conversion + gas dizable polycrystal- surface Si 3 N 4 , layers in
(oxidation) substrate line III-V solid-state
oxides technology
15 Laser Substrate Any oxi- 25-250 Non-linear Amorphous, Substrate Si0 2 , Passivation [ 1 7 2 - 1 7 9 ]
conversion + gas dizable polycrystal- j surface GaAs layers in SD
| (oxidation) [ substrate line 1 oxide i technology
g TABLE 2.3 (continued)
0 1 2 3 1 4 5 6 7 | 8 9 10
b. Unmodified 1 substrate
16 Atmospheric Gases, vola- I Any sub- 1 500-120 0.1-1 Single-crys- Gas source, Epi-Si, Epitaxial, [11-22, 4 4 -
pressure tile liquids, strate with- 2 5 0 - 5 0 0 talline, poly- reactor epi- GaAs heteroepita- 54, 8 4 - 9 2 ,
CVD high-vapour standing crystalline, walls, sus- G a A s ^ P t f , xial semi- 180-203,
pressure growth amorphous ceptor poly-Si, conductor 238-288]
solids temperature SiO a , layers;
Si304, polycrystal-
Nb 3 Sn line semi-
conductor
layers;
dielectric
layers for
SD and IC
technology
17 Low-pres- Gases, Any sub- 500-1200 0.01-0.1 Single-crys- Gas sources Epi-Si, Epitaxial, [183, 2 0 4 -
sure CVD volatile strate with- 2 5 0 - 5 0 0 talline, poly- epi-G&As, polycrystal- 206,293-
liquids standing crystalline, polyLSi, line and 311]
growth amorphous Si02, amorphous
temperature Si 3 N 4 dielectric
layers for
SD and IC
technology
18 Plasma- Gases, Any 25-250 0.1-1 Amorphous, Gas sources Si0 2 , Si 3 N 4 , Passivation [183, 2 0 7 -
enhanced volatile poly crystal- polymers layers 221, 289,
CVD liquids line, epita- for SD and 312-339]
xial IC techno-
logy
19 UV-radiation Gases, Any 5 0 - 2 0 0 0.005-0.015 Amorphous, Gas sources Si0 2 , Passivation [222, 3 4 0 -
enhanced volatile polycrystal- Si 3 N 4 , layers in 373]
CVD liquids line, single- polymers solid-state
-crystalline technology
20 Laser-indu- Gases, Any 25-250 0.1-2000 Amorphous, Gas sources Si0 2 , Passivation [232-235
ced CVD volatile . pol ycry stal- Si 3 N 4 , or metal 290,374-
liquids line, single- metals layers in 451]
crystalline solid-state
technology
21 Electron- Gases, vola- Any 1 5 0 - 5 0 0 0.05 Amorphous Gas sources Si0 2 , Passivation [223-231]
beam assist- tile liquids Si 3 N 4 layers in
ed CVD solid-state
technology
22 Ion-beam Gases, Any 25 3 Amorphous Gas sources Al, Au, Metal layers [236, 237]
assisted volatile w, c in solid-
CVD liquids state tech-
nology
3
Chemical Processes Used in CVD
3.1 Introduction
In general, any chemical reaction involving one or several vapour phase rea-
gents which results in a solid reaction product may be used for achieving the
deposition of a particular elemental or compound material. However, there
are many reactions of limited usefulness where the substrate reacts undesira-
bly with either some of the reactant species (or even with the deposit), the
substrate is melted or sublimated, or the deposit is contaminated by some reac-
tive or nonvolatile reaction products. Therefore, selection of a practical CVD
process for a certain material depends on both the substrate and the chemical
reaction.
The chemical processes used to achieve the chemical vapour deposition
of thin films [11, 12, 14, 45] can be classified according to the nature of the
chemical reaction as follows: (1) decomposition (pyrolysis); (2) reduction;
(3) oxidation; (4) hydrolysis; (5) disproportionation; (6) chemical transport;
(7) nitride formation; (8) carbide formation; (9) synthesis; and (10) combined
reactions. CVD processes can be divided according to the type of reaction
initiation energy: thermally-activated, plasma-promoted, photon-induced,
laser-induced, and electron-induced reactions. Classification according to
system pressure is as follows: normal-pressure, low-pressure, high-pressure
or vacuum reactions. Also, there are reactions with or without substrate
participation, reactions of inorganic or organometallic compounds, and reac-
tions of premixed or separate reagents.
Suitable compounds for CVD processes must fulfil requirements such as
stability at room temperature, sufficient volatility (i.e. they must be gases,
volatile liquids or sublimable solids), reaction temperature below the melting
point of the substrate, reaction products should be the desired element or
compound with easily removable volatile by-products, availability in electro-
nic grade quality (purity) on a commercial scale, reduced toxicity to facili-
tate applications on an industrial scale, and low cost. The main classes of
60
CHEMICAL P R O C E S S E S USED I N CVD
61
FUNDAMENTALS
62
CHEMICAL PROCESSES USED I N CVD
.63
FUNDAMENTALS
64
CHEMICAL P R O C E S S E S USED I N CVD
Reaction with substrate. In most cases, the substrate plays only a passive
role in a CVD process. However, in some cases the substrate takes part in
the CVD reaction, especially at sufficiently high temperatures. For example,
the substrate can serve as a reductant for certain metal halogenide vapours,
being converted, in turn, into a volatile halogenide, the process leading
to metal deposition:
2WF6 + 3Si = 2W + 3SiF 4 (3.39)
Among the disadvantages of this type of film formation, the limited choice
of substrate materials and range of film substrate combinations, as well
as self-limitation of the deposited film thickness are the most important.
In many situations, the substrate reacts with the gaseous medium as a chemi-
cal constituent of the growing film. In a much broader meaning, this type
of reaction can be considered as a CVD process. However, the reaction with
substrate is not a deposition process, but rather an in-situ growth process.
Such processes are thermal oxidation/nitridation, plasma oxidation/nitrida-
tion, as well as photochemical and laser oxidation.
Chemical transport. Chemical transport represents the transfer of a re-
latively non-volatile solid substance (source) by reacting it with a transport
agent to form a volatile species, which subsequently undergoes the reverse
chemical reaction on the substrate placed in another part of the apparatus.
The general form of a chemical transport reaction is:
65
FUNDAMENTALS
66
CHEMICAL P R O C E S S E S U S E D I N CVD
•· · ·1
6
|_ 5 κ |
1 e^£> Θ 1
\E* ' mJ
LL) ^
EZ3 p» · · · |
(a) (b)
/
/
6^g
fUSSA
poo,
(c)
Fig. 3.1 Experimental systems used to deposit thin films by chemical transport reactions:
a — closed-tube system: 1 — source (e.g. S i 0 2 ) ; 2 — substrate; 3 — transport agent (e.g.HF);
4 — two-zone furnace {Τλ< Τ2); 5 — closed quartz t u b e ;
b — open-tube system: 1 — cylinder with transport gas (e.g.HCl); 2,3 — resistance heated
furnaces (T 2 < T 3 ); 4 — open-tube reactor; 5 — source (e.g. Si 3 N 4 ). 6 — substrate (Si);
c — close-spaced (closed-tube) system: 1 — quartz t u b e ; 2 — thermocouples; 3 — graphite
slabs; 4 - quartz spacer; 5 — source (e.g. GaAs); 6 — substrate (e.g. Ge); 7 — transport agent
(e.g. H 2 0 ) ; 5 — infrared heaters.
67
FUNDAMENTALS
TABLE 3.1
Thin Films Prepared by Chemical Vapour Transport Reactions
Source Substrate
Material Substrate Transport
No. Source temperature temperature Reference
agent
(°C) (°C)
0 1 2 3 4 5 6 7
A. CLOSED-TUBE CVT
1 Si Si Si h 1100 800-900 [284]
2 Ge Ge, GaAs Ge h 600-700 450-600 [48, 3540
3541]
3 Ge Ge Ge H20 900 828 [240]
4 BP Si BP i2 1100 900 [2814]
5 BAs GaAs BAs I2 740 680 [3617]
6 GaP GaP GaP 1 H20 1100 1000-1300 [241]
(C12,HC1)
7 GaAs GaAs GaAs Cl 2 650-750 550-750 [48]
(AsCl 3 )
68
CHEMICAL PROCESSES USED I N CVD
B. CLOSE-SPACED CVT
C. O P E N - T U B E CVT
69
FUNDAMENTALS
0 1 2 3 4 1 5 6 7
44 ZnO α-Α1 2 0 3 ZnO NH3 875 740 [3243]
45 ZnS Si ZnS Ar, H 2 1170 500 [3258]
46 ZnS GaAs Zn H2S 530 825 [3263]
47 ZnS GaAs Zn + S H2 200, 550 800 [3264]
(H 2 S)
48 ZnS GaAs, ZnS H2 900 600-650 [3265]
CaF 2
49 ZnS GaP ZnS H2 900-950 820-900 [3267]
50 ZnSe ZnSe,GaAs ZnSe H2 900-950 600-830 [3265, 3284,
GaP,CaF 2 3286]
51 ZnSe GaAs Zn H 2 Se 530 890 [3263]
52 ZnSe GaAs,Ge ZnSe HBr-H2 840 515 [3288]
53 ZnSe GaAs, ZnSe HC1-H2- 600-740 570-610 [250, 3225,
ZnSe -Ar 3284, 3286]
54 CdS GaAs Cd H2S 580 690 [3263]
55 CdS CdTe CdS H2 890 480 [3310,3313]
56 CdSe α-Α1 2 0 3 , Cd H2Se-H2 500 700 [3315]
CdS
51 Zn(SSe) CaF 2 ZnS + H 2 (I 2 ) 450 600-650 [3265]
ZnSe
58 Zn(SSe) GaAs ZnSe-fZn H 2 S—H 2 950, 700 750 [3266]
59 (PbSn)Te (PbSn)Te (PbSn)Te H2 725 650 [3270]
60 Si02 Si SiO a HF 200-500 400-600 [247, 4057]
61 Si 3 N 4 Si Si 3 N 4 HCl(HBr) 2 0 0 - 5 0 0 500-800 [4312]
62 A1 2 0 3 Si A1 2 0 3 HC1 200-500 400-600 [4312]
63 Nb 2 O s Si |Nb 2 O s Cl 2 150 700-1000 [4247]
64 PbO glass (PbO 02-H20 900 120 [4247]
65 NiFe204 MgO NiFe 2 0 4 Cl 2 900-1000 600-860 [4813]
66 NiFe204 MgO NiO + Ar-HCl 950 800-850 [4812]
Fe203
67 NbgSn Hastelloy Nb 3 Sn HC1 900 1000 [4754]
70
CHEMICAL P R O C E S S E S U S E D I N CVD
71
FUNDAMENTALS
3 2 3 6 5 4
y ^ i iff " s ^
72
CHEMICAL PROCESSES USED IN CVD
73
FUNDAMENTALS
700-900 °C
SiH4 + 4NO »· Si0 2 + 2H 2 0 + 2N2 (3.76)
SiX4 + 0 2 > Si0 2 + 2X2 (3.77)
SiX4 + 2C0 2 > Si0 2 + 2CO + 2X2 (3.78)
SiX4 + 2N 2 0 — > Si0 2 + 2X2 + 2N2 (3.79)
2A1C13 + 3/20 2 — > A1203 + 3C12 (3.80)
2A1(C2H5)3 + 210 2 — > A1203 + 12C02 + 15H20 (3.81)
Sn(CH3)4 + 80 2 ► Sn0 2 + 4C02 + 6H 2 0 (3.82)
2In(CH3)3 + 1202 > ln 2 0 3 + 6C02 + 9H 2 0 (3.83)
Zn(C2H5)2 + 70 2 —50"50°°C > ZnO + 4C02 + 5H 2 0 (3.84)
Zn(C2H5)2 + C0 2 15 ;Ü;"; c > ZnO + CO + H 2 0 + Q H y (3.85)
FeX 2 + l/20 2 — > FeO + X 2 (3.86)
CoX2 + l/20 2 —► CoO + X 2 (3.87)
3RCl3(g) + (5 - *) FeCl2(g) + *GaCl(g) + 602(g) ^ (3.88)
^ F e ^ G a ^ s ) + 1/2(19 - *)Cl,(g)
(3 - *)TbCl3(g) + A;ErCl3(g) + 5FeCl2(g) + 602(g) =
Tb3.xErxFe5012(s) + 19/2Cl2(g) (3.89)
Fe(CO)5 + 30 2 = FeO + 5C0 2 (3.90)
The oxidation reactions are advantageous for obtaining amorphous films at
low temperature in high capacity equipment. However, for epitaxial films
higher growth temperatures are usually required.
Hydrolysis. Hydrolysis is a chemical process by which a vapour phase
compound reacts with externally introduced or in-situ formed (C02—H2,
H2—02) water vapour, leading to the formation of the solid reaction product,
usually an oxide. The general form of this reaction is:
AX(g) + H20(g) —-> AO(s) + HX(g) (3.91)
When using C0 2 + H2, H 2 + 0 2 or NO + H 2 as hydrolysing agents, water
is formed even during the hydrolysis process:
C0 2 + H 2 = H 2 0 + CO (3.92)
H 2 + 0 2 = H 2 0 + 1/20, (3.93)
2NO + 2H2 = 2H 2 0 + N2 (3!94)
Hydrolysis uses the same starting reactans and is applied for similar pur-
poses as oxidation. In order to obtain certain epitaxial films, namely garnets,
a concomitant hydrolysis-oxidation reaction is used. In many cases, reaction
74
CHEMICAL P R O C E S S E S USED I N CVD
between the volatile compound and water takes place immediately on contact;
thus, it is necessary to mix them just near the substrate. The equipment
used is a cold-wall or hot-wall reactor which has a complex configuration in
the case of garnet preparation. Typical examples of hydrolysis are:
75
FUNDAMENTALS
76
CHEMICAL PROCESSES USED I N CVD
77
FUNDAMENTALS
78
CHEMICAL PROCESSES USED IN CVD
7fr
FUNDAMENTALS
TABLE 3.2
Thin Films Prepared by Using LPCVD Reactions
0 1 2 3
80
CHEMICAL PROCESSES USED I N CVD
0 1 2 3
27 Al Al(i-C4H9)3-Ar [4491-4496]
TMA [4494]
A1C1 [4495]
28 Mo MoF6-H2 [4552]
29 W WFe-H2 [206, 4518-4540]
30 Sn02 SnCl4-02-N2 [206]
31 MoSi 2 MoCl5-SiH4-H2
32 TaSi 2 TaCl5-SiH4-H2 [4739]
33 TiSi 2 TiCl4-SiH4-H2 [4736]
34 WSi 2 WFe-SiH4-H2 [4743-4749]
81
FUNDAMENTALS
2^Z±
82
CHEMICAL PROCESSES USED IN CVD
83
FUNDAMENTALS
T A B L E 3.3
Ö 1 2 3
84
CHEMICAL PROCESSES USED I N CVD
T A B L E 3.3 (continued)
0 1 2 4
85
FUNDAMENTALS
86
CHEMICAL PROCESSES USED IN CVD
T A B L E 3.4
87
FUNDAMENTALS
TABLE 3.5
Thin Films Prepared by Using Laser-Assisted Reactions (LCVD) [233, 235]
0 1 2 | 3 4 5
88
CHEMICAL P R O C E S S E S USED I N CVD
0 1 2 3 4 5
8»
FUNDAMENTALS
TABLE 3.6
Thin Films Prepared by Using EBCVD and IBCVD Reactions
EBCVD
1 Si SiH 4 [227]
.2 SiO a SiH4-N20 [223, 225]
3 Si 3 N 4 SiH4-NH3 [224, 225]
4 Polystyrene styrene [4442]
5 Polybutadiene butadiene [4442]
6 Polymethylmethacrylate methylmethacrylate [4442]
7 Polydivinylbenzene divinylbenzene [4442]
8 Epoxy polymers epoxy resin [4442]
9 Polysilicone silicone oil [4442]
10 Fe Fe(CO) 5 [229, 230]
11 Pb Pb(CH 3 ) 4 [228, 4587]
12 W WF, [231]
13 C( diamond) CH 4 —H a [226]
IBCVD
14 Al TMA [23η
15 An (C 7 H 7 F,O a )Au [236]
16 W WF, [237]
17 c CH 4 , C 2 H 2 [236]
4
Thermodynamics of CVD
91
FUNDAMENTALS
92
THERMODYNAMICS OF CVD
Degrees (Kl
1000 800700 600 500
^Nfi^aighMg)
-tta^co^^V
900 1100 1300 1500 20 Λ
-+- Temperature (KJ »~ Heverse absolute temperature 1IT-10
Fig. 4.1 Variations with temperature in Fig. 4.2 Variations with temperature in the equi"
the Gibbs free-energy changes for the librium constants for the oxidation and nitridation
formation of Si 3 N 4 from SiH 2 Cl 2 and of SiH 2 Cl 2 (after Morosanu and Segal [488]; re-
N H 3 or N 2 (after Morosanu and Segal printed with permission from T H I N SOLID
[488]; reprinted with permission from F I L M S , © 1982 Elsevier Sequoia S.A.).
T H I N SOLID F I L M S , (g) 1982 Elsevier
Sequoia S.A.).
93
FUNDAMENTALS
we can see that if AHR > 0, the deposition reaction is endothermic and pro-
ceeds from left to right with increasing temperature. If AH < 0 , the deposi-
tion reaction is exothermic and deposition takes place with decreasing t e m -
perature. An example of an endothermic reaction is the hydrogen reduction
of SiCl4, which will take place on a heated substrate in a cold wall reactor:
SiCl4 + 2H 2 = Si + 4HC1 (4.9)
F = G—P + 2 (4.11)
94
THERMODYNAMICS OF CVD
* Portions of this subsection have been reprinted after Morosanu a n d Segal [488]
from T H I N SOLID FILMS with permission of the publisher, Else vier Sequoia S.A.
9S
FUNDAMENTALS
96
THERMODYNAMICS OF CVD
-200-
L00 609 800 WO WO 1600
*» Temperature (KJ
The equilibrium constants Kv K2, Kz, and X"4 for various temperatures
may be determined from diagram of the Gibbs free-energy changes for the
four reactions in the temperature range of interest (Fig. 4.3). The free energy
changes for the reactions have been calculated from previously reported
thermochemical data.
When the equilibrium constants at a given temperature (usually in the
range 1000 — 1200 K) are calculated and it is taken into account that the chlo-
rine-to-argon ratio is usually 10""3, 10~2, 10_1 or 1, the partial equilibrium
pressures of all six species can be determined by solving the system of six
equations with six unknowns.
The partial equilibrium pressures may also be used to evaluate the rate
of Si3N4 production. For this purpose the following relations, which
express the law of mass conservation of silicon within the system, will
be used:
MF
<Zsi3N4 = -i-— (PL· - Pffl) (4.22)
3i?r n
*Si 3 N 4 d's
S13N4
7si3N4 9
(4.23)
6 x 10 M MS
MF_
^si3N4 = ^-22 X 107 (P°si - Psi)
iRTr
- 6 . 1 x 107P (P°si - Psl) (4.24)
-1
where: isi3N4 is the rate of deposition of Si 3 N 4 (g s" ); <#si3N4 is the
rate of deposition of Si 3 N 4 (Ä min* 1 ); M is the molecular weight of Si3N4
(140); R is the gas constant (0.0821 a t m K" 1 mole" 1 ); .F is the flow rate (1 s" 1 );
T0 is the absolute temperature (300 K ) ; Ph is the hypothetical partial
pressure of silicon at the reactor inlet ( a t m ) ; P s i is the hypothetical partial
pressure of silicon at equilibrium; /si3N4 is the flux of material toward
the interface (mole cm - " s" 1 ); and 5 is the substrate surface area (cm 2 ). P |
and P,sl are given b y the relations:
Psi = PsiH2Cl2 + PsiCl2 (4.25)
Psi = PsiH2Cl2 (4.26)
97
FUNDAMENTALS
=
t > ! A + Krln [(j!r) i> ] + §" il '" I4·30)
taking into account the mass balance relations:.
m s
Σ «&»J + £ αΜ= h> U = 1A .... 1) (4.31)
*=1
where G is the Gibbs free energy of the system, n\ and n\ are the mole number
of a gaseous or solid species, respectively, μ^ and μ% are the chemical
potentials of gaseous or solid species, respectively, Nff and P are the total
number of moles in the gas phase and the total pressure, respectively, ai} re-
presents the number of atoms of the / - t h element in a molecule of the i-th spe-
98
THERMODYNAMICS OF CVD
ties, bj is the total number of moles of the element, m is the total number of
elements, and at are the activities which for ideal gaseous species are equal
to the partial pressures pt = | — | P and for pure solids are equal to unity.
The solution of the above equation has been given by Eriksson, who
described a computer program (SOLGAS) for obtaining the equilibrium com-
positions of gaseous and solid phases at given P, T and starting reactant
concentrations.
The method based on minimization of the free energy of a system does
not require any assumptions about reactions which take place in the system.
Starting from the number of molecules (atoms) of each species together with
their free energy of formation, the temperature and pressure, we can compute
the expected deposition yield (and the expected composition of the deposit),
the partial pressures of all the gaseous species at equilibrium with the deposit
and the efficiency of the deposit. This method offer the advantage of solving
linear equations, its solution usually obtained by a computer program being
applicable to any bulk composition in any chemical system. However, the
computer program is relatively complicated. Examples of equilibrium calcu-
lations based on the free energy minimization method are described in the
literature for the deposition of various materials, such as semiconductors
(A1N), dielectrics (Si0 2 , Si 3 N 4 ), some metal compounds (TiC, TiB 2 ), and
superconductors (Nb3Ge) [490—508].
The free energy minimization technique has been applied, for example,
to the same SiH 2 Cl 2 —NH 3 system used for depositing Si 3 N 4 at low pressure
[498]. Chemical equilibrium data for the four component system Si—N—H—Cl
have been computed by minimizing the summation of the free energies of
all conceivable gaseous species (SiH 2 Cl 2 , SiCl 4 , SiCl3, SiCl2, SiHCl 3 , Cl 2 ,
Cl, HC1, HN 3 , N 2 , H 2 , and others) and stable condensed phases (Si3N4, Si3N4 +
Si). The thermodynamic data for these species are taken from the J A N A F
Tables.
Thermodynamic calculations have been used to obtain CVD phase
diagrams, graphs of partial pressure as a function of temperature and of
starting reactant concentrations (input gas composition) for the gaseous
species in equilibrium in the deposition system, and equilibrium efficiency
plots for obtaining the desired Si3N4 deposition. CVD phase diagram shows
t h a t Si 3 N 4 is deposited under most experimental conditions, except for large
silicon contents in the input gases, when Si can codeposit with the nitride
phase. The partial pressures of gaseous species as a function of temperature
and Si/(Si+N) ratio in the input gas show that the main chemical reaction
occurring at equilibrium is:
3SiCl4(g) + 2N2(g) + 6H 2 (g) — * Si3N4(s) + 12HCl(g) (4.32)
99
FUNDAMENTALS
5.1 Introduction
101
FUNDAMENTALS
Since these eight steps of a CVD process occur sequentially, the slowest
one will be the rate determining step, but in steady-state conditions all of them
will proceed at the same rate. Depending of the rate-determining step there
are three control types of a CVD process: mass transport of first kind, mass
transport of second kind and surface kinetics.
Type I mass transport is an equilibrium process determined by mass
input; this type of control occurs when Steps 1 or 8 (i.e. the reactant feed
of the deposition zone or product removal from the deposition zone) are the
slow steps. In this case, the mass transfer between the main flow and sub-
strate surf ace as well as surface processes are sufficiently fast, i.e. faster than
the diffusion of the reaction products away from the surface.
Type II mass transport is a process determined by diffusional mass
transfer; this type of control occurs when Steps 2 or 7 (i.e. reactant transfer bet-
ween the main gas flow and the substrate surface, which usually occur by
diffusion or convection) are the slow steps.
Kinetic control (also named surface kinetics or chemical reaction con-
trol) implies that the rate-determining step is a surface process; it occurs
when one of Steps 3 (reactant adsorption), 4 (chemical reaction), 5 (surface
migration, lattice incorporation), or 6 (product desorption) is the slow step.
In many systems, the control type is composed of both mass transport
and surface kinetics contributions. However, the mechanism which pre-
dominates is assumed to have the total contribution.
102
K I N E T I C S OF CVD
Major CVD variables that affect film deposition rate are the following,
listed in their approximate order of importance: total gas flow rate; sub-
strate temperature of deposition; reactant partial pressure; crystallographic
orientation of the substrate; geometric orientation of the substrate; and
surface area of the substrate.
The experimental study of thin film deposition kinetics is usually per-
formed by isolating a single variable while the others are kept constant.
Thus, a study of the influence of the total gas flow rate on the film deposi-
tion rate requires that all other variables, such as substrate temperature,
reactant partial presure, substrate orientation, etc., to be maintained at a
constant value.
Influence of totals gas flow rate. In any kinetic investigation of hetero-
geneous reactions in a flow system, the examination of the dependence of the
formation rate of the solid reaction product on the total gas flow rate while
the other variables are kept constant is a matter of the first importance.
It helps to delineate the mass-transfer controlled region from the surface-reac-
tion controlled region according to the criterion of the dependence or inde-
pendence of the rate on the total gas flow rate, respectively. Indeed, if the
process is limited by any type of mass transfer, an increase in total gas flow
rate means an increase in reactant supply rate, thus leading to an enhanced
CVD deposition rate. The surface processes are so quick that they can consume
the increased amtmnt of reactant supplied by the gas-phase transport processes.
Conversely, for a kinetically-limited process, owing to the fact that the sur-
face process is sufficiently slow as compared with transport processes,
the reactant partial pressure at the substrate surface will be similar to that
in the main gas flow. In this case, the surface processes, which consume
reactants, are so slow that gas phase transport processes can maintain the
corresponding supply of reactants.
The two types of mass-transfer control are easily distinguished. There
is an equilibrium control, when the deposition rate increases with variable
total flow rate, at fixed tube diameter but remains independent by varying
gas stream velocity without changing the total mass input (e.g.by varying
the reactor diameter at constant total flow rate). There is a diffusional control,
when the deposition rate increases not only with variable total flow rate at
fixed tube diameter, but is also dependent (increases) with increasing velocity
without changing the total mass input.
All of the three rate-controlling types can be encountered in CVD pro-
cess, whether the gas flow rate increases from very low to very high values.
At low flow rates, the reaction rate is controlled by the equilibrium rate,
the gas stream having a sufficient residence time to equilibrate with the
substrate surface. In this region, the CVD rate increases linearly with total
flow rate, and the maximum process efficiency will be attained. At medium
flow rates, the reaction rate is controlled by the diffusional transfer rate.
In this region, the CVD rate increases non-linearly with total flow rate, but
the process eficiency is lowered because in the conditions of an incomplete
equilibrium a portion of the reactant will pass unreacted over the substrate.
At high flow rates, the reaction rate is controlled by surface kinetics, becoming
independent of total flow rate because the reactant partial pressure in the
103
FUNDAMENTALS
K = Ce-*W*T (5.1)
where AH is the heat of reaction at constant pressure (reaction enthalpy)
and C is a constant.
Mass transfer processes of the second kind (viz. diffusion) are not so
sensitive to temperature variation. It is known that gas-phase diffusion is
not an activated process, having accordingly only a weak] temperature de-
pendence—being proportional to Tm, where m — 1.5—2.
The rate r of a diffusional process is given by the following relation:
r = 6Γ 1 · 5 - 2 (5.2)
where 6 is a constant.
Kinetically-controlled processes proceed at a rate limited by a surface
reaction eventually including both adsorption and desorption which are con-
sidered chemical reactions between the surface and gas-phase species. All
these chemical reactions occur only when an energetic barrier, called the acti-
vation energy, is overcome. For this reason, these processes are called acti-
vated processes. A heterogeneous process controlled by surface kinetics
shows a relatively steep temperature variation, its rate being exponentially
dependent on temperature, as seen from the Arrhenius equation:
r = A exp(-E/RT) (5.3)
where A is a constant, sometimes called the frequency factor, and E is the
activation energy — usually between 25 and 100 Kcal/mole.
In practice, both the equilibrium and diffusional controls are encoun-
tered at high temperatures > 750—900°C depending on the particular reac-
tant system, where the kinetics at the surface are sufficiently fast.
Indeed, for mass control of the first kind in this high temperature range,
surface reactant concentrations will be very close to the equilibrium values
because the surface concentrations are easily adapted to the flow of products
towards or from the deposition zone.
104
K I N E T I C S OF CVD
Also, mass control of the second kind can occur in the same high tempe-
rature range, where, because the diffusion of the products toward and from
the surface is sufficiently slow (i.e. slower than the kinetics at the surface)
an undersaturation of reactants and/or reaction products occurs which is
different from the equilibrium value.
At low temperatures, the kinetics of surface reactions that are slower
than the mass transfer begin to play an important role. There is a supersatu-
ration of reactants and/or an undersaturation of reaction products, so that
the process is far from equilibrium.
The temperature dependence of the deposition rate of a CVD film for
all three controlling processes is as follows. Assuming no thermodynamic
limitation, a relatively steep temperature dependence is observed in the lower
temperature range and a milder dependence in the upper range, the rate-
controlling steps being a surface process (usually the adsorption of reactants
on the substrate surface or a surface chemical reaction) or a mass transfer
of the second kind (the diffusion of reactants and products to and from the
reacting surface), respectively. Also, there is a third region appearing at ultra-
high temperatures where the deposition rate gradually decreases, the rate
limitation being caused by homogeneous gas phase nucleation.
For an equilibrium controlled process, which occurs in the high tempera-
ture range, there are two temperature dependences corresponding to diffe-
rent values of the enthalpy of reaction. For a positive reaction enthalpy
(an endothermic process), the rate increases with increasing temperature,
but less abruptly than for a kinetically controlled process. For a negative
reaction enthalpy (an exothermic process), the rate decreases with increasing
temperature at a slope depending on the value of AH (usually 12.5—100
Kcal/mole).
From the above discussion, we can easily derive some useful criteria to
delineate the type of process control.
First, we observe that for a reaction having a negative AH the rate
increases with temperature for both diffusional and kinetic limitations, but
decreases with temperature for thermodynamic limitations, while for a po-
sitive AH the rate increases with temperature for all three control types.
Thus, a sufficient criterion for distinguishing a thermodynamically limited
process from a kinetically limited one (for an exothermic process) is based
on rapidly decreasing or increasing deposition rate, respectively.
In the case of an endothermic process, a necessary but insufficient cri-
terion for differentiating a kinetically limited process from a mass transfer
one (equilibrium or thermodynamic) is based on high activation energies.
Indeed, although the apparent activation energy is much less for a diffusional
process ( < 10 Kcal/mole), the rate of an equilibrium process increases with
increasing temperature at both low and high temperatures, in a way similar
to an activated process under kinetic control, except for the high tempera-
ture range in which the increase is less abrupt.
105-
FUNDAMENTALS
106
K I N E T I C S OF CVD
TABLE 5.1
Criteria for establishing the control type of a CVD heterogeneous reaction [509]
Growth
Control type Growth rate modification 6
variables*1
0G **R depends on OQ
Oc *R is independent of O c
**R increases rapidly (exponentially) with increasing T
Chemical reaction T
[for an exothermic process)
(kinetic) control
*R increases rapidly (exponentially) with increassing T
(for an endothermic process)
F **R is independent of F
OG *R is independent of O G
a
T = temperature; F = total flow r a t e ; v = gas stream velocity; O c = substrate crystal-
lographic orientation; OQ = substrate geometric orientation; A = substrate area.
*R = growth rate.
*a necessary but insufficient criterion; ** a necesarry and sufficient criterion.
107
FUNDAMENTALS
108
K I N E T I C S OF CVD
109
FUNDAMENTALS
P<C2^>J|
E^TM
IL·-.?
H
6
\r
r? 1
M1
H1
H1
7
♦-R
(a) (b)
Fig. 5.2 Arrangements illustrating gravimetric techniques for continu-
ous rate measurement:
a — cathetometer technique: 1 — cathetometer; 2 — quartz helix; 3 —
graded guide m a r k s ; 4 — substrate and film (e.g. Si and Si 3 N 4 );
5 — quartz reactor; 6 — resistive furnace; 7 — reaction gas inlet
(SiH 4 + N H 3 ) ; 8 — exhaust;
b — electrobalance technique: 1 — recording electrobalance; 2 — quartz
fibre suspension; 3 — wafer holder; 4 — wafer; 5 — thermocouple
well; 6 — quartz reaction t u b e ; 7 — furnace; 8 — reaction gas inlet.
110
K I N E T I C S O F CVD
PIS
G R O W T H , (Q) 1978 North-Holland Physics <&r
Publishing):
a — arrangement of sampling reactant ga-
ses: 1— quartz reactor; 2 — thermocouple;
3. — resistance furnace; 4 — sampling capil-
l a r y ; 5 — gas cell; 6— liquid nitrogen t r a p ;
7 — manometer; 8 — needle valve for con-
trol of the sampling speed; 9 — gas sample ' D )
e x h a u s t ; 10 — gas inlet; 11 — gas outlet;
b — arrangement for in-situ analysis of .
reacting gas in the reactor a t high tem-
perature : 1 — monochromatic IR source;
2 — mirrors; 3 — reference cell; 4 — sam-
ple cell; 5 — resistive heating; 6 — I R
analyser. SiC,fH2
111
FUNDAMENTALS
Gas chromatography can be used for the qualitative and quantitative ana-
lysis of gas (vapour) atmospheres in CVD processes [536—540]. Its principle
is based on the differences in the distribution coefficient of the gases (or
vapours) between a fixed phase and a gaseous mobile phase. The sample
of mixed components is injected into a carrier gas stream, usually hydrogen
or helium, which passes through a column containing a suitable absorbent.
The components are separated in the column and detected in a specific order
after the outlet by using a thermal conductivity cell or another type of detec-
tor. It is necessary to calibrate the equipment initially with known compo-
nents by measuring their retention time.
This method can be used in two variants. The first variant is used to deter-
mine the concentration of one or more species either at the outlet of the reac-
tor or just above the susceptor. The latter variant is accomplished by using
a mobile nozzle-shaped probe for in-situ analysis of the gas phase (Fig.
5Aa) [538].
When there is only one component in the gas phase, as for instance in
the SiH4—H2 system, the gas chromatography apparatus consists of only a
thermal conductivity detector without the adsorption column (Fig. 5.4δ)
[537].
This method has been applied to gas phase decomposition of silane in a
horizontal resistance-heated furnace, which occurs from a silane concentra-
tion of 0.1%. By determining the composition and temperature profile of
112
K I N E T I C S OF CVD
113
FUNDAMENTALS
Raman and resonance fluorescence spectra are used to measure the tempera-
ture and concentration profile of identified species, respectively, throughout
a CVD reactor [553-559].
The Raman effect is an inelastic light scattering process in which scat-
tered light is shifted down or up in energy from that of the incident photons,
the energy difference leading to vibrational transitions in the direction op-
posite from the scattering systems. As the ratio of the intensities of the corres-
ponding Stokes and anti-Stokes lines depends on the gas temperature,
Raman spectra are used to obtain gas temperature and temperature profile
above and along the susceptor.
Resonance fluorescence is a process in which a molecule initially excited
by light absorbtion reaches a final state having a lower, higher, or equal
energy to the initial one, thereby emitting light of upshifted, downshifted
or unshifted frequency, respectively. As the fluorescence intensity is also
proportional to the number of fluorescing molecules in the selected sample
volume, fluorescence spectra are used to measure the concentration profiles
of the species in the susceptor zone.
The light scattering apparatus used to study CVD systems consists
mainly of an argon ion laser whose incident light is directed to a small gas
volume; after scattering, it falls on a monochromator to obtain the Raman
and fluorescence spectra, then is focused on a photomultiplier to record the
signals and to measure the scattered light intensity (Fig. 5.6).
This method was initially applied to identify molecular species and to
measure their concentration gradients as well" as to obtain gas temperature
and gas temperature gradients in the Si—H—Cl system in a horizontal
reactor [553—555]. In this system, only one species (presumably SiCl2) could
be measured, its concentration being determined only by fluorescence which
is exceedingly intense, thus making the other weak Raman scattering signals
unobservable. The temperature was measured by Raman scattering spectra.
Temperature and concentration profiles show a steep drop in both paramters
over a distance of about 12 mm above the susceptor.
Another important application of laser excited fluorescence spectroscopy
has been in the study of the mechanism of PECVD of a-Si [557—559].
114
K I N E T I C S O F CVD
115
FUNDAMENTALS
A study of the CVD mechanism of thin films has to include a detailed des-
cription of the physico-chemical deposition process.
As shown before, it is supposed that the CVD of a film is a heterogeneous
reaction on the surface of the substrate which occurs in eight steps and can
be controlled by using equilibrium, diffusion or kinetics. A certain rate-con-
trolling regime can be evidenced in many cases, based on the experimental
evaluation of the influences of several parameters (expecially total gas flow
rate and the temperature) on the deposition rate. After establishing experi-
mentally what type of control is present, it is possible to analyse theoreti-
cally the experimental data obtained as well as to predict the behaviour of
the process under various experimental conditions. The first objective of
this theoretical analysis will be the determination of the deposition rate
expression as a function of experimental variables, the final objective being
the optimization of the production parameters.
The mechanism may be described differently for the three control types.
Thus, thermodynamic calculations may provide information on the influence
of temperature and reactant partial pressure on the amount deposited. For
diffusion limited processes, the mechanism can be determined by examining
mass transfer in a general CVD reactor. For processes whose rate is limited
by a reaction step, the mechanism can be determined giving a molecular
description of surface processes.
116
K I N E T I C S OF CVD
At medium total gas flow rates and/or in the high temperature region, the
deposition rate of a CVD film is determined solely by diffusion in the gaseous
phase. Indeed, in this region if the surface reaction is sufficiently fast, this
type of process control (mass transfer) implies reactant transfer due to a con-
centration gradient between the deposition zone and the substrate surface.
In this regime, the deposition rate can be calculated by assuming that a stag-
nant (boundary) gas layer exists in the gaseous phase near the substrate
surface on which the deposition reaction takes place. The boundary layer
is a layer adjacent to the susceptor in which the gas velocity approaches
zero and across which the reagents have to diffuse to the surface of the sub-
strate (Fig. 5.7).
X /
• i1
i S
v -3 J- ■
"~ 1 \ *s* j
^M%-^ Fig. 5.7 Stagnant layer model for the deposition
of CVD films in a horizontal reactor:
1 — horizontal quartz t u b e ; 2 - graphite susceptor;
3 — stagnant gas layer; 4 — convection layer.
* This subsection has been reprinted after Morosanu and Segal [609] from T H I N SOLID
FILMS, with permission of the publisher, Elsevier Sequoia S.A.
117
FUNDAMENTALS
τ = £(ΡΆ-ΡΆ) (5.7)
where r [moles cm2 s"1) is the rate of the process, P is the total pressure of
the system, and P\ and PSA are the partial pressures of A in the gas volume
and at the substrate surface, respectively. Since the total pressure of the
system is constant,
r = k,(P*A - P'A) (5.8)
Since the reaction occurring in the kinetic regime is fast, it turns out that
PA —> 0, and therefore the deposition rate is found to be directly propor-
tional to the partial input pressure of the reactant:
r = kgPA (5.9)
The mass transfer coefficient, which depends on the flow dynamics of the
system, is given by the relation:
(5
*<-w ·'°>
where DA is the diffusion coefficient of the reactant in the ambient atmosphere,
T is the absolute temperature, δ is the effective distance at which diffusion
occurs, i.e. the thickness of the stagnant layer, and P is the total pressure
of the system. Since according to the experimental data D ~ T2, the follo-
wing expression can be written for the dependence of the diffusion coefficient
on temperature:
De = D0T*ITS (5.11)
where D0 is the diffusion coefficient at 300 K {room temperature), T8 is the
substrate temperature, and T 0 is 300 K.
The expression for the deposition rate r0 on the susceptor (wafer) at
the inlet end is thus:
r = DQPATS ,5 12 v
0 V ;
RT*8 '
118
KINETICS OF CVD
This simple expression for the deposition rate is applicable in many particular
systems to predict the influences of various important experimental parame-
ters, such as flow velocity, temperature gradient, geometrical substrate orien-
tations and others. This relation allows the deposition rate to be calculated
theoretically provided that the diffusion coefficient of the reactant in the
carrier gas and the thickness of the stangant layer can be estimated.
The diffusion coefficient of the reactant in the carrier gas can be deduced
by several relations, e.g.:
where D12 is the diffusion coefficient of gas 1 in gas 2, n± and n2 are the num-
ber of molecules of the two gases per unit volume, mx and m2 are the mole-
cular masses of the two gases, σ12 is the collision diameter, K is the Boltz-
mann constant, T is the absolute temperature, and Tc and Pc are the criti-
cal temperature and pressure. The thickness S of the stagnant layer can be
determined by using the following methods: (1) by direct experimental mea-
surement (observation of the gas flow pattern in the reactor); (2) by using
the relation δ = AjV^l2—B, where A and B are constants and Vm is the
average velocity of the gas calculated from the flow rate F at the inlet and
the cross section S of the tube, i.e. Vm = F/S; (3) by experimental measure-
ment of the deposition rate r0 at the inlet end of the susceptor and the use
of equation (5.12), which gives r0 in terms of S; (4) by applying the relation
for the variation of the rate along the susceptor:
(5.15)
where r0 is the rate at the end of the susceptor nearest to the gas inlet, x is
the distance along the susceptor, and b is the distance between the susceptor
and the reactor wall. The thickness of the stagnant layer can then be
determined from the intercept (or the slope) of the straight line obtained
by plotting log r(x) against x. The deposition rate can also be expressed as:
, 6xl05M ,_ 1AN
r = r' (5.16)
P
where M is the molecular weight of the deposited film, p is its density, and
r [μπι/min] andr' [moles/cm2 s] are the deposition rates expressed in different
units.
119
FUNDAMENTALS
As shown previously, at high gas flow rates and/or in the low temperature
region, the deposition rate of a CVD process is determined exclusively by
surface processes. For processes which have been shown to be kinetically
controlled, it is meaningful to determine the activation energy, the reaction
order, etc. as well as the rate law as a function of these quantities. At the
beginning, the kinetic regime must be delineated by examining the effect
of the experimental parameters on the deposition rate.
In principle, a kinetic study of the heterogeneous reactions of deposition
can be made by taking into consideration either the formation kinetics of
the thin layer or the kinetics of the gas phase reaction.
In the study of the reaction mechanism of CVD processes, the rate equa-
tions can be formulated on the basis of either adsorption isotherm relations
or absolute reaction rate theory. Modelling of a CVD process can also be
carried out with the help of a kinetic analysis of the homogeneous and hetero-
geneous equilibria established in the system under steady state conditions.
* Portions of this subsection have been reprinted after Morosanu [89] a n d Morosanu et
al. [610] from T H I N SOLID FILMS with permission of the publisher, Elsevier Sequoia S.A.
120
K I N E T I C S OF CVD
1 S l">
c
\ |
•I / 4
c;
-1 |.ς
y^ 1 ■b
N.
TD "b *t>
/
\
Total flow rate Reactant motor ratio Main reagent molar 1/T
(a) (b) fraction.
(0 (d)
Fig. 5.S Typical dependences of CVD film deposition rate d on:
(a) — th? total flow r a t e ; (b) — the secondary to main reagent mole ratio; (c) the mole
fraction of the main reagent; and (d) — the temperature.
duced into the gas flow to prevent the decomposition of unreacted main reac-
tant (e.g. SiH 4 ; SiHgClg, etc.) and the subsequent inclusion of silicon in
the film. The deposition rate increases initially in proportion to the secon-
dary reagent flow, but at higher mole ratios of secondary reagent to main
reagent it becomes independent of the secondary reagent flow. In this range,
the reaction order is zero with respect to the secondary reagent.
Depositions must be performed at relatively low concentrations of the
silicon-containing reactant (a maximum of 0.2% in the gas flow)) in order
to minimize the contribution of the homogeneous vapour phase reaction,
which leads to a decrease in the deposition rate and alters the properties of
the deposited layer. When all other parameters, such as the temperature,
secondary reagent flow rate and inert gas flow rate, are held constant, there
is a linear relation between the deposition rate and the concentration of the
silicon-containing compound. The value of the rate constant can be obtained
from the slope of the straight line. Thus, the reaction is first order with res-
pect to the rate limiting reagent.
The deposition rate increases with increasing deposition temperature..
A plot of the logarithm of the deposition rate (or the rate constant) as a func-
tion of the inverse absolute temperature is usually linear. From the slope and
the intercept of this straight line, the activation energy and the pre-exponen-
tial factor A can be calculated using the Arrhenius equation. The effects-
of the experimental parameters on the deposition rate of CVD films, such
as Si0 2 , Si3N'4, are shown in Fig. 5.8.
In some CVD processes in which the growth rate increases with tempe-
rature according to the Arrhenius equation, two ranges of variation of the
growth rate can be observed (Fig. 5.9): a low temperature region with a strong
121
FUNDAMENTALS
Examples of the occurrence of a compensation effect in CVD processes of some thin films
Temperature
Thin Deposition
interval *1 E* log Ax log A2 Ref.
film system (°C)
(°C)
122
K I N E T I C S O F CVD
centres on the substrate and the activition energy E can be correlated with
the activity of the active centres. Therefore, the decrease in E shows an in-
creased activity of active centres and the decrease in A shows a partial bloc-
king of the active centres. Thus, in the low temperature region there are many
weak active centres (given by A) and in the high temperature region there
are few but strong active centres.
The change in E can be explained by a structural change in the CVD
film, mainly due to the presence of dopant impurity or to the increase in the
deposition temperature. This structural change is supported by some experi-
mental observations, such as an amorphous-polycrystalline transition, a
decrease in the film etching rate, and a modification of the texture of the
film surface [592]. The change in A can be explained by blocking of the active
centres due to the presence of dopant impurity or to the formation at
elevated temperatures of complexes between the nitrogen carrier gas and
the Si wafers [593]. Blocking of the active centres is demonstrated by observing
the rough surface of the film with the addition of dopant, and the nucleation
and structure of the complexes.
123
FUNDAMENTALS
- — = kC (5.23)
dt
where k = A exp (—E/RT).
The solution of this first ordef differential equation is given by an ex-
pression which correlates the reactant concentration, the gas temperature
and the activation energy:
In {ί-1 In {CJC)} = - E/RT + lnA (5.24)
where C 0 is the reactant inlet concentration, C is the reactant outlet concen-
tration, t is the residence time, E is the apparent activation energy, A is
the pre-exponential factor, T is the gas temperature, and k is the rate constant.
A plot of In {t^ln (C 0 /Q} as a function of \jT gives rise to a straight line
(which shows that the reaction is unimolecular) from the slope of which the
activation energy is calculated.
The residence time is given by the expression:
t = LIVm (5.25)
where Vm = D/S, t is the reaction time (residence time on the susceptor),
L is the length of the heated susceptor existing in the continous tubular
reactor, Vm is the rate of gas circulation, D is the total gas flow rate, and S
is the cross-sectional area of the tubular reactor.
For a reaction of the general type (5.18), the following reaction rate results:
-dC/dt = kC%BQ (5.26)
where m and n represent the reaction orders corresponding to the initial
substances AB and C. The negative sign shows that the initial substances
are consumed. Transformation of the rate equation gives
AC*
l o g - — = log k + m log CAB + n log Cc (5.27)
d£
If the concentration CAB is varied while the concentration Cc is held
constant, the reaction order m can be obtained from the slope of the straight
124
K I N E T I C S OF CVD
line, obtained by plotting log dCjdt against log CAB. The reaction order n
is calculated in the same way by varying the concentration Cc and holding
CAB constant. The sum m + n represents the total order of the reaction.
125
FUNDAMENTALS
TInitial state
i"
Reaction coordinate
£0exp (5.31)
RT )
where AG%£ is the standard free energy of activation for the deposition pro-
cess, and k0 is the value of the rate constant when the deposition is an acti-
vationless process.
The constant k0 consists of a frequency factor and an equilibrium con-
stant :
Γα
(5.32)
126
K I N E T I C S OF CVD
This approach has been useful in determining the rate controlling mecha-
nism of W deposition from WF 6 , GaAs deposition from GaCl and AsCl 3 ,
and Si deposition from SiCl 4 —H 2 [510, 512, 513].
The deposition rate of CVD films can be calculated using a kinetic model of
the heterogeneous reaction [594—608]. The kinetic analysis of a supposed
reaction sequence is carried out b y including the homogeneous and hetero-
geneous equilibria established in the system and using the steady-state appro-
ximation.
As examples, we shall consider the mechanisms of CVD of Si 3 N 4 [610]
and Si [611] films prepared from SiH 2 Cl 2 —NH 3 —N 2 and SiH 2 Cl 2 —H 2
systems, respectively. In both systems, it may be assumed that the deposi-
tion process occurs through a reaction sequence which differs according to
the high, low or intermediate value of the substrate temperature. Indeed, at
low temperatures the reactants present a tendency to adsorption on the
substrate surface, the reverse tendency being probable at high temperatures.
So, at high temperatures, SiH 2 Cl 2 homogeneous decomposition is very pro-
bable ; at low temperatures, the SiH 2 Cl 2 adsorption on the substrate is favoured,
while at intermediate temperatures both SiH 2 Cl 2 homogeneous decomposition
and SiH 2 Cl 2 chemisorption followed by its heterogeneous decomposition
are possible.
(2) the chemisorption of the SiCl2 species on active centres * of the substrate
surface:
(3) the chemisorption of SiH 2 Cl 2 on the surface centres of the substrate with
the rapid onset of adsorption equilibrium:
127
FUNDAMENTALS
(5) the chemisorption of the gaseous NH 3 on the active centres on the substrate
surface:
NH 3 (g)+* ^=± NH3* (5.38)
(6) reaction between the chemisorbed SiCl2 and chemisorbed NH3 to form
the intermediate compound SiNH (this is the limiting step in the process):
128
KINETICS OF CVD
129
FUNDAMENTALS
Ä6[NHa + *-i
β ^g 0 [SiH 2 Cl 2 (g)]/[H 2 (g)]
Ä6[NH3*] + Ä_x
At very high NH 3 partial pressures,
^[NHJ] > *_! (5.58)
Thus, the relation which gives the heterogeneous deposition rate of Si3N4
becomes:
d = Ä 5 [NH;] [SiClJ]
^^4[NH3(g)]^ħESilL
;J
[H 2 (g)]^ 4 [NH 3 (g)]
[SiH
= Ä1K0 2Cl2(g)]/[H2(g)] (5.59)
At very low NH 3 partial pressures
,
£5
[NH8*] <ξ k.x (5.60)
Thus:
d = ÄJTiHJ] [SiClJ]
= ^ 4 [NH 3 (g)1 > * « t S i H * C 1 ^
= W W [SiH,Cl,(g)] [NH3(g)]
*-i [H8(g)]
Thus, in the intermediate temperature region the Si3N4 deposition rate is
independent of the NH 3 concentration at high NH 3 partial pressure and
depends only on the amount of gaseous SiH2Cl2 introduced. At low NH 3
partial pressures, the deposition rate depends on the SiH2Cl2 and NH 3 con-
centrations.
Comparison between the theoretical equation for the deposition rate
and the experimental data shows that the proposed mechanism is valid.,
As expected, the experimental deposition rates show a linear dependence
on the SiH2Cl2 concentration irrespective of the temperature. The deposition
rate is independent of the NH 3 concentration at intermediate temperature
and very large NH 3 partial pressures. At very low NH 3 partial pressures, the
Si3N4 deposition rate depends linearly on the NH 3 concentration at all tem-
peratures.
130
KINETICS OF CVD
(2) the reaction of gaseous SiCl2 species with NH 3 in the gas phase, leading
to the formation of an SiNH intermediate (this is assumed to be the rate-
limiting step):
SiCl2(g) + NH 3 (g) - ^ * SiNH(g) + 2HC1 (5.62)
(3) the reaction of SiNH and NH 3 in the gas phase:
131
FUNDAMENTALS
5.7.3.6.2. Model for the CVD of Si Layers from the SiH2Cl2—H2 System
Heterogeneous mechanism. In order to describe kinetically the Si growth
process from the SiH2Cl2—H2 system at normal or low pressure, the follo-
wing reaction sequence is supposed:
(1) homogeneous decomposition of gaseous SiH2Cl2 leading to silicon di-
chloride (SiCl2), the most important intermediate for the process:
(2) chemisorption of the SiCl2 species on the surface centres of the substrate
surface:
SiCl2(g) + * -r^U SiCl2* (5.68)
The kinetic analysis is carried out by taking into account the homogeneous
and heterogeneous equilibria established in the system and by applying the
steady-state approximation. The analysis allows the growth rate equation
to be obtained as a function of silicon containing species and hydrogen con-
centration.
132
K I N E T I C S OF CVD
(2) reaction of gaseous SiCl2 species and gaseous H 2 , leading to the for-
mation of Si in the gas phase:
133
FUNDAMENTALS
134
KINETICS OF CVD
135
FUNDAMENTALS
136
KINETICS OF CVD
7 2 6
JÄ^ ^^EL*
TiClfH20
(b)
Fig. 5.11 Arrangements for investigating transport phenomena in CVD (after Ban and
Gilbert [547]; reproduced with permission from JOURNAL OF CRYSTAL GROWTH, © 1 9 7 5
North-Holland Physics Publishing):
a — study of momentum and heat transport by using flow visualization and temperature mea-
surement at various points in the reactor: 1 — resistance-heated horizontal reactor; 2 — gra-
phite susceptor; 3 — movable platform; 4 — thermocouple; 5 — metal bellow; 6 — optical
pyrometer; 7 — T i 0 2 smoke; 8 — gas inlet; 9 — gas exhaust;
b — study of mass transport by using a movable mass spectrometric probe: 1 — gas inlet;
2 — gas outlet; 3 — RF-heated quartz reactor; 4 — graphite susceptor; 5 — quartz capillary;
6 — motion mechanism; 7 — metal bellows; 8 — mass spectrometer.
13T
FUNDAMENTALS
ΊΓ 1 2 3 4 5 6
A. Semicond uctors
1 epi-Si APCVD SiCl4-H2 1000-1150 16.7; 22; [2320,2344,2483]
30; 37
2 APCVD SiH 2 Cl 2 —H2 1000-1150 13; 3.2 [2370]
3 APCVD SiHCl3-H2 1000-1150 25; 22 [2320,2378.2379]
4 APCVD SiBr4-H2 1050-1300 15 [2381]
5 LPCVD SiH4-H2 950-1100 37 [2361]
6 LPCYD SiH4-H2 950-1050 11 [301]
7 UVCVD Si 2 Cl 6 —H 2 600-1000 37.5 [340]
8 poly-Si APCVD SiH4-H2 650-1150 38 [809]
9 APCVD SiH4-H2 6 5 0 - 900 30 [594]
138
KINETICS OF CVD
0 1 1 1 2 1 3 1 4 1 5 | 6
10 I APCVD SiH 4 -N 2 650-800 33 [594]
11 APCVD SiH 4 -Ar 650- 800 11.86 [595]
12 APCYD SiH 4 -He 600- 800 42.55 [2415]
13 APCVD SiH 4 -N 2 650- 950 12.67 [643]
14 APCYD SiH2Cl2-N2 750- 950 25.6 [643]
15 LPCVD SiH 4 -N 2 619- 675 36.8 [204]
16 LCVD SiH4 900-1100 44 [378]
17 \a-Si APCVD SiH4-H2 I 550- 650 22; 51 [3894, 3876]
18 \homo-CVO SiH 4 -H 2 I 550- 650 54 [3894]
19 APCYD SiF2 450- 550 29 [3890]
20 Ge APCVD GeCl 4 -H 2 600- 800 3 [2525]
21 APCVD GeBr 4 -H 2 600- 800 2.5 [2381]
22 APCVD GeH 4 -H 2 300- 450 17 [2529]
23 APCVD Ge(CH 3 ) 4 -H 2 ; 700-1000 25-30 [2533]
Ge(C 2 H 5 ) 4 -H 2
24 SiC APCVD SiCl4-C3H8-N2 1300-1750 25 [2554]
25 BN LPCVD |B 2 H 6 -NH 3 -H 2 300-350 20-26 [2794]
26 BP/Si APCVD JB2H6-PH3 1050-1250 11.5 [2828]
27 GaAs LCVD TMGa-AsH 3 - 500- 680 7.6 [384]
0 1 2 3 4 5 6
6.1 Introduction
Knowledge of thin film thickness is of great importance not only in the study
of their formation kinetics or physico-chemical properties, but also in tech-
nology, particularly in the fabrication of electronic components where
stringent tolerances are usually required. For a CVD thin film which gene-
rally has smooth and parallel bundary surfaces, the thickness can be defined
as the least distance between two boundary surfaces.
Numerous methods for measuring CVD film thickness in the range
0.01 — 10 μπι, as used in the electronic device industry, have been discussed.
All show both advantages and disadvantages, which must be taken into
account when selecting the appropriate method for a particular application.
Thin film thickness can be measured either during the deposition process,
or after removal of the sample from the deposition apparatus. In-situ measu-
rements have many advantages, such as the possibility of continuously
monitoring the film thickness and of halting the deposition process at any
desired film thickness. Also, any fluctuations in the deposition rate are in-
stantly detected so that a certain film thickness can eventually be reached
by using an accelerated or retarded process, as required in some applications.
Only two techniques are employed for in-situ CVD film thickness measure-
ment: {a) the gravimetric method based on weight measurement with the
help of a cathetometer or an electrobalance, and (b) the optical method
based on the interference of radiation emitted or reflected by the sample.
Thin film thickness measurement can be performed both destructively
and nondestructively. Nondestructive methods are generally preferred be-
cause they are quicker, cheaper and allow direct control of all depositions
obtained. Methods may be either general or specialized. The choice depends
on whether any type of film may be used or only certain types. For example,
* Portions of this subsection have been reprinted after Morosanu [89], and Morosanu
et al. [610] from THIN SOLID FILMS, with permission of the publisher, Elsevier Sequoia S.A.
141
FUNDAMENTALS
In this method, the support is Weighed before and after deposition and the
film thickness can be determined if the density is known [652, 653]. The
method can be useful for measuring the thickness even during layer deposi-
tion, when the support has been placed on one arm of a microbalance for
example. If ^4, p, A, m, are the area, density, thickness and mass of the depo-
sited layer, respectively, then hAp = mf hence h = tn/Ap. The method is
simple, rapid and nondestructive. Its disadvantages are the difficulty of
measuring the area for irregularly shaped substrates; the need to know the
exact density of the film; the possibility of errors due to the deposition on the
lateral sides and back of the substrate; the need to use a high sensitivity
balance (0.1 fxg); and only mean thickness can be measured.
142
TABLE 6.1.
A Comparison of Various Methods for CVD Film Thickness Measurement [646, 651]
1 Sample 0.005 ±50 yes no yes Simple and Requires accurately Any thin film on any [652, 653]
weighing fast measured density substrate
Adaptable for and surface film
in-situ mea- area
surement Gives average
thickness
Errors caused by
film deposition also
on substrate sides
2 Profilometer 0.001- 100 ±100 no no yes Simple and Errors caused by Any thin film on any [649, 654
-0.005 fast film or substrate s u b s t r a t e : SiO a ; -658]
High roughness glasses; Si 3 N 4 ; l n 2 0 3 ;
sensitivity Errors for soft epi-Ga,As; poly-Si;
Very reprodu- films metals
cible > Requires a step
Surface in the layer
contour map
obtainable
3 Angle lap 0.3 — ±1000 no no no Fairly simple Requires an easi- epi-Si/ip+Si; very t h i n [ 6 5 9 - 6 6 3 ]
and stain ly definable inter- epi-Si; metal layers;
face and flat and GaInAs/InP(GaAs) \epi-
parallel substrate Ge
and layer surfaces
0 | 1 | 2 3 4 5 6 7 8 9 10 U
5 Stacking 0.2 - ±80 yes no no Simple and Requires fault pre- Uz-Si/p+(n+)Si [669, 670]
fault dimen- fast sence
sion Applicable to sin-
gle crystal films
6 Colour chart 0.05 >1 ±50 yes yes yes Simple Thickness order
Requires no must be known S i 0 2 ; Si 3 N 4 ; SnOa [685, 686,
instrument Requires calibra- 692]
tion charts for
each type of film
material
7 Single-and 0.005- 2 ±5-30 no no yes Simple and Requires a flat and S i 0 2 ; glasses; Si 3 N 4 ; [ 6 7 1 - 6 7 5 ]
multiple- -0.02; fast high reflectivity metals; SnO a ; T i 0 2 ;
beam inter- 0.0025 2 Film surface film Zr0 2 ; HfO a
ferometry contour map
obtainable
8 Ellipsometry 0 . 0 0 1 - ±10 yes no yes Highest sen- Thickness order S i 0 2 ; S n 0 2 ; Si 3 N 4 ; [676-684]
-0.0025 sitivity must be known S i 0 2 — Si 3 N 4 ; epi-Si;
Very repro- Requires a light ZrO a ; T i 0 2 ; T a ^ ;
ducible transmitting layer Nb 2 O s ; A1N; A1 2 0 3 ;
and a reflecting Al^OyNg; Si:SiO a ;
substrate Si:Si 3 N 4 ; BN/Si
Requires knowledge
of layer and sub-
strate optical pro-
perties
Data interpretation
is difficult
Relatively expen-
sive equipment
9 VAMFO 0.08 2-3 ±10 | yes 1 yes yes 1 Requires no 1 Requires accurate S i 0 2 ; glasses; Si 3 N 4 ; 1 [688-693]
optically fringe minima poly-Si; epi-Si/sapphire
flat film determination
Film surface
contour map 1
obtainable
10 CARIS 0.1 1 ±50 yes yes yes Convenient Film refractive |Si0 2 ; Si 8 N 4 ; poly-Si; [685-689]
and quick index must be ZrO a ; BN/Si
Can be used known
'as in-line mo-
nitoring tool
11 IR/UV-visi- 0.04 >1 ±50 yes no yes Contactless Dielectric constant SiO a ; Si 3 N 4 (IR) epi-Si [ 6 9 4 - 6 9 8 ]
ble transmis- Independent of film must be /sapphire; SiO a ; Si 3 N 4
sion spectro- of interfe- known (UV-visible)
scopy rence within Applicable to only
the film SC and dielectric
Useful also films exhibiting
for films IR/UV-visible lat-
having rough tice absorbtion
surfaces bands
Useful for Substrate must be
non-transpa- transparent within
rent in visible the region of the
films absorption band
12 IR reflection 0.05-0.5 >5 ±100- yes no yes Fast and Requires sufficient epi-Si; epi-Ge; SiO a ; [699-717]
spectroscopy 1000 simple difference in layer Si 3 N 4
Very repro- and substrate re-
ducible fractive index
Requires uniform
layers
13 Internal IR 0.15-0.5 4 ±200 yes yes yes Simplicity Inapplicable to low epi- Si /sapphire; [519-526]
and external Accurate T ( < 700°C) depo- e^n-Si/implanted Si;
visible in-situ moni- sition poly-Si; S i 0 2 ; Si 3 N 4 ;
or IR laser 0.15-0.2 0 . 5 - 2 ±100 toring More expensive A1 2 0 3 ; BN
radiation Applicable Complicated to
interference even at low apply
deposition
temperatures
and to thin
and thick
films
14 Fourier <1 200 ±50-200 yes no yes Used for Very expensive epi-Si on n+(p+)Si; [718-722]
transform automated equipment Si/sapphire; glasses
spectrometry measurement
of produc-
tion wafers
TABLE 6.1 (continued)
0 1 4 6 7
9 10 11
2 3 5 8 1
15 Prism 0.2 - ±100 yes no yes Rapid Inapplicable to very Si0 2 /Si; Si 3 N 4 /Si; ' [723-728]
coupling Very repro- thin films Al203/Si02; BN/Si;
ducible e^z-Si/sapphire; a-Si/
glass
16 Capacitance 0.1 ±2000 yes yes no Rapid and Film dielectric con- epi-Si; poly-Si [729]
bridge reliable stant and probe
Uses automa- contact area must
ted equip- be known
ment Applicable only to
Measurement SCs and dielectrics
of submicron
Si layers
17 X-ray 0.5 100 ±5000 yes yes no Suitable for Very expensive Glasses; Si 3 N 4 /wow-Si; [922]
fluorescence automated equipment Si/sapphire; Al, Mo,
spectrometry measurement Au, Pd, Pt, Ag, Ta
of production on Si or SiO a ; MoSi 2 ;
wafers TaSi 2 ; WSi 2 ; TiSi 2
18 Beta-particle 0.005 100 ±200 yes yes no Fast and Mechanical errors Metal layers [3]
backscat- simple , Source decay
tering
MEASUREMENT OF THIN FILM THICKNESS
5
(α) (b) 10
Fig. 6.1 Schematic diagram of a surface relief measuring instrument
(profilometer) (after Reason [654]; reproduced by permission of Macmillan
Press Ltd.):
1—stylus; 2—pick-up; 3—gears and motor; 4—wafer; 5 — oscillator;
6 —amplifier; 7 — demodulator; 8 — filter; 9 — meter; 10 — recorder.
147
FUNDAMENTALS
Substrate
148
MEASUREMENT OF THIN FILM THICKNESS
This method is based on the interference of visible radiation which has the
same inclination to the wafer surface. If the direction of the incident radia-
tion is nearly normal to the surface containing the film, and if the film is
thin and uniform, then the whole film surface shows one interference colour.
This colour corresponds to a certain film thickness and it is possible to
determine the thickness using a prior calibration [685, 686, 692]. Colour
charts have been prepared, for example, for Si0 2 , Si3N4 and W deposition
on silicon and for Sn0 2 layers on glass. The table giving the interference
colour for the selected Si3N4 film thickness is given in Table 6.2.
TABLE 6.2
Colour Chart for Selected Si 3 N 4 Film
Thickness [89]
Si 3 N 4 thickness(A) Si 3 N 4 colour
149
FUNDAMENTALS
150
MEASUREMENT O F T H I N F I L M T H I C K N E S S
characterized by the phase and amplitude relations between the two plane
waves which are components of the electric field vector in which the polarized
oscillation can be solved. One wave p is in the incidence plane; the other s
is normal to the incidence plane. The relative phases of the p and s waves
are modified by reflection. Reflection also modifies the amplitude ratio. The
ellipsometric magnitudes characteristic of the wave reflection on the sample
surface are defined as follows: the angle Δ represents the phase modification
and the angle ψ represents the factor by which the amplitude ratio is modified.
The ellipsometric data (the values Δ and ψ are determined experimen-
tally ) yield the refractive index and the film thickness, when the fundamental
relation of ellipsometry is applied:
151
FUNDAMENTALS
(α)
10 Τθ Jo , W 50
—·· ψ (degrees)
(bV
Fig. 6.5 Ellipsometry:
a — schematic diagram of an ellipsometer: 1 — light source;
2 — polarizer; 3 — compensator; 4 — sample; 5 — analyser; 6 —
detector;
b — ellipsometric curves for the Si 3 N 4 /Si system (after Saxena
and Tkal [680]; reprinted by permission of the publisher, The
Electrochemical Society, Inc.)
152
MEASUREMENT OF THIN FILM THICKNESS
d = NXfa (6.8)
2(λχ - λ2) {η\ - n\ sin'O)1'2
where Θ is the angle of incidence, nx and n0 are the refractive indices of Si3N4
and Si, respectively, λχ and λ2 are two wavelengths corresponding to two inter-
ference maxima or minima values, and N is the number of interference frin-
ges between the selected maxima or minima values. For the CARIS method,
the measurement apparatus consists of a UV-visible spectrophotometer pro-
vided with a reflectance attuchment.
In the VAMFO method, the light reflected by a silicon wafer covered
with Si3N4 is observed for various angles of incidence; the interference minima
and maxima are obtained as functions of the film thickness. The thickness is
determined by a calibration procedure, i.e. by comparison with a known film
thickness. The measuring apparatus for the VAMFO method consists of a
fluorescent lamp, a mirror, a rotary support for the sample, a monochromatic
filter, and a microscope (Fig. 6.7).
8 V>-
t
\V\f\ ιΓ\ J
75 ! 1 1 1
3500 4500 5500 6500 # 7500 2
— ^ WavlMgfh (A )
Fig. 6.6 A typical UV-visible interference p a t t e r n (N — 4 ; Fig. 6.7 A schematic diagram
Θ = 26°) from winch the film thickness d can be obtained of the instrument used for
(after Corl and Wimpfheimer [686]; reprinted with permission measuring the Si 3 N 4 thickness
from SOLID-STATE ELECTRONICS, (C) 1964 Pergamon b}' the VAMFO method (after
Journals Ltd.) Pliskin and Conrad [692], ©
1964 by International Business
Machines Corporation; reprin-
ted with permission):
1 — fluorescent l a m p ; 2 — mir-
ror ; 3 — rotating sample; 4 —
monochromatic filter; 5 — mi-
croscope objective.
15$
FUNDAMENTALS
The reflectance spectra in the infrared range have been found useful in the
nondestructive determination of the. thickness of both dielectric [699—705]
and semiconducting [706—717] thin films on various substrates.
Dielectric compound films. The reflection spectra of dielectric films show
two characteristic zones, corresponding to the interference of the reflected
beams and to absorption due to the resonance vibration of valence bonds
existing in the thin film (e.g. S i - O , S i - N ) , respectively.
Variations in the reflection spectrum due to interference and absorption
can be correlated with the thickness of the dielectric film. Thus, in the first
region the reflection spectra plotted as reflectance (the ratio of reflected radia-
tion intensity to incident radiation intensity) versus wavenumber show a mini-
10 12 14 16 20
154
MEASUREMENT O F T H I N FILM T H I C K N E S S
PXmin
d= (6.10)
2(nl - nl sin2 θ)*'2
where d is the thickness of the deposited film, nx and n0 are the refractive indi-
ces of the film and the substrate; Θ is the angle of incidence; \min is the wave-
length corresponding to the minimum reflectivity (when a destructive inter-
ference appears), and P is the order number (which is 1/2 for the first minimum
of the destructive interference).
Thickness determination from absorption data is based on the fact that,
in the region of the resonance vibration of the valence bond of the compound,
where IR radiation absorption occurs, the film refractive index nx and the
film absorption coefficient k± reach a maximum.
Indeed, the reflectance can be calculated as a function of the film thick-
ness, the refractive index and extinction coefficient of the film, the refrac-
tive index and extinction coefficient of the substrate, and the angle of inci-
dence :
R _ r\ + 4 - 2 ^ 2 cos(S - Φ) ( 6 n )
1 + y\Y\ -Ir^cosiS-O)
Λ (urn) —^
2.5 3 3J5 4 6 A 10 12 20 40
μ 1 1 1 1 1 i i i
155
FUNDAMENTALS
where
r\ = [K - I)* + *?]/[(% + I)2 + *i] (6.12>
2 (6.13)
4 = [(«, - Λι)» + *|]/[(»« + %) + *i]
δ = (4π»1ί/λ) [1 - (l/«f) sin*] 1 '· (6.14)
φ = tan-1[2«1A2/(wf «t — (6.15)
Here, R is the reflectance; γλ and r2 are the amplitudes of the reflectance;
δ is the optical phase difference in reflection; Φ represents the phase shift
on reflection at the film/substrate interface; Θ is the angle of incidence of the
infrared radiation; d is the film thickness; λ is the IR wavelength; nx and kr
are the thin film refractive index and extinction coefficient; and n2 and k2
are the substrate refractive index and extinction coefficient.
According to the above expression in the absorption region, the reflec-
tance will pass through a maximum. The reflectance change is correlated with
film thickness by using the values RmaXf "kRmax Rmin, and (Rmax—Rmin).
Elemental semiconducting films. The IR reflection spectra are also use-
ful in the thickness determination of thin films which contain no IR absorb-
ing chemical bond. For exemple, the IR reflection spectra of polycrystalline
siliconlayers deposited on Si3N4 -or Si0 2 -coated silicon substrates (Fig. 6.10 a)
and epitaxial siliconlayers (Fig. 6.11) exhibit a series of successive maxima
and minima due to interference phenomena.
The thickness of polycrystalline silicon can be calculated according
to the formulae:
104
(6.16)
6·84Δ£
tan φ = 6.84 d
where d is the fioly-Si layer thickness, k is the wavenumber, and tan φ
is the slope of the straight line obtained by representing the order of the
interference extremum N as a function of k (Fig. 6.10&).
"4000 3000 2000 1600 1000 400 1000 2000 3000 4000
- * · Wove number, cm"1 Wave number. cm~J
(a) (b)
Fig. 6.10 (a) — I R reflection spectra for a 3.2 μηι poly-Si layer deposited on Si pre-ccated
with an Si 3 N 4 layer; (b) — the dependence of the interference extremum order N on the wave-
number k (after Eversteyn and Van den Heuvel [658]; reprinted by permission of the publisher.
The Electrochemical Society, Inc.).
156
MEASUREMENT OF THIN FILM THICKNESS
-λ (jjm)
Fig. 6.11 IR reflection spectrum for a 6.5 μτα n-type £/>i-silicon layer.
m λ,λ
H A 29 1 wXlXz
Ä = -0.148 (6.17)
(λχ-λ,) 2(nf - sin«e)V« (λχ - λ2)
where h is the epitaxial layer thickness (in μπι); m is the difference between
the extrema orders considered (0.5, 1, 1.5, etc.); λν λ2 are the wavelengths
corresponding to two extremes, maxima or minima (in μηι); nx is the refractive
index of epitaxial silicon (nx = 3.42); and Θ is the IR beam incidence angle
(θ = 30°).
157
FUNDAMENTALS
An excellent method for determing the film thickness, especially epitaxial sili-
con, is infrared spectrometry based on Fourier transforms [718—722]. The
thickness d of a transparent layer of refractive index n deposited on an opaque
or transparent substrate is derived from the distance between two lateral ma-
xima of the spectrum obtained.
The instrument consists in essence of a Michelson interferometer which
measures the optical path difference between the radiations reflected by the
upper and lower surfaces of the layer (Fig. 6.13a). The radiation beams x
and y fall on a semisilvered mirror which splits them into reflected beams xx
and yx and transmitted beams x2 and y2. The reflected and transmitted beams
fall on a fixed and a mobile mirror, respectively, and from these two mirrors
the beams are then focused on a detector.
The central maximum of the interferogram (Fig. 6.13ft) giving the signal
amplitude as a function of distance is produced when the mobile mirror lies
at the same distance from the splitting mirror as the fixed mirror. If the mo-
bile mirror lies nearer or farther from the splitting mirror at the distance
L/2, where L is the optical path difference between the radiation reflected
by each surface layer, then two lateral maxima situated one on each side of
the central maximum will arise. The distance between these two lateral maxi-
158
MEASUREMENT OF T H I N FILM T H I C K N E S S
i^f*
PolySi
ypoiy-si Si3N4 fPolySi
YSiO, Si,N,
m:Si3N<\
(c) (d)
Fig. 6.12 Layer thickness measurements using either radiation emitted by the sample itself
or reflected laser-light interference [526]:
a — experimental arrangement: 1 — quartz reactor; 2 — susceptor; 3 — wafer; 4 — laser;.
5 — laser detector; 6 — IR detector; 7 — amplifier; 8 — strip chart recorder;
b — interference wave pattern detected by pyrometer when the Si 3 N 4 , SiO g and polysilicort
layers are grown on silicon substrate;
c — occurrence of an interference pattern due to radiation emitted by the sample itself, when
the substrate (Si) is initially bare or covered with a single or double layer, the layers grown
being Si0 2 , Si 3 N 4 or poly-Si',
d — occurrence of an interference pattern due to reflected laser light by the substrate (Si
plus Si 3 N 4 coating layer) and a poly-Si grown layer.
15ft
FUNDAMENTALS
M? , L/2
^ -s -· n.*
Distance *+s
(b)
Fig. 6.13 Infrared spectrometry based on Fourier transforms (after [722]; reproduced by
permission of Bio-Rad Semiconductor Measurement Systems):
a — schematic diagram of an interferometer that is the main part of an FTIR spectro-
meter: 1 — IR light source; 2 — semitransparent mirror; 3 — fixed mirror; 4 — mobile mir-
ror ; 5 — detector; 6 — epitaxial silicon wafer;
b — an interferogram — a plot of reflected beam intensity versus path difference — shows
a central peak and two lateral peaks situated at a distance L = 2nd cos Φ'.
160
MEASUREMENT OF THIN FILM T H I C K N E S S
where U is the d.c. voltage, ε is the silicon dielectric constant (11.8), and e
is the electronic charge.
Also, the depth x is related to the capacitance measured by using a capa-
citance bridge and a mercury contact with a radius A by the relation:
C = επΑ2/χ (6.20)
The epitaxial layer thickness can be evaluated by reading the value x
corresponding to the n-n+ transition.
16J
FUNDAMENTALS
7.1 Introduction
Figure 7.1 illustrates the events involved in the interaction of vapour and
substrate in the process of heterogeneous nucleation and growth of CVD
films [732, 733].
163
FUNDAMENTALS
d » mm (b) (e)
1 — atom in vapour; 2 — adsorbed a t o m ; 3 — diffused
a t o m ; 4 — re-evaporated a t o m ; 5 — metastable cluster;
6 — critical clusters; 7 — supercritical cluster; 5 — cluster
coalescence (agglomeration); 9 — continuous film.
sr>PA2
(cj (f)
In this section, the different regimes existing in the nucleation and growth
of films on a substrate are compared according to the review given by Vena-
bles and Price [733] and by Bloem and Giling [47].
The various potential energies involved in nucleus formation, i.e. the
binding energies of atoms on a substrate, are as follows (Fig. 7.2): Ea — the
adsorption energy of an isolated adatom on the substrate; Ed — the diffu-
sion energy of an adatom on the substrate surface; Ek — the binding energy
of an adatom at a stable kink position on the substrate; Ea — the adsorption
energy of an adatom on a monolayer of the condensate (on the surface of
the nucleus); E'd — diffusion energy of an adatom on top of a monolayer;
and E'k — the binding energy of an adatom at a stable kink position on a
monolayer of the condensate.
164
NUCLEATION A N D G R O W T H O F CVD F I L M S
id)
165
FUNDAMENTALS
layer nucleation and growth appear. In this case, the temperature is low enough,
Ea ^ E'a (adsorption energy of an adatom is greater on the substrate than on
another adatom), (JIN0v) exp {Ek}kT) > 1 (there is a high rate of adsorption
on the kinks), (JINQv) exp (E'JkT) ^ 1 (desorption of adatoms is possible),
and (J/NQD) < 1 (one adatom can diffuse away before another one appears
at the same lattice site). This nucleation occurs at intermediate values of
temperature (Ti) and impinging flux (/*). An example of this regime is the
nucleation of epitaxial silicon on a clean Si substrate.
The third regime is the regime of equilibrium adsorption. It only appears
when the arrival rate R is lower or the temperature T is higher than the inter-
mediate value, (J/NQV) exp (Ek/kT) < 1 and the adsorption energy of. an ada-
tom on the substrate is great or at least equal to that on the surface of the
nucleus (Ea > Ea). Due to rapid evaporation of atoms from the top layers, or
even of an entire layer, growth will be limited to a certain thickness, only
an unstable solid film being obtained.
The next two regimes are three-dimensional nucleation at low and
high temperature. In this case, the condition is E'a> Ea showing that the bin-
ding forces between atoms in the cluster are stronger than those between
the cluster and the substrate. At low temperature, the re-evaporation site is
small compared with the rate of formation and growth of clusters, i.e. there
is complete condensation . Conversely, at high temperatures the adatoms can
re-evaporate from the substrate or stick to a stable cluster by direct impinge-
ment or diffusion, the condensation being incomplete. In both cases, the size
of the cluster is inversely proportional only to the magnitude of the binding
energy. Thus, for a high binding energy, the critical cluster size is small,
frequently consisting of only one atom. The regimes of tridimensional nuclea-
tion are most frequently involved in CVD film growth (for instance, the nucle-
ation of homoepitaxial Si on an unclean substrate and the nucleation of
polycrystalline Si on Si0 2 or Si3N4).
There is also an intermediate growth regime in which growth starts in
the two-dimensional mode, usually for the first monolayer; then three-di-
mensional clusters can nucleate on top of this monolayer. In the last regime,
occurring if either Eaf Ea or both are very small, no nucleation is expected on
a perfect substrate. Nucleation is only possible at defects where the binding
energy of atoms may have a higher value.
166
NUCLEATION A N D G R O W T H OF CVD FILMS
— = * * [ — I exp — (7.2)
n0 \n0) kT
where n0 is the total number of surface sites, ct is a statistical weighing fac-
tor, and Ei is the energy of formation of the cluster consisting of i atoms.
He considered that an equilibrium reaction will exist between single ada-
toms and clusters of i atoms
inx <=± fit (7.3)
where nx is the concentration of adatoms.
Equation (7.2) can be used to find the general expression for the satura-
tion (maximum) density of stable clusters which is an experimentally obser-
vable quantity.
Thus, the rate equation of formation of adatoms dnjdt is 'given by the
expression:
167
FUNDAMENTALS
n0 \n0)
The last equation can be compared with the experimentally found relation
in a CVD system between the saturation cluster density and the supersatura-
tion with the help of the calculated adatom concentration (nx) as a function
of gas phase composition [749—752]. From an Arrhenius plot (log N8 versus
1/Γ), an apparent activation energy for cluster formation can be obtained.
Also, from the experimental relationship between Ns and CVD main reac-
tant partial pressure, the number of adatoms in the critical cluster can be
derived. For example, a linear relationship, (i + l)/2 = 1, leads to a critical
size value of i = 1.
Further, an example of the calculation of adatom concentration by using
a simple reaction scheme is given, namely the nucleation of CVD polycrystal-
line silicon on a foreign substrate (such as Si0 2 or Si3N4) in the system
SiH2Cl2 — N2, which is supposed to proceed by the presence of free silicon
adatoms. The reaction scheme is somewhat different from that used for
168
NUCLEATION A N D GROWTH OF CVD FILMS
polysilicon deposition using the same system, but on a silicon substrate (see
Chap. 5), in this case, it has to be admitted that the growth involves the
presence of adsorbed species such as SiCl2 and SiCl.
The main processes responsible for the nucleation and growth of poly-
silicon are the dissociation of SiH2Cl2 in the gas phase, chemisorption of
SiCl2 and SiH2Cl2 on a free surface site ( * ), decomposition of chemisorbed
SiH2Cl2, the formation of Si adatoms on the surface by dissociation of SiH2Cl2
and reduction of SiCl|, and the formation of stable silicon clusters by diffu-
sion of Si adatoms on the surface, respectively:
*.H,(g)
169
FUNDAMENTALS
where
(7 22)
[* ] = LrSiHTfSl '
1 + If A r ^ f +if2[SiH2Cl2(g)1
[H2(g)]
Since n0 > [SiCl^], [SiHgClg], [Si*], it can be written that:
(7.23)
n0 Äe[Ha(g)]
These expressions show that the formation of Si adatoms is governed by
the equilibrium dissociation of SiH2Cl2 (K0), the rate of chemisorption of
SiCl^Äx), the initial concentration of SiH2Cl2 in the gas phase, and the total
amount of free surface states divided by the rate of formation of stable Si
clusters and the equilibrium concentration of H 2 .
The expression given by Venables (7.9) can be rewritten as:
- -(*lgl[S^,(g)] f ex
p ^ + E-~ E^2kT^ <7-24>
n0 l *e[Ha(g)] J
Since the experimental data on the saturation density of nuclei (coun-
ted by using SEM photomicrographs) show that Ns in the SiH2Cl2 — N 2
system is linearly proportional to SiH2Cl2(g), it is concluded that i = 1,
i.e. the critical cluster contains a single adatom.
170
NUCLEATION A N D GROWTH OF CVD FILMS
as a step is higher than that upon a flat, the atom's adsorption and, conse-
quently, the formation of a critical cluster will be favoured in the first
case. The nucleation is also accelerated due to an increase in both the
binding energy of critical clusters and the diffusion constants of the iso-
lated adatoms and clusters.
In general, impurities adsorbed on the substrate surface decrease the
binding energy of adsorbed adatoms, and hence decreases the activation ener-
gies for both desorption and surface diffusion, thus lowering or raising the
nucleation rate, respectively. In some cases, the presence of impurities on
the substrate surface can modify the type of regime of nucleation and growth.
An example is the growth of silicon on a silicon substrate which occurs in
the form of three-dimensional islands arid not via the expected layer-by-layer
model due to the presence of C and O impurities. On removal of these impuri-
ties by heating at 1200°C in hydrogen or ultra-high vacuum, normal two-dimen-
sional growth is restored [753, 754, 2327, 2361].
The chemical composition of the substrate exerts a strong influence on
nucleation, especially if the substrate reacts with deposition constituents
such as reactants, carrier gas or reaction by-products. The reaction of the
substrate with the deposition constituents disturbs the formation of good
crystallinity in the overlayer as in the case of heretoepitaxial silicon deposi-
tion from SiCl 4 or SiH 4 on sapphire and spinel [755—759]. Another example
can be taken from nucleation experiments in the SiH 4 —HC1—H 2 system at
temperatures between 900° and 600°C [750]. It was established that for Si0 2
substrates the saturation density decreases with decreasing temperature,
whereas Si 3 N 4 substrates show the opposite dependence. This behaviour is
explained by the influence of the adsorption of hydrogen on the nucleation
kinetics, especially for Si0 2 substrates.
Substrate temperature also has a decisive influence on the nucleation
process. Indeed, relatively high temperatures provide increased mobility
of adatoms to reach equilibrium position in the crystal lattice, and nucleation
occurs of a particular orientation that is preferred as a result of its interfacial
free energy being lower t h a n that of other possible orientations. In this case,
a monocrystalline film is obtained. At somewhat lower temperatures, the
nucleation of other orientations becomes possible and hence results in a poly-
crystalline deposit. At very low temperature, the mobility of adatoms is
so slight that they remain at their adsorption site, thus leading to an amor-
phous film deposit. For a given temperature range in some systems, such as
SiH 4 —H 2 , the saturation nucleus density (NB) decreases with increasing tem-
perature. From the Arrhenius plot, log N8 versus 1/7", an apparent activation
energy is obtained. This activation energy decreases with increasing supersa-
turation and increases with the addition of some reactant gases to the system
(for example, HC1 to the SiH 4 —H 2 system in polycrystalline Si deposition
on Si0 2 and Si 3 N 4 substrate). In other systems, such as SiH 2 Cl 2 —H 2 —N 2 or
SiHCl 3 —H 2 —N 2 , the saturation density of silicon clusters decreases with
decreasing temperature. The explanation is that the appearance of SiCl2, an
intermediate in the formation of silicon clusters, becomes more difficult
with decreasing teinperatures [749—752].
Reactant supersturation has the reverse effect of temperature on nucle-
ation. Thus, only very low supersaturations lead to nucleation of a particular
171
FUNDAMENTALS
172
NUCLEATION A N D G R O W T H OF CVD FILMS
173
FUNDAMENTALS
such as the growth of Si from silicon halides (in which growth and etching are
simultaneous) occurs by heterogeneous nucleation, whereas an irreversible
reaction such as the pyrolysis of silane occurs either by heterogeneous or
by homogeneous nucleation. In the latter case, the resulting nuclei may
subsequently either be deposited on the substrate surface leading to the growth
of a film, or form a powder. Homogeneous nucleation, leading to the unde-
sired formation of a powder instead of a continuous deposit, usually arises
at relatively high react ant concentrations and gas temperatures. It can be
avoided by performing the reactions in the diffusion controlled regime, by
assuring a steep temperature gradient between the substrate and the surround-
ing gaseous ambient, by working with low input concentrations, and by
introducing some additional gaseous reactants to suppress homogeneous
nucleation (such as HC1 to SiH 4 pyrolysis). There is a model of gas phase
nucleation which predicts that the rate of formation of clusters in the gas
phase is proportional to the rate of formation of dimers [561].
174
NUCLEATION A N D GROWTH OF CVD FILMS
175
FUNDAMENTALS
176
8
Thin Film Structure
8.1 Introduction
177
FUNDAMENTALS
surveyed and then the nature and causes of imperfections in crystalline semi-
conducting and amorphous insulating CVD] layers are discussed. The
correlation of process, nucleation, and structure has already been examined
in Chapter 7.
178
THIN FILM STRUCTURE
P = -zr^r (8-2)
D cos Θ
and
2Ad tan Θ (8.3)
a
where the factor k is estimated to be close to unity for cubic crystallites, p
is the differential linewidth obtained by comparing it with a standard of con-
trolled grain size, and q is the linewidth due to the presence of strain in the
film crystallites. The effect of mechanical strain is considered negligible when
the 2Θ positions of the diffraction peaks are identical with those for the powder
standards and do not vary with the deposition conditions.
A diffractometer contains an X-ray beam source, a sample mount, a
counter for detecting the diffracted beam, a goniometer for measuring the
rotation angle of the sample Θ and of the detector arm 2Θ, and a recorder
179
FUNDAMENTALS
50 60 70 60
2-Θ- (degrees)
(α) »V κ
Ü ;\
180
THIN FILM STRUCTURE,
- ^ 2 L = -cote^-ΔΘ
ε== (8.4)
a0
where a0 is the lattice constant for the substrate (e.g. silicon); Δα0 is the dif-
ference in the lattice constant between the substrate and the epitaxial layer;
the small angle ΔΘ is the difference between the Bragg angles of X-ray re-
flected from the substrate and the epitaxial layer; and QB is the Bragg re-
flection angle for the substrate.
This method has been applied to the measurement of lattice misfit and
its compensation in epitaxial silicon [810—812, 3441]. Lattice strain arises
181
FUNDAMENTALS
defector
from doping epitaxial silicon with impurities, which causes a lattice expansion
(Sb) or contraction (B, P). Doping with carbon or germanium, respectively,
which are electrically nonactive elements, leads to a strain compensation in
epitaxially deposited silicon on silicon substrates. Strain compensation can
also be achieved by simultaneous doping of tin and phosphorus, tin and boron,
or antimony and phosphorus, as measured by an X-ray double crystal spec-
trometer. Other applications include, for example, finding the lattice constant
misfit in InP/InGaAs/InP structures and Ge/GaAs heterojunctions [813]
and the intentional lattice mismatch between film and substrate in epitaxial
garnet depositions.
182
THIN FILM STRUCTURE
Also named X-ray diffraction microscopy, this method is used to detect the
defects and strains nondestructively which exist near the surface or even in
the volume of crystalline thin films and substrates [814—817]. The method
relies on the fact that the diffraction image or topograph resulting from a
perfect crystal containing imperfect regions will present photographic con-
trast effects (black or white images on a grey background), characteristic
to these regions. There are two main experimental arrangements used in
obtaining X-ray topographs as illustrated in Fig. 8.3.: back-reflection using
the Berg-Barrett arrangement and the transmission Lang arrangement. In
the first case (reflection topography), the X-rays are back-diffracted out of
the same surface they have entered, while in the second case (transmission
topography), the X-rays enter one surface and exit from another surface of
the crystal film, only the diffracted beam being used in obtaining the image.
The method can also be applied for in-situ structural studies of CVD layers
[818, 819].
X-ray topography equipment consists of the following three main parts:
an X-ray generator, a camera containing the movable sample, and a photo-
graphic plate.
Both transmission and reflection X-ray topography have become well
established techniques for imaging the native and processing defects as well
as strains in the CVD films. X-ray transmission topography [821] allows one
to appreciate the degree of crystallite misorientation from the nominal orien-
5
I 1
J
g>»~< (a)
- ^ - (b)
Fig. 8.3 Three general types of topographic equipment (Berg-
Barrett, Lang and double-crystal X-ray topographic cameras): ■ ^
V- (c)
a — Berg-Barrett back-reflection m e t h o d ;
b, c, d, e,f — Lang transmission m e t h o d ; b — original (nar-
row X-ray beam) (Berg-Barrett) transmission; c — scanning
(Lang) transmission or projection topography with film un- , ψ* , (d)
parallel to sample surface and synchronous movement of
sample and photo-plate perpendicular to the diffracted beam ;
d — scanning (Lang) transmission with photo-plate parallel
to the sample surface and synchronous translation of sample
- ψ^) <e)
a n d photo-plate; e — scanning-oscillation (Lang) transmis-
sion, when sample and photofilm are a t the same time
translated parallel to the sample surface and rocked about (f)
the beam direction; / — anomalous (enhanced) transmission
(Bormann) mode for a thick crystal);
g — double-crystal X-ray topography:
1 — incident X-ray b e a m ; 2 — sample; 3 — diffracted b e a m ;
4 — transmitted b e a m ; 5 — photographic plate or film;
6 — direction of translation; 7 — pure and non-defective (9)
crystal.
183
FUNDAMENTALS
184
THIN FILM STRUCTURE
/
El
/
E %
185
FUNDAMENTALS
186
THIN FILM STRUCTURE
D=- ^ - (8.5)
d
where D is the ring diameter, λβ is the electron wavelength, L is the distance
from sample to screen, and d is the distance between the planes causing dif-
fraction. Individual spots are obtained for single crystalline films if the
crystallites are larger than the beam size; lines will appear on the electron
diffraction pattern of a perfect single-crystal. Electron diffraction can be
obtained by using three configurations: transmission electron diffraction
(TED) [838], low-energy electron diffraction (LEED) [839, 840] and reflection
high-energy electron diffraction (RHEED) [839, 841]. A TED pattern instead
of an image of surface topography is obtained by slightly changing the optics
of a TEM. Both LEED and RHEED, in which the diffraction pattern is
obtained from backscattered (reflected) electrons, require specialized apparatus.
Electron diffraction apparatus (Fig. 8.6) consists of an electron gun, a
specimen table, a vacuum pump and an observation screen plus a photogra-
phic camera (RHEED) or an electron collector Faraday cylinder plus an
electronic scanning display system (LEED). By analogy with X-ray diffrac-
tion, electron diffraction is used to identify the amorphous, polycrystalline
and single-crystalline structural form of a film; the diagrams obtained consist
of broad rings, sharp concentric rings, and individual spots or lines.
Crystal size can be determined from the broadening of the interference
maxima. The method is also useful for observing the presence of imperfections,
Optical magnification is the simplest and widest method used for nondestruc-
tively obtaining information on film surface topography as well as on the
type and density of localized structural defects.
The basic instrument is the standard optical metallographic microscope,
using various types illumination such as bright-field, dark-field, and oblique
or grazing lighting. Various optical contrast methods, such as polarized light,
phase contrast, and interference contrast are applied to reveal otherwise
invisible morphological details [801].
The phase-contrast microscope is based on shifting the phase of a direct
beam with respect to light reflected or diffracted from a specimen (Fig. 8.7).
In the interference-contrast microscope, the incident white light is polarized
and split into light with two perpendicular components which are slightly
laterally displaced. Upon recombination, if there is any phase difference due
to a path difference of the two rays, i.e. the components are out of phase,
a coloured interference image is obtained (Fig. 8.8).
188
THIN FILM STRUCTURE
The Nomarski interference technique [842] has been used for observing
several surface defects in silicon epitaxial wafers, such as stacking faults,
twins (pyramid growths), as well as various depressions and projections on
the wafer surface. Other applications described in the literature are the investi-
gation of surface smoothness and defects of various epitaxial (GaP/GaP,
GaP/Si, AlAs/GaAs, (GaIn)As/GaAs, ZnSe/GaAs, ZnS^Se^/GaAs, NiFe 2 0 4 /
MgO), polycrystalline (Sn02/glass) and amorphous (AsSG/Si) films.
189
FUNDAMENTALS
190
THIN FILM STRUCTURE
i.i.f
Γ+1"!'
Fig. 8.9 Electrophoretic decoration apparatus (after Kern and
Comizzoli [863]; reproduced by permission of The American Institute 2
of Physics):
5
1 — power supply; 2 — glass or stainless steel t a n k ; 3 — (non)aqueous
carrier liquid containing decorating powder (phosphors, carbon blacks,
oxides and silicates); 4 — dielectric covered silicon wafer; 5— stainless
steel electrode.
FUNDAMENTALS
■Γ^Γ^
ΓΡΙ
\M°\
192
THIN FILM STRUCTURE
scope. If there are pinholes in the dielectric (Si0 2 , etc.) above a threshold
voltage, various vortex flow patterns are observed in the otherwise clear
liquid crystal around the defective sites.
2 Ή*η
\
Fig. 8.12 Apparatus for electrochemical autography of 3
dielectric defects (after McCloskey[868] ; reprinted by Θ
6
permission of the publisher, The Electrochemical Society,
Inc.): 1 — current source; 2 — stainless steel weight; 3 — \ W\
VI
silicon wafer placed with face down; 4 — electrolyte Vd 1 Θ i i
saturated Millipore filter; 5 — electrolyte saturated cu-
shion; 6 — stainless steel plate.
193
FUNDAMENTALS
t
1 — current source; 2 — copper electrode (anode); 3 —
\ organic liquid; 4 — glass cylinder; 5 — Si wafer with
dielectric; 6 — metal support (cathode).
τ a. IH
Dielectric anomalies (such as pinholes) are microscopically observed as
the sites of emerging trains of fine hydrogen gas bubbles arising from either
the electrochemical decomposition of the electrolyte or minute traces of dis-
solved water [870, 871].
194
THIN FILM STRUCTURE
semiconducting CVD films. Etch-pit formation was also found useful in the
determination of an important structural feature of a crystalline film, namely
its crystallographic orientation (Table 10.3).
Etching is also extensively used for specific applications in microstructu-
ral investigations. For example, in the preparation of samples for microscopic
examination, chemical polishing of semiconductor surface is usually required
before etching in order to reveal dislocations. Etching is also necessary for
thinning samples to be used for transmission electron microscopy.
-Various etchants used for these purposes are summarized in Table 10.3.
Junction delineation of angle-lapped specimens of layers that are epitaxial,
diffused, or combinations thereof is performed by staining, etching and/or
plating techniques (Table 10.3).
Surface profilometry (see also Section 6) is very useful for measuring the sur-
face profiles and roughness of both CVD layers and their substrates [878].
Sectioning techniques such as small angle lapping are destructive techni-
ques for structural depth profiling of both semiconducting and dielectric films.
Rutherford ion backscattering and channelling detect foreign or host
interstitials in single-crystalline CVD layers such as Si/Al 2 0 3 [879, 880] and
HgTe/CdTe, thus indicating the quality of epitaxial growth.
Electron spin resonance spectroscopy (ESR) has been applied successfully
for investigating the molecular structure of films containing hydrogen bonds,
such as a-Si: H, a-Si: B : H, and a-Si 3 N 4 : H [881].
195
FUNDAMENTALS
196
THIN FILM STRUCTURE
can be introduced at nearly all stages of processing both in and after CVD
film growth. During film growth, they can appear as a result of propagation
from the substrate, mechanical and thermal stresses, variation in deposition
rate, and growth on an unclean or defective substrate. So-called misfit dis-
locations also occur as a result of differences between the lattice constant
of the film and the substrate on which it grows. These differences appear
in heteroepitaxial growth (or even in homoepitaxial growth if the film doping
is different from that of the substrate). After crystal growth, the dislocations
are generated by subjecting the crystal to an excessive stress, such as that
occurring during heating or cooling, from the diffusion of impurities into the
lattice.
Dislocations can be inferred from measurement of electrical properties of
the respective films. Dislocation detection can be carried out directly in
several ways, primarily, by etching, decoration, double-crystal X-ray spec-
trometry, X-ray topography and transmission electron microscopy/diffrac-
tion.
Dislocation can be detected and counted by etch-pit formation due to
the fact that the area near a dislocation is etched more rapidly than the re-
maining crystal. Dislocation etchants useful for the main crystalline semicon-
ductor films and substrates have already been listed in Table 10.3. Another
way for detecting dislocations is by means of decoration, namely by using
segregation of some elements along dislocations. For example, copper is used
for decorating silicon dislocations. Double-crystal X-ray spectrometry is
applied to studying high concentrations of dislocations, while X-ray trans-
mission topography is useful only for low concentrations of such defects.
In the first method, the width of the spectral lines is proportional to the
square root of the dislocation density. Transmission electron diffraction and
transmission electron microscopy can be used to observe dislocations in a
way similar to X-ray methods. These are destructive methods requiring
sample thinning.
Dislocations have been intensively investigated in homor [882] and-
hetero [883, 884, 3449] epitaxial silicon as well as in compound semiconducting
films [885].
Stacking faults are errors in the stacking order of the layers of a film,
occurring only when the succeeding layers arc different. When a layer is
omitted, the stacking fault is intrinsic; when a layer is introduced it is ex-
trinsic.
They are caused by the initial presence of defects on the substrate, such
as dislocations, scratches, impurity segregation areas, particulate contami-
nants (such as dust or reaction products), or a mechanical y damaged surface.
In some cases, stacking faults arise after oxidation.
Observation of stacking faults can be carried out by using X-ray topo-
graphy, transmission electron-beam diffraction, surface etching or even
Nomarski interference contrast microscopy. Etching, which is the most
commonly used method, relies on producing grooves at the intersection of
the fault planes with the surface. The outline of a stacking fault given by
surface etching is primarily triangular, but it can sometimes be hexagonal
or circular. Etchants suggested for the detection of stacking faults for some
of the more common semiconductor materials are given in Table 10.3.
197
FUNDAMENTALS
Stacking faults are commonly present in homo- [886—890] and hetero- [891]
epitaxial silicon, but they can also appear in other epitaxial semiconductors
(GaAs, etc.) or magnetic (ferrite) films.
Twins consist of two contacting regions of different orientation having
a common interface consisting of a crystallographic plane (twin plane).
Twins occur in semiconductor epitaxial layers such as Si/Si or Si/sapphire
during growth; they are caused by variations in temperature or contamina-
tion. Twin regions can often be observed directly where twin planes inter-
sect the surfaces or by using various selective etches including those used for
the determination of crystallographic orientation or for the definition of dis-
locations. Some etches recommended for twin definition in some semiconduc-
tor epitaxial layers or substrates are also given in Table 10.3. Twinning in
heteroepitaxial Si on sapphire has been investigated using UV reflectance
spectrometry.
Grains and grain boundaries are bidimensional lattice defects appearing
in all polycrystalline thin films. Indeed, thin films of metals and semiconduc-
tors also occur in the polycrystalline form, i.e. they are formed by joining
a large number of crystalline grains having different dimensions and orien-
tation, between which there are contact surfaces of various configurations
depending on the preparation conditions. A single grain boundary can be
delineated primarily by etching (Table 10.3). Other methods, such as X-ray
diffraction topography or electron microscopy, can be used when the density
grains becomes large.
Voids occur in a crystalline film, when the nucleation and growth process
is prevented by various contaminants. They are usually observed by using
optical microscopy.
Microcracks are introduced in a thin film as a means of relieving the
large strain developed in a film-substrate structure. The strain in the compo-
site structure arises from either the lattice mismatch between the two mate-
rials or differential thermal contraction between the two layers.
Cracks are detected by selective etching. An illustrative example is
cracking in epitaxial A1N layers on sapphire, which can be eliminated by
slow cooling from the growth temperature.
Inclusions are separate phases of identical or different composition
included in the crystalline lattice of a film. Inclusions can be detected by
etching, X-ray diffractometry, X-ray topography, electron diffraction,
transmission electron microscopy, or optical microscopy. Their composition
is determined by using method such as X-ray microprobe analysis. Inclusions
appear, for example, in homoepitaxial Si owing to metallic impurity precipi-
tates [892] and in MOCVD-A1N heteroepitaxial layers as a result of homoge-
neous powder formation incorporated into the growing film.
Recrystallization of semiconductor films. Thin semiconductor films,
especially when deposited at relatively low temperature, on foreign nonorien-
ting substrates, contain a very high density of structural defects such as
dislocations, stacking faults, twins, or grain boundaries. These defects may
modify the electrical properties by acting as scattering centres or by causing
chemical inhomogeneities through the segregation of impurities. Consequently,
it is not only of basic scientific interest to understand the intrinsic properties
of thin semiconductor film, but also of practical value in the fabrication
198
T H I N FIUVL S T R U C T U R E
199
FUNDAMENTALS
9.1 Introduction
201
FUNDAMENTALS
TABLE 9.1
Comparison of Methods for Analysis of CVD Thin Films [897]
0 1 2 3 4 5 6 7 8 9 10 1 ii
1 Wet solution/ All ele- 10-9 300 No ambient No
chemi- various ments
cal
analysis
202
A N A L Y S I S O F CVD F I L M S
203
FUNDAMENTALS
0 1 1 2 3 A 5 6 7 8 9 | 10 j 11
7 X-ray X-ray Z^3 10~ n 0.1- 120 Yes 10~6 Yes ±20 104
photo- bom- 1%
electron bard-
spectro- ment/
scopy electron-
energy
analysis
10 Auger electron Z^3 10-14 0.01- 30 Yes 10"7 Yes ±20 25-
electron beam/ 0.1% 100
spectro- electron
scopy energy
analysis
204
A N A L Y S I S OF CVD F I L M S
12 13 14 15 16 17 18 19 | 20 21
3
10 2 Yes Yes Readily Semi- Interface
applied quantita- analysis
to insu- tive (Si —Si0 2 ,
lators Slow Si02-
Informa- No late- -Si3N4)
tion on ral reso- SiXOyNz\
chemical lution Si^NyHe
bonding Low de-
tection
sensitivi-
ty
Requires
skilled
operation
205
FUNDAMENTALS
0 1 2 j 3 4 5 6 7 8 9 10 11
12 Ion scat- ion bom- Z^3 0.1- 180 Yes 10~7 Yes ±20 103
tering bard- 1%
spectro- ment/
metry ion mass
analysis
206
ANALYSIS O F CVD F I L M S
12 13 14 15 16 | 17 18 19 20 21
UHV re- :H;
quired Si02-Al,
etc.
III-V
com-
pound
oxides
2 Yes Yes Area and Semi-
line scans quanti-
Depth tative
profile Limited
Fast sensiti-
vity
Surface
charging
of insu-
tors
Expan-
sive in-
strument
UHV re-
quired
10"4 1 Yes Yes Depth Semi- Surface
profile quanti- impuri-
Readily tative ties on
applied Poor Si
to insu- depth
lators and late-
Analysis ral reso-
of the lution
outer- Slow
most
atomic
layer
10-2 10 Yes Yes Depth Semi- 1 Impuri- III-V B , P , As
! profile quanti- ties in com- implan-
Excellent tative epi- pound ted in
mass Requires GaAs oxides Si
in-depth skilled
and late- operation
ral reso- Matrix
lution effects
Surface
charging
of insula-
tors
Fast Expen-
sive in-
strument
UHV re-
quired
1(Γ4 3 Yes Yes Depth Semi- Surface H in
profile quanti- conta- a-Si:H;
Informa- tative mination Clin
tion on Expen- of epi- Si02
chemical sive in- -Si;
207
FUNDAMENTALS
0 1 2 3 4 5 6 7 8 9 10 Π !
12 13 14 15 | 16 17 18 19 20 21
bonding strument O in epi-
Good Requires Ga^Als
mass and skilled As;
in-depth opera- I Interface
resolu- tion contami-
tion Matrix nation
Fast effects (Cr, Ga
Poor la- in
teral re- ZnSiAs 2 /
solution GaAs ;
Surface SixOyNzl
charging InSb)
of insu-
lators
U H V re-
quired
1 Yes Yes Quanti- Poor Implan- Trace Epi layer Cl in
tative sensiti- ted and impuri- interface S i 0 2
Nondes- vity to diffused ties on Dielectric
tructive trace dopants surfaces layers
High amounts in Si Implan- (Si0 2 ,
sensiti- of light ted ions Si 3 N 4 , j
vity elements in Si0 2 , A1 2 0 3 ,
High High la- Si 3 N 4 , Ta 2 O ä )
mass re- boratory and Al-poly-
solution space re- A1 2 0 3 Si inter-
quired Metal face
Expen- impuri-
sive in- ties in Si
strument
1-5 Yes Yes Ability Restric- H in
to de- ted to Si a ; N i / H z
tect light low Z and
elements elements a-Si:H;
on hea- High la- O andN
vier sub- boratory in
strates space re- SixOyNz
Ability quired
to dis- Expen-
tinguish sive in-
isotopes strument
Any Yes Yes Fast Surface Dopants Impuri- Stoichio-
charging in GaAs, ties in metry of
of insu- Si GaAs, GaAs
lators Si and
Expen- other
sive in- III-V
strument com-
pounds
Wet chemical analysis consists of passing the film into solution and then
determining the trace or major constituents by using gravimetric or volu-
metric analysis. This technique has been applied, for example, to determine
the boron concentration in doped polysilicon l?yers [3717] and the two con-
stituents of Si3N4.
Emission and atomic absorption spectroscopy is based on the principle
of the optical analysis of excited vapours obtained from thin film. Emission
spectroscopy relies on the identification and measurement of characteristic
UV and visible radiation intensity which is produced by subjecting the sample
to a high temperature source, such as an arc, a flame, or a spark.
Atomic absorption spectroscopy relies on the absorption measurement
of radiation emitted from a high-intensity light source, containing emission
lines of the specific element to be analysed, by means of a gas burner flame
into which the sample has been injected. The latter method has been used
to determine the Na content in Si0 2 films [905—909] , the O content of
SIPOS films [910], and the B content in a-Si: B:H films.
Neutron activation analysis consists of irradiating the sample with neu-
trons, which converts some impurities into radioactive species, whose activity
and half-life is then measured.
Examples [illustrating the application of this technique are the deter-
mination of trace metallic impurities in epitaxial silicon [911], the investi-
gation of Na migration in Si0 2 [919]and Si3N4 [912—915] films, the study,
of the anodic oxide of GaAs [916] and the analysis ,of P content in PSG
films [917].
Radioactive-tracer analysis [918] uses the radiation detection of a radio-
active isotope of a particular element for tracking its movement as a function
of processing. This method is, therefore, useful for elucidating the mechanism
of various processes related to the preparation and properties of CVD films
such as Na migration in Si0 2 [919] and Si3N4 films [920, 4382, 4383], H incor-
poration in Si0 2 films, the blocking of the p- and n-type impurity diffusion
in Si by Si3N4 layers [920], and P incorporation in epitaxial silicon [921].
Presently in the field of electronic materials, interest has shifted from bulk
to surface analysis. There is a number of modern techniques which will be
presented further according to the type of their primary excitation: X-rays
(X-ray fluorescence spectrometry and X-ray photo-electron spectroscopy),
thermal radiation (spark source mass spectrography), electrons (electron-probe
microanalysis, Auger electron spectroscopy and scanning Auger microana-
lysis), ions (ion-probe microanalysis, secondary ion mass spectrometry, and
ion scattering spectrometry), and nuclear particles (Rutherford backscatter-
ing spectrometry and nuclear reactions).
210
ANALYSIS OF CVD FILMS
/^γ
Fig. 9.1 Elemental analysis using X R F spectrometry ([923]; reproduced
by permission of Kevex Corporation):
a — X R F spectrometer: 1 — X-ray t u b e ; 2 — primary X-rays; 3 —
specimen (P-doped SiO a ); 4 — characteristic secondary X-rays (P and Si
X-rays from SiO a layers and Si X-rays from pure Si substrate); 5—X-ray
detector; 6 — X-ray spectrometer analyser ; 7 — data displayer;
b — X R F spectra corresponding to a phosphorus glass layer.
211
FUNDAMENTALS
(α)
250 500 7%0 lÖÖÖ 1250, ._.
(b
Kinetic energy (eV W
Fig. 9.2 Analysis using X-ray photoelectron spectroscopy:
a — schematic diagram of a photoelectron spectrometer (after
Kelly and Tyler [931],© 1973 Hewlett-Packard Company; reprodu-
ced with permission): 1 — X-ray source; 2 — crystal monochro-
m a t o r ; 3 — t a r g e t ; 4 — retardation lens system ; 5 — energy
analyser; 6 — multichannel detector; 7 — multichannel analyser ;
8 — output display;
b — photoelectron spectrum of a silicon nitride target. In addition
to nitrogen and silicon photoelectron lines, owing to carbon and
oxygen contamination, the Ci s sand O l 8 lines are also observed (after
Raider et al. [939]; reprinted by permission of the publisher, The
Electrochemical Society, Inc.).
212
A N A L Y S I S OF CVD FILMS
213
FUNDAMENTALS
Si0 2 [951], PSG, AsSG, Si3N4, A1N, Ta2N, TaN, A1203> Sn0 2 : Sb, Sn0 2 : P
GaAs, Ala; G a ^ As, ZnSe and many others is easily determined.
Auger electron spectroscopy and scanning Auger microanalysis. Auger elec-
tron spectroscopy is an analytical technique used to determine the elemental
composition of the top of a surface (0—50 A). It involves the measurement
of the energy distribution of secondary electrons emanating from the sample.
The secondary electrons are generated by bombarding the sample with a
beam of primary electrons. Of interest in AES are only the secondary elec-
trons generated in Auger transitions. The Auger electrons have specific ener-
gies that are characteristic of the atoms from which they originate. These
electrons produce small peaks in the secondary electron energy distribution
and the measurement of the energy at which these peaks occur provides direct
identification of the type of atom producing them. Since the height ot an
Auger electron peak is proportional to the number of atoms producing it,
quantitative measurements can be made by calibrating the system [952—957].
The major components of AES equipment [Fig. 9.5a) include a primary
electron gun, an electrostatic cylindrical mirror system enclosed in a magnetic
shield used to measure the energy distribution curve of the electrons ema-
nating from the specimen surface, a carousel sample holder, a sample mani-
pulator, a sputter ion gun used for depth [profiling, an ultra-high vacuum
(^)|B^
%
O
&
214
A N A L Y S I S OF CVD FILMS
215
FUNDAMENTALS
216
ANALYSIS OF CVD F I L M S
ger, ion counter or recorder), and provision for viewing the sample during
bombardment.
Both secondary ion microanalysers have excellent lateral and in-depth
resolutions, high sensitivity, high sample consumption, provide area and
line scans of samples, and allow depth profiles to be obtained. However,
they are destructive, very expensive, and the matrix effect is very pronounced;
secondary ion yields are very different from element to element, making
a quantitative evaluation of depth profiles difficult.
Ion-probe microanalysis has been applied only on a limited scale to
CVD systems: the analysis of impurity distributions in homoepitaxial
GaAs films, the study of the plasma oxidation of GaAs, and the study of
depth profiles of B, P, and As ion concentrations implanted in silicon.
Secondary ion mass spectrotnetry (SIMS). This is based on the same
principle (the emission of secondary ions under ion bombardment of solid
surfaces) as in ion probe microanalysis, but the system is simpler and less
expensive, having a poor lateral resolution, and a low sample consumption
[983-987].
SIMS equipment consists of a primary ion gun, a sample manipulator,
a secondary ion electrostatic analyser, and a quadrupole mass spectrometer,
all mounted in an ultra-high vacuum envelope (Fig. 9.8).
The main advantages of SIMS are the following: the information depth
comes from one monolayer, it can detect isotopes or compounds (by means,
of the molecular ions emitted), and hydrogen as well.
This method is used almost exclusively for measuring dopant and impu-
rity distributions by sputter depth profiling in semiconductors. It has also-
been applied to the measurement of the transition width and the study of the
distribution of contaminants in heterojunctions and superlattices. Other
studies have been concerned with dopant and alkali impurities in the Si0 2 /Si
interface, thermal, plasma and anodic oxidation of GaAs and other III—V
compounds, and metal contact films.
Typical CVD investigations include the impurity depth profiles a n d
surface contamination of epitaxial and heteroepitaxial silicon [988], oxygen
217
FUNDAMENTALS
\r
1 — gas inlet; 2 — ion g u n ; 3 — mass analyser; 4 —
Einzel lens; 5 — raster plates; 6 — sample ; 7 — energy
filter; 8 — mass spectrometer; 9 — secondary ion
detector.
<jy
218
A N A L Y S I S O F CVD F I L M S
i0
?/ β
(α)
219
FUNDAMENTALS
of the composition of Si3N4, Sia.OyNe [1007, 1008] layers, the study of the ano-
dization of Si3N4 and A1203 films on Si [1009], the investigation of the inter-
action of Al and poly-Si layers [1010], the analysis of the distribution of im-
planted ions in Si0 2 , Si3N4 and A1203 layers used as encapsulants for GaAs
substrates [1011], the depth profiling of residual chlorine in Si0 2 grown or
deposited in HCl-containing ambients [1006], and the evaluation of α-Si den-
sity [1012].
Nuclear reaction analysis. In nuclear reaction analysis [1013], the energy
of the bombarding ions (usually protons) can be varied in such a way that
the energy required for a nuclear reaction with a sharp resonance in the
cross section is reached at a given depth. The intensity of the emitted signal
(usually γ-rays) is monitored as a function of the bombarding ion energy,
thus providing the concentration of the bombarded atom versus depth.
An exexnple is the 19F (Ή, αγ) 16 0 nuclear reaction which is used for
measuring hydrogen in CVD films such as Si3N4 [1014, 1015]. For this reac-
tion, 19F incident on 1 H results in α-particle and X-ray emission and leaves
residual 16 0 nuclei. By using an 19F beam with energy greater than the reso-
nance energy (E>6A MeV) incident on the target, the ions lose energy until
at some depth in the solid their energy is equal to the resonance energy
(6.4 MeV). At this energy and at the corresponding depth there is a large
cross section for resonant nuclear reaction between the 19F and 1 H. Measu-
rement of the yield of the γ-rays produced versus energy therefore gives the
hydrogen concentration versus depth (Fig. 9.10).
The main advantages of this method are its ability to detect low Z con-
taminants in hosts of high Z and to distinguish isotopes, the accesible depth
being about 1—5 μπι. Its limitations include generally poor depth resolution
%Ή«π'6°
^7Me\/9F H Profile
19 MeV
FEnergy *
Fig. 9.10 Schematic illustration of nuclear
reaction analysis of hydrogen in solids (e.g.
Si3N4) using the 1 9 F (ιΐ£, αγ) 1βΟ resonance
N Cone. near 6.4 MeV (after Peercy et al. [1014];
reprinted with permission from JOURNAL
OF ELECTRONIC MATERIALS, Vol. S,
pg. 11, 1979, a publication of The Metal-
Depth 5000 A lurgical Society, Warrendale, Pennsylvania).
220
A N A L Y S I S O F CVD F I L M S
221
FUNDAMENTALS
10.1 Introduction
223
FUNDAMENTALS
The mechanical properties of CVD thin films have not been studied so exten-
sively as the optical and electrical properties. However, there are two impor-
tant motivations for the investigation of these properties, namely the need
for reducing or eliminating the large internal stresses which often lead to a
deterioration of many CVD films, and correlation between the structural and
mechanical properties which may lead to a better understanding of solid
films.
10.2.1 Adhesion
10.2.2 Microhardness
Thin film microhardness can be measured using the Vickers [1035] or Knoop
[1036] technique. In these techniques, a diamond indenter (either a tetrago-
nal or a rhombic pyramid) is applied dynamically, and the microhardness is
determined by reading with an optical microscope, the shape and magnitude
of the indentation left on the film surface. Vickers or Knoop microhardness
values are estimated using the following relations:
Mv = KvPld* Pv = d/1 (10.1)
or
Mk = KkPld* Pk = rf/30.5 (10.2)
2
where Mv is the Vickers microhardness (in kg/mm ), Kv is 1854.4, P is the load,
dis the diagonal of the square or rhombic trace, Pv (or Pk) is the depth of
film penetration, Mk is the Knoop microhardness, and Kk is 14228.
224
P R O P E R T I E S OF CVD FILMS
The Knoop technique is prefered for thin films because of its lower pene-
tration. Microhardness measurements have been reported for relatively few
CVD films, such as a-B {Mk = 3350), a-C: H(Mk = 1250-1650), Si3N4
(Mk = 2000-4500), BP (Mv = 4700), TiN (Mv = 1600-2000), TaB2(Mv =
2500), TiB2{Mv = 2900-3700), ZrB2(Mv = 2200), (Ti, Zr)B2 (Mv =3700),
Ta2B(Mv = 2430), (Ta0.75 Ti0.25)B2 (Mv = 3100).
10.2.3 Density
225
FUNDAMENTALS
^ÜL = ( a e - a f ) T ^ - (10.5)
άΤ 1 — vf
If the film side of the sample is bent convex, the film is internally com-
pressed, tending to expand; by convention the sign of this stress is negative.
For a tensile stress, the film tends to contract and bends concave; the sign
of this stress is positive. When considering only the thermal component, it is
observed that the stress is positive (tensile) if the expansion coefficient of
the film is greater than that of the substrate (a f >a s ) and negative (compres-
sive) if a f < a s .
The CVD film stress is determined by using a number of methods, measu-
ring either the substrate strain (X-ray diffraction technique [1042]), or the
substrate curvature due to the CVD film (the interferometric method of New-
ton's rings [1043]; Michelson-type interferometry [1044—1046]; measure-
ment of focal length [1047]; measurement of the change in focus of an optical
microscope focused across the wafer surface; laser interferometry [1048];
the laser beam deflection method [1049, 1050]; and reflection and transmission
X-ray topography [1051]).
The X-ray diffraction technique is applicable when the layer is crystal-
line. I t allows the strain to be measured as the deviation of the lattice para-
meter from the bulk value. Then, the stress may be calculated from:
σ = — ^ - ?*=± (10.6)
1 — vf a0
where a0 and a are the unstrained and strained lattice parameters. An X-ray
double crystal spectrometer can also be used (see Section 8.2).
Using any one of the methods from the second group, the substrate
curvature due to stress in the layer can be determined. Next, the stress in the
film is calculated from the sample curvature using the relation:
226
P R O P E B T I E S OF CVD FILMS
R = 5.73 — (10.10)
ΔΘΒ
and
R = 206 ΔΧ/ΔΘΒ (10.10α)
respectively, where AX is the position on sample (in mm); ΔΘ is the change
in Bragg angle (in seconds of arc); and R is the radius of curvature (in m).
These values are directly read on the image of a reflection X-ray topograph
or on the ΔΘ versus AX trace obtained from transmission X-ray topographs»
Nearly all CVD (heteroepitaxial, dielectric, metallic, magnetic and super-
conducting) films are under stress due to the differences in thermal contrac-
tion between the film and substrate.
The stress may be compressive (Si/a-Al 2 0 3 , σ = — 1 χ 1010 dyne/cm 2 ;
GaAs/spinel, σ = — 1 X 109 dyne/cm 2 ; thermal Si0 2 /Si, σ = — 3 x 109 dyne/
cm2) or tensile (LTCVD Si0 2 /Si, σ = + 2 . 5 - 3 . 1 X 109 dyne/cm 2 ; P S G / S i , c =
+ 2 . 4 - 3 . 0 X 109 dyne/cm 2 ; Si 3 N 4 /Si, σ = + 8 - 1 0 x 109; Al 2 0 3 /Si, σ =
+ 1.8 X 1010 dyne/cm 2 ). In many instances, the stress may be compressive
227
FUNDAMENTALS
228
P R O P E R T I E S OF CVD FILMS
a CVD film [1071 — 1075]. Knowledge of its value is required, for example,
for calculating the thermal stress in a deposited thin film, as shown
previously.
The thermal expansion coefficient of a CVD film may be determined
by two methods.
In the first method, the following expression is used for the radius of
curvature i?, which can easily be derived:
R =■
1 EJl
(10.11)
6(as - af) ΔΓ Eft\
where a, E, tf and t8 are the thermal expansion coefficients, the Young's
modulus, and the thickness of the film and of the substrate, and ΔΓ is the dif-
ference between the room and film deposition temperatures.
By determining R (for example by transmission X-ray diffraction topo-
graphy), the value of af can be calculated.
In the second method, the thermal expansion coefficient is calculated by
definition, from the simple relation:
M
af = ■ (10.12)
ΙΔΤ
where I is the distance between two marks scribed on the sample, and ΔΖ is
the variation of this distance in the temperature interval ΔΓ of sample
heating. The measurement of changes in length may be performed by means
of a cathetometer or a dilatometer.
Thermal expansion coefficients have been measured, for example for
CVD films such as Nb3Ge(a = 7.7 x 10"β/Τ), Nb3Sn(a = 8 X 10"6/°C),
ZrB2(a = 5.9 x 10-6/°Q, Si3N4(a = 4.2 x 10"6/°C). Thermal expansion coef-
ficients for epitaxial semiconductors are given in Table 10.1.
TABLE 10.1
Some Structural, Thermal and Optical Parameters of Epitaxial Semiconductor Films [143,
3224, 3385]
Thermal
Melting Band gap
Lattice parameter a ) expansion
No. Material temperature energy c )
"o(A) coefficient 0 *
Tm(°C) Egat300K(eV)
aCC-^X 10e
0 1 2 3 4 5
229
FUNDAMENTALS
T A B L E 10.1 (continued)
0 1 2 3 4 5
a)
T h e lattice parameter of a ternary alloy can be obtained from Vegard's law, e.g.:
« G a A s ^ P s = aGaAs(1-*> + «GaP*
e
Ga 1 . y InyAs 1 . a .P i C = «GaAs*1-™1-*» + «InAs^ 1 -** + «GaP* 1 -^* -f «InP**
ö)
The thermal coefficient of expansion may also be assumed to vary linearly with
composition in ternary alloys.
c)
The band gap energy Eg(x) of a ternary alloy varies with its composition x according
to the relationship Eg(x) = Egl -j- bx + ex2, where Egl is the band gap energy of the lower
band gap binary, and b and c are constants with Egz = Egl -f b + c; Eg2 is the band gap energy
of the higher band gap binary. For example:
^ ( I n A s ^ S b * ) = 0 . 3 5 - 0 . 7 7 1 * + 0.596* 2
230
P R O P E R T I E S OF CVD FILMS
Thermal stability of CVD films depends primarily on the type of film and
its preparation method. High thermal stability is a distinctive feature of
most homoepitaxial films (such as Si) and of other films (garnets) which
are usually prepared by APCVD at relatively high temperatures. However,
during heat treatment structural or compositional changes may occur in
many types of CVD layers (e.g. dielectrics and amorphous, polycrystalline
and monocrystalline semiconductors, especially those prepared at relatively
low temperatures by PECVD, LPCVD or even APCVD). These structural
changes lead, in turn, to modification of the mechanical, optical, electrical,
and chemical properties of the layers. Thus, post-growth annealing of CVD
films in various oxidizing, reducing or inert ambients may be useful for impro-
ving their crystalline quality and/or properties, and is best performed by
using rapid thermal processing [1076—1079].
Annealing of CVD films is illustrated by the following examples [1080 —
1138].
(1) Heat treatment at moderate temperatures of amorphous dielectric
films (Si0 2 , Si3N4, A1203, Ti0 2 , PSG [1083-1087], BSG, S i ^ N , etc.), depo-
sited at low temperature, leads to densification of their structure and to a
decrease in their etch rate, whereas thermal densification at higher tempera-
ture tends to form crystalline modifications and to lower etch rates dras-
tically.
(2) Thermal annealing at temperatures as high as 550°C or 600—700°C
in some cases (or better RF annealing at temperatures below 400°C) has been
found effective in removing radiation damage caused by electron beam or
X-ray lithography, implantation, plasma etching, etc. from MOS structures.
(3) Interface charges beneath insulators on silicon can be reduced by
thermal heating (e.g. at 800°C in moist 0 2 for A1203) or by the energy of a
laser beam, the latter process allowing the relaxation of interface strain bonds
by thermal processes [1090].
(4) Annealing of PECVD Si3N4 films [1088, 1089] (usually performed
for removing H which would be detrimental to device characteristics by
diffusing into the gate oxide layer) prepared from the SiH4—N2 and SiH4—
NH 3 systems is easier in the former system since there are fewer or more
stable H bonds.
231
FUNDAMENTALS
232
P R O P E R T I E S OF CVD FILMS
23a
FUNDAMENTALS
T = — = e~ai (10.15)
The quantity od, called the optical density of the thin film, determines
the transmission (or absorption) of the material studied. The dependence
of the absorbtion coefficient a on the frequency and wavelength of the light
is called the absorption spectrum of the film studied. Most experimental
determinations record the transmission spectra, i.e. the relative transmittance
versus wavelength. The absorption coefficient can be determined from these
spectra by using the following equation, which also includes the phenomenon
of reflection:
1 = *-*>(!-K)2 (10.17)
I0 1 - Ä2e-2ai
If the complex dielectric constant N = n — ik is used for the optical
characterization, the absorption coefficient is given by:
a=-^- (10.18)
λ
where k is the extinction coefficient.
The absorption spectra, especially those in the infrared range, are ex-
tremely important because they provide informations regarding the mole-
cular structure and composition of the layer. Indeed, the absorption peaks
obtained correspond to various chemical bonds existing in the respective
layer. Furthermore, the method based on absorption spectra represents a
simple and excellent method for determining nondestructively the thickness
of many films.
Using the absorption spectra, in the UV-visible range, the band gap
width of various semiconducting films can be determined.
In the case of amorphous semiconductors such as a-Si, the following
relation for the absorption coefficient is used:
a = £2(Av - £g)2/Äv (10.19)
234
P R O P E R T I E S OF CVD FILMS
Ί\
Fig. 10.2 Typical optical absorption curve (plot of
(oc/zv)1/2 against photon energy) of an a-Si : H film,
prepared by glow discharge decomposition of silane \600-
(Eg = 1.8 eV).
r^ 500-
^ 400-
"V 300-
-Q
£ 200-
100-
I
< ^ _ _ ' 1
II I I I I
a5 10 1.5 20 25
Photon Energy hi(ev)
235
FUNDAMENTALS
10.5.1 Photoconduction
236
P R O P E R T I E S O F CVD FILMS
/ Y'O V
·*»
^C
/ \ f! ι \ ' I / H i
5:
/ft'« 1
/ /Av
*.£: / Λ; \ i l l *
/*
o
Q: / / / \ t \
\\ *x Fig. 10.3 Electroluminescence for GaAsx-a; Pa-
diodes with and without nitrogen do-
s ^y / \J / \ \1 ping (after Craford et al. [3062]; reprin-
kS-—ill/ -* 1 1 -i—i—i I '
ted with permission from JOURNAL OF
ELECTRONIC MATERIALS, Vol. 2, pg.
L——
7600 ,—,—
7200 , — , —6400
6900 ,— 6000 5600 5200 137, 1973, a publication of The Metallur-
Wavelength (A) gical Society, Warrendale, Pennsylvania).
237
FUNDAMENTALS
hV(ev)
3 1.8 1.7 1.615 U 13 12 1.1
1 /
I I 1
®—i Γ
□HZl·
/
5
■—; (a)
■
it 0.9 1.0 V
Wavelength tjum)
(b)
Fig. 10.4 Photoluminescence:
a — experimental set-up: 1— light source; 2—double grating monochromator; 3- sample;
4 — double prism monochromator; 5 — photomultiplier; 6 — recorder;
b — typical spectrum for OMVPE A ^ G a ^ A s layers with x = 0.32 (T = 77—298K), showing
the edge emission and non-edge emission peaking in the ~ 1.8 eV and ~ 1.2 eV regions, res-
pectively. The composition can be determined from the peak wavelength of the room tempera-
ture near edge emission, using the relation hv = 1.424+ 1.247*. Width a t half-maximum (half-
width) for room temperature edge emission is useful for determining the n-and p-type carrier
concentration(after Stringfellow and Hall [2968]; reprinted with permission from J O U R N A L
OF ELECTRONIC MATERIALS, Vol. 8, pg. 201, 1979, a publication of Tha Metallurgical
Society, Warrendale, Pennsylvania).
10.5.5 Cathodoluminescenee
238
P R O P E R T I E S OF CVD FILMS
ted for GaAs, GaAs^P^, GaP: N, ZnSe, ZnS, and CdS epitaxial layers. They
can be used to determine the composition of compound semiconductors such
as Al^Ga^As.
230
— Fig. 10.7 Cross sections of main types of laser diode structures:
P ♦
"GoAs P
^ a — homojunction laser; b — single heterojunction close-confined
n "f"~ laser; c — double heterojunction laser; d — large optical cavity
(LOC) laser.
GaAIAs P*
P d
GaAs \
1 n
GaAIAs p .
GaAs P
GaAIAs n*
GoAlAs P* +
GoAs _ e «JL
GaAIAs n* ♦ ,
240
P R O P E R T I E S O F CVD FILMS
10.6.2.1 Resistivity
241
FUNDAMENTALS
0 =C't' — (10.25)
* I
where C is a correction factor (C = 4.5) and t is the layer thickness.
The four-point probe [1166—1168] is the most generally used means
for measuring-resistivity of, for example, epi-Si [1166—1168] or epi-Ge
[1169] in the semiconductor industry. The metod is nondestructive and can
be used for measuring thin epitaxial semiconducting films when they are
deposited on a substrate of the opposite type. It may also be used to measure
the resistivity of epitaxial layers grown on substrates of the same type but
only if the epitaxial layers are of much lower resistivity than those of the
substrates. However, the method is not applicable when the thin epitaxial
layer is of the same type as the substrate, but of higher resistivity. The four-
point probe combined with bevelling or with succesive layer removal is used
for resistivity profiling
The three-point probe technique (Fig. 10.9), described originally by Brown-
son [1170], measures the breakdown voltage of a surface probe diode. The
breakdown voltage is then related to resistivity.
This nondestructive method is suited for measuring thin high-resistivity
layers on low-resistivity substrate (n/n+ or p/p + ), and can be used to mea-
sure the resistivity profile on a bevelled sample as well the conductivity type
11 «-) r-TT- .
2 5 6Ό°2 5 610*2 5 Ö10"
impurity concentration (atoms/cmJ)
(a) (b)
Fig. 10.9 Three-point probe method: a—instrumentation; b — calibration
curve for epitaxial silicon (after Allen et al. (1172]; reprinted by permis-
sion of the publisher, The Electrochemical Society, Inc.).
242
P R O P E R T I E S OF CVD FILMS
of the epitaxial layer from the nature of the breakdown characteristic. How-
ever, the method requires calibration and is useful only in a limited range of
resistivity and thickness.
It can be used for Si [1170-1172], GaAs [1173], and other films.
The spreading resistance technique developed by Mazur and Dickey [1174]
is based on the assumption that the potential of a metal-point contact on a
semiconductor is a direct measure of the resistivity of the semiconductor mate-
rial under the point.
In the case of an epitaxial structure, the spreading resistance Rsp is
given by the relation:
8P (10.26)
I Ar
where V is the potential on the contact, I is the curent through the contact,
r is the radius of the contact, p is the epitaxial layer resistivity, and F is
a correction factor which depends on layer and substrate resistivity and
thickness, and on the probe spacing.
The experimental arrangement usually uses three probes, two of which
are connected to a current source, the voltage being measured between one
of these and the third probe, as shown in Fig. 10.10. Rather than attempting
to calculate p from the above equation, the usual procedure is to prepare a
calibration curve (Rsp measured for several known resistivities). This method
can be used to mesure resistivity in a very large range: 10~3—103 ohms cm
when the layer and the substrate are of the same or opposite conductivity
type, e.g. for epi-Si [1174-1180], heteroepitaxial Si [1181], and GaAs [1182].
When coupled with angle lap, it also serves to measure the depth profile and
the p-n junction depth in a thin film-
I—®-
1
tö3 icf lö io io} ic? io
3 (ohm cm)
(a) (b)
Fig. 10.10 Spreading-resistance probe method (after Gardner
et al. [1175]; reprinted by permission of the publisher, The
Electrochemical Society, Inc.):
a — typical arrangement;
b — typical calibration curves for epitaxial silicon.
243
FUNDAMENTALS
eeA*[ dV J eeA2&L
dV
* = ■*§■ (10.30)
where N is the dopant concentration at the edge of the depletion region, C
is the capacitance (measured by a high-frequency capacitance bridge), V is
the applied reverse voltage, e is the electronic charge, ε is the permittivity
244
P R O P E R T I E S OF CVD FILMS
^:
" τ ^ Χ
«? 1
751
7/0 1 1 I 11 1 11|
;
xfjum)
(a) (b)
of sample, A is area of the sample junction, and x is the depletion layer depth.
Hence, on measuring C as a function of V, N (x) is found. The diode can
be obtained destructively by means of evaporation of a metal spot, by shal-
low diffusion of an opposite type impurity, or nondestructively by using
a mercury probe [1187—1189] or even an electrolyte-semiconductor junction
[1196 — 1206]. C-V measurements have been widely used to determine the
impurity profile of epitaxial films (e.g. GaAs, ZnSe) either directly (limited
depth) or coupled with etched steps or continuous electrolytic dissolution
(unlimited depth).
Optical measurements make use of either reflection or absorption spectra.
The reflection spectra of doped semiconducting films show a minimum in
reflectivity caused by free-carrier reflection effects. The wavelength of the
minimum is related to p-orn-type dopant density. In general, the reflecti-
vity method requires an independent means of calibrating the wavelength
at which a minimum in reflectivity occurs in terms of dopant density. Curves
correlating the dopant density with the wavelength of the minimum in reflec-
tivity have been reported for p- and n-type Si [1211 —1213] as well as for
p- [1214, 1215] and n- [1213, 1216-1218] type GaAs.
The absorption spectra of doped semiconducting films show a maximum
in absorption. In order to correlate free carrier absorption to dopant density,
it is required to calculate the absorption coefficient a by knowing the films
thickness:
I n ( 7
a = ■ Ä (10.31)
where I0 is the transmittance without the sample, / is the transmittance with
the sample, and t is the film thickness of the doped semiconductor film. This
method can be used only if the substrate is not heavily doped.
Electrical properties have been reported for all undoped or doped homo-
epitaxial, heteroepitaxial, polycrystalline and amorphous semiconducting
films (Table 10.2). They are somewhat dependent on the crystalline structure
and, hence, on the deposition method and conditions.
245
FUNDAMENTALS
TABLE 10.2
Electrical Properties of CVD Semiconductor Films
Con-
F i l m thick- Growth process duc-
No. Film/Substrate Dopant
ness (μιη) tivity
type
0 1 2 3 4 5
Homoepitaxy
1 Si/Si SiH4-H2 — n
SiH4-H2 P H 3 ; AsH 3 n
SiH4-H2 B2H6 P
SiH2C]2-H2 — n
o i r i 2 C j 2 —H2 P H 3 ; AsH 3 n
SiH 2 Cl 2 —H 2 B2H6 P
0.1-50 SiCl4-H2 n
0.1-50 SiCl4-H2 PH 3 (AsCl 3 ) n
0.1-50 SiCl4-H2 ^2Ηβ P
0.1-50 SiCl4-H2 PCJ 5 n
3 SiC/SiC SiH 4 — C 3 H 8 —H 2 - n
4 GaP/GaP Ga-PCl3-H2 n
Ga-HOl-PHg — n
TMG-PH3-H2 — n
TMG-PH3-H2 H 2 Se n
TMG-PH3-H2 DEZ P
5 GaAs/GaAs Ga-AsCl3-H2 __ n
Ga-AsCl3-H2 Cr02Cl2 SI
Ga-AsCl3-H2 H2S n
Ga-AsCl3-H2 HaS n
Ga-AsCl3-H2 H 2 Se n
Ga-HCl-AsH3-H2 — n
Ga-HCl-AsH3-H2 H2S n
TMG-AsH3-H2 — norp
MO CVD n
MO CVD DMZn p
MO CVD H2S n
LPMOCVD(TMG-AsH3- — n
-H2)
LPMOCVD(TMG-AsH3- SiH4; n
-H2) GeH4;DET
6 GaSb/GaSb CTCVT(GaSb-I2) — P
7 InP/InP In-PCl3-H2 __ n
In-PCl3-H2 H2S h
In-HCl-PH3-H2 — n
In-HGl-PHg-Ha Te n
246
P R O P E R T I E S OF CVD FILMS
6 7 8 9
1 [2532]
[2532]
[2532]
1 . 6 - 1 . 5 x 10-3 1Q15-1019 [2349]
2 . 5 - 6 x 10-4 1015-10 2 0 [2349]
1015-10" [2876]
— 1015-10" 150 [2877]
— 5 X 1015 [2890]
0.07-1.29 4 x 1016-1018 137-79 [2890]
0.27-0.54 6 X 1016-2 x 10" 103-67 [2890]
_ 1013-1014 9 X 103 [2599-2601]
108 — — [2682]
6 3
1015-101 ( 3 . 5 - 5 . 5 ) x 10 [2602]
( 0 . 6 - 2 ) x 10-3 2 x 10"-6 x 1018 ( 0 . 5 - 4 ) x 103 [2613]
5 X 10-4 2 x 10"-1019 ( 1 . 1 - 4 ) x 103 [2613]
( 4 - 9 ) X 1015 [2716]
1015-5 X 1018 ( 3 - 6 . 5 ) x 103 [2716]
1 x 1015-1 x 10" (n) < 6 2 6 0 (n); [2720, 2721,
3 x 1015-4 x 10" (p) < 385 (p) 2734, 2743]
( 3 . 7 - 5 ) X 1014 (1.36-1.39) x 105(77 K) [2730, 2735]
4 x 1016-1 x 1019 [3031]
8 X 1016-2 x 1019 [3031]
247
FUNDAMENTALS
ol i 2 3 4 1 5 !
APMOCVD(TMI-PH3- n
-H„)
APMOCVD(TEI-PH3- — n
-H2)
APMOCVD(TMIn: n
TMP-H2)
APMOCVD(TMIn: n
TEP-H2)
LPMOCVD(TEI- n 1
-PH3-H2)
8 InAs/InAs In-AsCl3-H2 n
0.1-7 TMIn-AsH3-H2 — n
9 InSb/InSb 1 MOCVD(TEIn-TMSb-
-H2) — n
10 Al 0 #1Ga0 9 As/GaAs MOCVD n
MOCVD DET n
MOCVD DEZ P
Al 0 9Ga0 jAs/GaAs 0.1 LPMOCVD — n
Al 0 7 Ga 0 3 As/GaAs 1.6 LPMOCVD SiH 4 n
Al 0 7 Ga 0 3 As/GaAs 1.6 LPMOCVD DEZ P
11 GaAs 0 6 P 0 4 /GaAs 10-40 Ga-AsH3-PH3-H2 n
10-40 Ga-AsH3-PH3-H2 DET n
12 GalnAs/GaAs 1-2 TMG-TEI-AsH3-H2 - n
13 GaAsj ^Sb^/GaAs Ga-HCl-AsH3-SbH3 - P
(x = 0 . 0 1 - 0 . 8 )
GaAsj^Sb/GaAs, In As, MO C VD(TMGa - AsH 3 - — P
InP, sapphire -TMSb-H2)
(x = 0 . 0 5 - 0 . 7 0 )
14 In 0 . 49 Ga 0 . 51 P/GaP Ga-In-HCl-PH3-H2
1 Ga-In-HCl-PH3-H2 H 2 Se
Ga-In-HCl-PH3-H2 Zn
In 0 49 Gao. 51 P/GaAs LPMOCVD(TeIn- — n
-TEGa-PH3-H2)
15 InAs 0 ΧΡ0 9 /GaAs, GaP In-HCl-AsH3-PH3 n
In-HCl-AsH3-PH3 Zn P
16 Ga 0 . 75 Al 0 . 25 Sb/GaSb CTCVT(GaAlSb-I 2 ) Te n
17 GalnAsP/InP LPMOCVD(TEGa- H 2 S ; DEZn n
^ P
-TEIn-AsH3-PH3-
-H2-N2)
^a0.35^n0.66^S0.74^0.26 2-5 Ga-In-HCl-AsH3- — :n
—PH 3 —H 2
18 (Al 0 . e Ga 0 . 4 ) 05 Tn 0 . 6 P 1.4 LPMOCVD(TMA- H 2 Se 'n
-TEGa-TEIn-PH3)
(Al 0 . e Ga 0 . 4 ) 0 . 5 In 0 . 5 P 1.4 LPMOCVD(TMA- DMZn P
-TEGa-TEIn-PH3)
19 CdTe/CdTe CVT (CdTe + H 2 ) - P
CdTe/CdTe, GaAs, InP,
InSb, MgA10 4 DMCd-DETe-H2
1 — si 1
248
P R O P E R T I E S O F CVD FILMS
6 | 7 | 8 I 9
3
1015-lOie 3.5 x 10 [2926-
- 2 9 3 1 , 2933]
3
( 1 - 7 . 9 ) X 1015 ( 3 . 5 - 5 . 3 ) x 10 [2911, 2914,
2915, 2920]
( 3 . 8 - 8 . 4 ) x 1015 ( 3 . 3 - 4 . 2 ) x 103 [2939]
2 x 1015 [3146]
5 x 1017-2 x 1018 ( 1 . 7 5 - 1 ) x 103 [3146]
5 x 1017-1019 [3146]
1017 [3153]
2 x 1014 80 [3317]
[3332]
249
FUNDAMENTALS
ol i 2 3 4 1 5
20 HgTe/CdTe MOCVDrHg(DMHg)- - n
-DETe-H2l
21 Hgo. 8 Cdfr. 2 Te/CdTe MOCVD[Hg(DMHg)- n
-DMCd-DETe-H2l
10-20 CVT[(CdHg)Te + H21 n
Heteroepitaxy
22 (100)Si/(1102)a-Al 2 O 8 0.5 1.0 SiH4-H„ PH3 n j
(lll)Si/(lll)MgAl204 0.5 1.0 SiH4-Ho PH3 n
(100)Si/(100)MgAl 2 O 4 0.5 1.0 SiH4-H^ PH3 n
(100)Si/(ir02)a-Al 2 O 3 0.5 1.0 SiH4-H2 B2He P
(lll)Si/(lll)MgAl204 0.5 1.0 SiH4-Ho B2He P
(100)Si/(100)MgAl2O4 0.5 1.0 SiH4-H2 B
2 H
6 P
23 Ge/spinel 2 GeH4-H2 P H 3 ; B 2 H fl p;n 1
24 ScN/(ir02)a-Al 2 O3 4.8 Sc-HCl-NHg — n
25 (1120)GaN/(0112)a-Al 2 O3 5 Ga-HCl-NH3 n
(1120)GaN/(0112)α-Α1 2 0 3 4.8 Ga(CH3)3-NH3 n
(1120)GaN/(0lT2)a-Al 2 O 3 2.2 Ga(CH3)3-NH3 Zn n
(1120)GaN/(0n2)a-Al 2 O 3 5.0 Ga(CH3)3-NH3 Zn SI
26 (Hl)GaP/(0001)a-AloO 3 6 Ga(CH3)3-NH3 - n
(lll)GaP/(lll)MgAl2b4 10 Ga(CH3)3-NH3 P
27 (Hl)GaAs/(0001)a-AloO 3 4-20 MOCVD n
(11 l)GaAs/(l 1 l)MgAl 2 b 4 2-20 MOCVD n
(100)GaAs/(1122)BeO 4-40 MOCVD n
GaAs/(0001)a-Al 2 O 3 <8; 8-25 MOCVD H 2 Se n
GaAs/(lll)MgAl 2 0 4 or > 10 MOCVD ri2S', H 2 S^ n
(110)MgAl2O4
(lll)GaAs/(0001)cc- 1 MOCVD DEZ; DMC
-A1 2 0 3 ; (100)GaAs/
(110)α-Α12Ο3
(Hl)GaAs/(lll)MgAl 2 0 4 ; 1 MOCVD DEZ P
(100)GaAs/(110)MgAl2O4 _P
28 (1120)ZnO/(0ll2)a- CVT(Zn + H 2 0 )
-A1 2 0 3 n
(1120)ZnO/(0112)a- 10 CVT(ZnO + HC1) -
.A1 2 0 3 n
250
P R O P E R T I E S OF CVD F I L M S
6 I 7 8 I 9
( 1 - 4 ) x 1016 ( 7 - 1 1 ) x 104(77 K) [3344]
1-10 - - [3230]
102 — — [3263]
1 0 - 2 - 102 — — [32 6 3]
5 x 1 0 6 - 1 χ 107 [3315]
251
FUNDAMENTALS
o 1 2 3 4 5
36 GaSb/GaAs Ga-HCl-SbHg P
CTCVT(GaSb + HC1; P
SbCl 5 ; or I 2 )
37 GaP/Si 36 Ga-PClg-Hp n
GaP/Ge 100 Ga-PCl3-H2 n
38 InP/CdS 2-7 In-HCl-PH3-H2 - n
39 ZnO/Si, CdTe P E M O C V D ( D E Z - C0 2 ) - n
40 ZnSe/GaAs 5 LPMOCVD TEA n
(DEZ-H2Se-Ho)
ZnSe/GaAs CVT(ZnSe-H 2 ) " n
41 CdS/CdTe 20 CVT(CdS + Ha) - n
42 ZnS 0 . 12 Se 0 . 88 /GaAs CVT(ZnSe-H2S-H2) - n
43 ZnSiAso/Ge or GaAs Zn-SiH4-AsH3-H2 - P
Poly crystalline SCs
44 Si/Si02 SiCl4-H2; SiHCl3-H2;
SiH 4 -H 2 (1100°C) !p 1
SiH4-H2(650°C) P
SiH4-H2 PH3 n
(650°C; 1100°C)
SiH4-H2 B2He
(650°C; 1100°C) P !
L P C V D ( S i H 4 - H 2 , 600°C)
L P C V D ( S i H 4 - H 2 , 600°C) P(II)
P
45 Ge /graphite GeCl4-H2 PH3 n
46 GaAs/Mo 10 TMG-AsHg-Ba n
GaAs/Ge/Mo 5 TMG-AsH3-H2 ■ n
GaAs/W/C Ga-HCl-AsH3-H2 n
47 InP/Mo 18 In—PCJ3-H2 n I
18 In-PCl3-H2 H2S n
InP/C or glass 50 In-PCl3-H2 ZnCl 2 P
48 ZnO/glass 0.1 DEZ-02 - n 1
49 CdS/glass SP (CdCl 2 —thiourea) - n 1
50 Zn 3 P 2 /mica 10 CSVT - P
51 Sn0 2 /glass 0.1-0.5 MOCVD(DBTDA-Oa- n 1
-HoO)
0.1-0.5 MOCVD(DBTDA-02- SbCl fi n
-Η,Ο) j n
TMT-02 n
TMT-02 PH3
52 ln 2 0 3 /glass 0.1-0.9 MOCVD(DPMI-Oa- n |
-H20)
0.1-0.9 MOCVD(DPMI-02- DBTDA n
-H20)
53 Cd 2 Sn0 4 /glass SP(CdCl2-SnCl4) - n |
Amorphous SCs
54 Si:H 1 PECVD(SiTJ4-Fo) n
1 PECVD(SiH4-H2) PH 3 n
1 PECVD(SiH4-H?) BoH- P
252
P R O P E R T I E S OF CVD FILMS
6 1 7 8 | 9
&
4.3 x ΙΟΐβ-1.9 x 10i 120-336 Γ2895]
2 x 10 w 700 [2896, 289η
( 2 - 7 ) x lO« [4672]
253
FUNDAMENTALS
The methods previously described are applicable only to shallow fully ioni-
zed impurities, which contribute to conductivity. The concentration of deep
(or intermediate) level impurities can be determined by using electrical mea-
suring techniques which involve measuring either the capacitance or current
of a p-n junction or Schottky diode as a function of temperature.
Deep-level transient spectroscopy (DLTS) [1223—1233] is based upon
the processing of signals arriving from capacitance transients which result
from the presence of deep levels in the depletion layer of a diode (Fig. 10.12).
The transient is normally excited by repetitive pulsing of the diode from a
forward to a reverse bias. During the forward bias pulse the levels will be
filled with electrons (or holes, depending on the diode type), since the deple-
tion layer collapses. During reverse bias at an appropiate temperature, the
electrons may be thermally emitted from the levels. As electrons are emitted,
they leave behind positively ionized defects. This fact alters the capacitance
of the diode and the transient response of the capacitance faithfully mirrors
the electron emission from the deep levels. The system output is the difference
between the two capacitance values C1—C2 taken at two points tx and t2
at an optimum intermediate temperature (Fig. 10.12a).
The DLTS spectrum (i.e. the differential capacitance versus the diode
temperature) contains one or more peaks characteristic for the deep levels
of the semiconductor material (Fig. 10.12&). The peak amplitude gives the
concentration of each deep level. The energy location can be obtained by vary-
ing (t2—tx) and measuring the shift of the peak with temperature. Depth
profiling is also possible by exploring the peak amplitude for varying deple-
tion layer widths via the reverse bias. Deep levels have been measured by
5 / / £
4 1 Time(t)
254
P R O P E R T I E S O F CVD FILMS
DLTS, for example, in epi-Si [1225], GaAs [1226], GaP [1228], InP [1229,
1230], AlGaAs, β-Si: H, and Si3N4 [1233].
In certain cases (where the capacitance is too small), the conventional
capacitance DLTS is replaced by current DLTS measurements.
255^
FUNDAMENTALS
rity and the magnitude of the voltage applied to the metal electrode of the
MIS capacitor, the accumulation, depletion and inversion of the majority
charge carrier in the silicon substrate will occur. Consequently, the capaci-
tance value of an MIS capacitor will vary from a maximum Cmax to a mini-
mum Cmin (Fig. 10.136).
The C-V plot for an ideal MIS capacitor can be calculated from a given
thickness of film, electrode area, resistivity of the substrate and capacitance
of the film.
However, in a real MIS capacitor, owing to the existence of fixed and
mobile charges in the insulator material, the C-V characteristic is shifted paral-
lel to the voltage axis. A comparison of the experimental characteristic curve
with the theoretical curve gives the voltage shift along the voltage axis, i.e.
the flat-band voltage VFB. The flat-band superficial charge (the amount of
charge removed in order to bring the surface and the bulk to the same poten-
tial) is defined by the relation:
JV F B = C /
' F F B
(10.32)
e
where NFB is the flat-band superficial charge, C7 is the capacitance per unit
area of dielectric film, VFB is the flat-band voltage, and e is the electron charge.
Plotting of the C-V characteristic curves has been achieved by means
of an arrangement which includes a 1 MHz oscillator, a voltage ramp, an am-
plifier, a detector and an x-y plotter (Fig. 10.13a).
Generally, in a CVD dielectric film (Si0 2 , Si3N4, A1203) there are the fol-
lowing fixed or mobile charges: fixed dielectric charge, interface trapped
charge, mobile ionic charge, radiation trapped charges, dipole-polarization
charge, and interface trapping charge (Fig. 10.14) [1248—1253].
,1 3
\5r+5V\ * V r|WA/2h
x ϊ
(a)
■s.
-y *v -v +v
n-type P-type
(b)
Fig. 10.13 Use of C-V plot for Fig. 10.14 Electric charges in a
measurement of interfacial electrical dielectric film deposited on a semi-
properties (by permission from SE- conductor substrate [89]:
MICONDUCTOR MEASUREMENT 1 — fixed dielectric charge; 2 —
AND INSTRUMENTATION by interface trapped charge; 3—mo-
W.R. Runyan, © 1975 McGraw-Hill): bile ionic charge; 4 — dielectric
a — experimental arrangement: 1 — trapped charge; 5—dipole charge;
sweep generator; 2 — MIS sample; 6 — interface trapping charge.
3 — capacitance meter; 4 — X-Y
recorder;
b — C-V plots for n- and p-type
semiconductor.
256
P R O P E R T I E S OF CVD FILMS
Nm = Io^V_ (1033)
where iVm is the density of mobile ionic charge (number of charges per unit
area in cm*2 eV" 1 ), ε 0 is the permittivity of free space (8.85 X 10"12 C V" 1 m- 1 ),
ε< is the insulator dielectric constant, e is the electronic charge (1.6 x 10~19C),
and ti is the insulator thickness (in m ) .
257
FUNDAMENTALS
258
P R O P E R T I E S OF CVD FILI^S
(10.35)
where t0 and ti are the thickness of Si0 2 and insulator (Si 3 N 4 or A1 2 0 3 ), and
ε 0 and e{ are the corresponding dielectric constants. The dielectric con-
stants of CVD films has been found to vary with the deposition method and
the process parameters (such as deposition temperature, reactant ratio,
deposition rate, film thickness). The dielectric constants of some CVD films
are as follows: s(Si0 2 ) = 3 . 5 - 4 . 0 , e(Si 3 N 4 ) = 6 - 9 , e(BN) = 4.4, ε(Α1203) =
7 . 2 - 9 . 6 , ε(Α1Ν) = 8 . 8 , ε(Α1α;02/Νζ) = 7.7, e(Ta 2 0 6 ) = 22, £ (Nb 2 0 5 ) == 11,
ε (Τί0 2 ) = 20—82, ε(polysiloxane) = 2.5.
Dielectric constants have also been measured by using ellipsometry for
both elemental and compound semiconducting films. It has been observed
that the dielectric constant of an elemental semiconductor such as Si or Ge
259
FUNDAMENTALS
is generally the square of its refractive index (n = 3.42, ε = 11.7 for Si and
n = 4.01, ε = 16.5 for Ge), while for III—V compounds ε is slightly larger
than n2 {n = 3.3, ε = 12.5 for GaAs; n = 12.9, ε = 10 for GaP; n =
3.1, e = l l f o r B P ) .
where t0, £N are the oxide and nitride thicknesses; ε0, sNare the dielectric cons-
tants of the oxide and nitride; and V is the applied voltage. The breakdown
strength has been measured for many dielectric films, its value being of the
order 106 V/cm (e.g. FBD(Si02) = 3.5 - 3.8 X 106 V/cm; FBD(Si3N4) =
= 5 - 10 x 106 V/cm; F B D(A1 2 0 3 ) = 3 x 106 V/cm; FBD(Zr02) = 1 - 2 χ
XlO6 V/cm; FBD(Ta205) = FBD(Nb205) = 5 x 10* V/cm; FBD(polysiloxane) =
*= 3 - 7 x 106 V/cm; F BD (A1 2 0 3 -Ta 2 0 5 ) = 5 x 106 V/cm.
The breakdown strength generally increases with decreasing grain size
and deposition temperature and can be optimized by proper choice of the depo-
sition parameters in the case of A1N, A1203 and Al^CyM^ layers. For Si0 2
films prepared in the SiH4—C02—H2 system, as the deposition temperature
increases the breakdown voltage approaches that of the thermal oxide. Addi-
tionally, the destructive breakdown of Si0 2 films can be retarded by annea-
ling them in NH 3 gas this being explained by the fact that the Si3N4 formed
acts as a barrier against ion migration.
260
P R O P E R T I E S OF CVD FILMS
TfC)
7 150 50 0 SO -V0 -%0
w- μ I l i i I
-6-i
Au -Si^N^ - Si
d= 1100 A
i
J J
=1 + J2 + J3
10-
~12-
13 —t 1 1 1 —
10 15 20 25 Q n e »o i Oeci
-70 β 0
V1l2(vorts)112 10 H
(a)
w —i
3
1
4
ι
5 6
1 1
7_-1 8
/ t , 1000IT (K )
(b )
Fig. 10.16 Conduction of silicon nitride films:
a - In I-Vl/2 plots for MOS, MNS and MNOS devices (after -Swaroop [1240)];
b — 7-1/T plot (after Sze [84]; reprcduced by permission of the publisher, Plenum Publishing
Corporation): J1 — Frenkel-Poole component; J2 — tunnelling component; Jz — ohmic com-
ponent ; J — total current density.
a current-temperature (7-1/ T) plot for silicon nitride films have been notic-
ed (Fig. 10.166). Therefore, instead of simple Poole-Frenkel mechanism
it has been proposed that the mechanism of current transport has three com-
ponents appearing when decreasing the measurement temperature in the
following order: (a) a Poole-Frenkel mechanism that is a field-enhanced ther-
mal excitation of electrons from shallow t r a p s ; (b) thermal hopping of exci-
ted electrons, indicating an ohmic-type I-V relationship; and (c) field ioni-
zation of trapped electrons. The electrical resistivity (p) of dielectric films has
typical values in the range 1 χ 1014 — 1 χ 1015 Ω cm.
In addition to the d.c. conductivity discussed above, the a.c. conductivity
(σ) has also been measured for most dielectric films. It is related to the loss
tangent (tan δ), which is another important parameter in characterizing the
properties of a film, by the following relationship:
σ = 2TzfCp{ljA) tan δ (10.37)
where / is the measuring frequency and C p , /, A are the film capacitance,
thickness and area, respectively. Some representative values for tan δ are
the following: tan 8 (Ti0 2 ) = 8 x 10"3 - 3 χ 10~2 (1kHz); tan S(Si 3 N 4 ) =
10-3 - 3 x 10-2(0.1 - 100 k H z ) ; tan δ (A1203) = 3 x 10~3 - 2.5 x 10~2
( 0 . 1 - 1 0 0 k H z ) ; tan δ (polystyrene) = 10"3 (1 kHz).
An important aspect of conduction in very thin dielectric films, namely
the trapping of hot carriers (e.g. electrons) has been investigated for CVD
PSG/Si0 2 composites [1267].
261
FUNDAMENTALS
262
P R O P E R T I E S OF CVD FILMS
263
FUNDAMENTALS
other energetic light ions (deuterons )[1297, 1298]; radiation dosimeters based
on MNOS or MOS devices which undergo a shift in flat band voltage when
exposed to high energy radiation [1299, 1300]; and neutron transmutation
doping of bulk and epitaxial silicon [1301] based on nuclear conversion of Si
atoms into P dopant atoms by exposing tmdoped Si crystals to a suitable flux
of thermal neutrons inside a nuclear reactor according to the following reaction
of the 30Si isotope ( 3 % in Si material):
30
Si (n, γ) > 31 Si > 31
P + β- (10.38)
£H = ^ - (10.39)
VH = ^ - (10.40)
t
where EE is the generated electric field, VK is the generated Hall voltage,
I is the current through the sample, B is the magnetic induction, A is the
film cross section, t is the film thickness, and i? H is the Hall constant.
Fig. 10.17 gives a schematic representation of the Hall effect and two
typical geometries of a Hall sample obtained by etching the semiconductor
film (bar and clover leaf configurations).
From the measured electrical data and the known film thickness, the
Hall constant i? H , the carrier type and concentration (n, p), the conductance
(resistivity p) of the sample and the Hall carrier (electron or hole) mobility
μΗ can be calculated using the following relationships (w and I are the sample
width and length, respectively):
*H = ~ (10.41)
V
I-B '
ÄH = — < 0 , 2?H=J->0 (10.42)
ne pe
(n-type carrier) (p-type carrier)
264
PROPER! IES OF CVD FILMS
ib)
(c)
1 RK
//, p n, p = or p = — ^ (10.43)
RHe
P · μΗ ' e μΗ
R-t-w
(10.44)
I
ÄHI (10.45)
μΗ =■
265
FUNDAMENTALS
(,0 47)
*-lfc'T, ·
Combining equations (10.46) and (10.47), we find the mobility to be:
μΗ = (In 2)R\ITZBR (10.48)
Therefore, the van der Pauw technique allows the resistivity, the Hall
carrier mobility as well as the carrier concentration (n, p = l/i? H · e = 1/ρμΗ^)
to be determined.
The Hall mobility as well as the carrier concentration have been measur-
ed for all homoepitaxial, heteroepitaxial, polycrystalline and amorphous
semiconducting layers (Table 10.5). Hall mobility often depends on both
deposition method and process parameters (especially the deposition tempe-
rature, doping level and film thickness). Moreover, it varies with the semi-
conductor composition and measurement temperature.
For example, for an alloy semiconductor composed of one direct and one
indirect component, such as GaAs^P^., μΗ is given by:
μΗ = ^ 1 ± ^ 1 (10.49)
where ηλ and n2 are the carrier densities and μχ and μ2 are the mobilities in
the direct and indirect conduction bands, respectively. The Hall mobility
of a composite semiconductor (e.g. consisting of a graded and a constant
composition layer such as two GaAs^P^. layers in an LED) is:
μΗ = * ^ + n A - (10.50)
%lVi + ^2μ2*2
where ix and t2 are the corresponding layer thicknesses.
The change in μΗ with measurement temperature for polycrystalline
and amorphous semiconductor layers (e.g. Sn02) is usually given by the
relations:
μ = ΑΤ-1'2 exp {-EJkT) (10.51)
and
μ = μ0 exp (-EJkT) (10.52)
1/2
From plots of μΤ or μ as a function of T the barrier heights to current
flow Ex and E2, respectively, are determined.
The Hall mobility is considered to be one of the best structural quality
factors, thus helping in the optimization of the preparative procedures of
many CVD semiconducting layers (e.g. heteroepitaxial silicon, GaAs^P^.,
polycrystalline Si, InP, AlAs, amorphous Si, etc.). It can also serve as evi-
dence for the purity of the above mentioned layers. The Hall mobilities for
several semiconducting films are included in Table 10.2.
266
P R O P E R T I E S OF CVD FILMS
267
FUNDAMENTALS
F M = - ^ - (10.55)
This section reviews three principal chemical properties of CVD films and
their substrates which play a central role in the fabrication of semiconductor
devices, i.e. etching, diffusion and oxidation.
Etching means erosion of selective portions of a film or substrate surface
using various corrosive agents in order to produce the desired pattern on
the surface. Depending on the type of corrosive agent (reactive chemicals,
non-reactive ions, or both), the etching may be classified into three main
categories: chemical etching (subdivided into wet and gaseous chemical etching
and plasma etching); physical etching (sputter and ion etching); and chemi-
cal-physical etching (reactive ion and sputter etching). Recent alternative
etching techniques (such as laser chemical and electrochemical etching and
laser-assisted dry etching) also fall into the chemical etching category. Another
268
P R O P E R T I E S OF CVD FILMS
classification divides etching into wet etching (solution etching, laser chemical
etching and electrochemical etching) and dry etching (plasma, reactive ion
sputter, ion, and laser etching).
Diffusion is the process of introducing selected impurity atoms into
designated areas of a semiconductor substrate or film in order to modify
the electrical properties of that area. This process can be considered as essen-
tially a chemical process because, independently of the conventional impu-
rity source type, it involves the reduction of the oxide of a dopant by the
semiconductor substrate of film at the oxide-semiconductor interface.
An alternative process to diffusion called ion implantation (the technique
of implanting ions into the lattice of a semiconductor substrate of film by
bombarding the surface with ions, under controlled conditions) will shortly be
discussed for comparison.
Oxidation is the chemical reaction in which a thin portion of the surface
of a film or substrate is converted to oxide. Oxides (such as silicon dioxide)
are the most widely used insulating, masking and/or passivating materials
in the construction of electronic devices fabricated in silicon or other semi-
conductors. This process may be performed mainly chemically (thermal oxida-
tion) or electrochemically (solution and gaseous anodization).
269
FUNDAMENTALS
by Kern and Deckert [1303]. Chemical etching used in the structural charac-
terization of materials has already been reviewed by Runyan [1309], Miller
and Rozgonyi [800], and Sharma and Purohit [3519].
Accordingly, the aim of this section is to give only a brief outline of
chemical etching, including some recent developments.
The principles, techniques and processes of etching will be presented
first. Secondly, pattern delineation etching for thin films will be covered.
Thirdly, the chemical etching of various semiconducting, insulating, and
conducting thin films and substrates will be examined in some detail [1329—
1624].
270
P R O P E R T I E S OF CVD FILMS
271
FUNDAMENTALS
272
P R O P E R T I E S OF CVD FILMS
in which * surface and * step denote sites at the surface and steps, respectively.
The expression found for the etch rate of a smooth or a pitted surface
shows a PHci/i)H2 dependence which is confirmed experimentally.
273
FUNDAMENTALS
There are two main etching techniques, namely immersion etching and spray
etching [1303] . In immersion etching, the unmasked or masked material is
sunk in the agitated etch solution which is maintained at the required reaction
temperature, while in the spray etching it is acted on by droplets of etch
solution.
The first technique is the simpler and the most widely used. The second
technique may be used to advantage for pattern delineation in thicker films
or substrates owing to its increased etch rate, uniformity, and directionality.
An immersion etch set-up (Fig. 10.19) consists of a quartz or polyethylene
etched bath containing the etchant solution, a sample support, an external
heater, a (magnetic) stirrer, a thermometer or thermocouple, a reflux column,
and lastly an automatic apparatus for sample movement in and out of the
etch solution [1370].
Spray etching machines can be automated and are commercially available
for many specific applications.
274
P R O P E R T I E S O F CVD F I L M S
275
FUNDAMENTALS
276
P R O P E R T I E S OF CVD FILMS
277
FUNDAMENTALS
ύ—$-
4
il rl
— ■
ZJΛ -
2 '
Laser assisted electrochemical reactions have been employed for lo-
calized high resolution etching at semiconductor-electrolyte interfaces.
Generally, localized etching has been accomplished by guiding a focused
laser beam in a predefined pattern along the electrode surface. The anodic
dissolution occurs preferentially in illuminated portions of the sample (usually
an n-type semiconductor). In the case of n + GaAs, the etching solution is
10% aqueous KOH. The etching process occurs at low or high rate (0.003—
10 μιη/s) depending on the laser power density and the etchant type.
Laser-electrochemical etching is used for the fabrication of microetched
structures (e.g. submicron gratings and optical lenses) involved in many
electronic and optical devices.
278
PROPERTIES OF CVD FILMS
tensile stresses developed in the mask would result in large-area failure; and
good resolving power (e.g. 1—3 μηι line width or spacing for positive and
negative photoresists—better for metal and dielectric mask, and excellent for
electron beam and X-ray resists).
10.8.1.8 Chemical Etching of Specific CVD Thin Film and Substrate Materials
This section will briefly deal with the chemical etching of thin film and sub-
strate materials of interest in CVD. The materials are conveniently grouped
as semiconductors, insulators, conductors, garnets, ferrites and superconductors.
A summary of etchants for CVD thin film materials and substrates is
presented in Table 10.3.
279
to TABLE 10.3
CO
o Chemical Etching of CVD Materials and Substrates [45, 800, 1303, 1309, 1316, 1318, 1599, 3519]
0 1 2 3 5 6 7 8 9
A . Semiconductors
SILICON
1 n ( l l l ) , (100), I HF-HN03-H20 25-50°C G E = 4-20 [1334,
(110) Si (or CHgCOOH) kcal/mole 1335]
2 n, p (111) Si I HF-HNO3 30°C 0.25-50 G [1336]
μηι/min
3 n, p-Si I 15HN03-5dH3COOH-2HF G [1303]
(planar etch)
4 Si I 110 ml C H , C O O H - 1 0 0 ml G [1337]
H N O g - 5 0 ml H F - 3 g I2
(iodine etch)
5 Si I 3OHNO3 - 2 0 H F - l N a 2 H P 0 4 G [1338]
(2%)
6 n(lll)Si I HF 0.30 Ä/min F [1339]
7 n(lll)Si I 4H20-1HF 0.47 Ä/min F [1339]
8 n(lll)Si I 96 m l H 2 0 - 2ml H F - 4 . 3 g N a F 0.78 Ä/mln F [1339]
9 Si I H N 0 3 - H 2 S 0 4 a t 100°C, C [45]
followed b y 5 % H F
10 Si I HNO3-CH3COOH-HF with C [45]
a n d without I 2
11 n, p-Si I 15HN03-5HF~3CH3COOH 0.5 μπι/s Ρ,Τ,Ι [1340]
12 Si I 30HNO,-25CH3COOH - P [1338]
- 2 0 H F - l N a 2 H P O 4 (2%)
13 Si I 9 H N 0 3 - 1 H F (White etch) 15 s P [1305]
14 Si 5HN03-3HF-3CH3COOH 2 — 3 min P [1341]
I
15 (111), (100)Si 5HNO,-3HF-3CH3COOH- 2 — 3 min P [1341]
- 0 . 0 6 B r 2 (CP-4) 25 μπι/min
I
16 Si 10 ml H 2 0 2 ( 3 3 % ) - 3 . 7 g N H 4 F 1 μπι/14 min P [1342]
17 Si 900 ml H N 0 3 - 9 5 ml H F - 15 μm/min T [1343]
I - 5 ml C H 3 C O O H - 1 4 g NaClO
18 Si 10HNO3-lHF 12 — 15 μηι/min T [1344]
I
19 Si 5HN03-3HF-3CH3COOH 25 μm/min T [1345]
I
20 Si 17 ml ethylenediamine —8 ml 110°C 0.8 μιη/min T [1346]
I H 2 0 —3 g pyrocatechol
21 Si I 95HN03-5 HF T [1347]
22 Si 9HN03-1HF T jet technique [826]
I wafer thinning
for TEM
23 Si I NaOH (4 %) - Na C lO (40 %) 80°C T float wafer [1348]
specimen; wa-
fer thinning
for TEM
24 epi-Si I 95 ml H N 0 3 - 5 ml H F - ~4 μm/min S,L [1349]
- l g NaN02
25 epi-Si I 100HNO3-40 H 2 0 - 6 H F ~ 0 . 5 μιη/min S,L [1349]
26 Si I 1000 ml H 2 O - 1 0 0 g N H 4 F - S [1350]
2 ml H 2 0 2
27 Si I l H F - l C r O 3 ( 5 0 0 g/1) ~ 3.5 μm/min D [1351,
(Sirtl etch) 1352]
28 Si I 2 H F - l K 2 C r 2 0 7 ( 4 4 g/1) ~ 1 . 5 μπι/min D [1353]
(Secco etch)
*g TABLE 10.3 (continued)
0 1 2 1 3 4 5 6 | 7 | 8
47 n, p(100), (110), A 17 ml NH2(C'H2)NH 2 --8 ml 110°C 3 μιη/h (111) S,L E = 11;8 kcal/ [1346
(lll)Si H a O - 3 g C 6 H 4 (OH) 2 30 μm/h (110) mole 1370,
50 μπι/η (100) 1371]
50 (HO)Si A 100g KOH in 100ml H 2 0 100°C 50 μm/6 min S Moat etching [1374]
0 1 1 2 1 3 1 4 1 5 6
1 7 I 8
53 As ion A Ethylenediamine 50°C 0 . 2 5 - 0 . 1 μτηΙ [1377]
implanted Si min S
54 B-doped(100)Si A 17 ml ethylendiamine — 110°C 0.5 μπι/min S,T [1378]
— 3 g pyrocatechol —8ml H 2 0
55 poly-Si film A 250 g K O H - S 0 0 ml H 2 0 - D,0 [1379]
—200 ml isopropylalcohol;
I H F - 50HNO 3 - 80 OH 3 COOH
56 (100), ( l l l ) S i A KOH(2M) [1380]
p-, n-type
57 Si A NaOH(lON) 90°C 2.25; 4.5 h S [1381]
58 (100), ( l l l ) S i , A KOH(2M) [1382,
p-, n-type 1383]
59 ( H I ) , (100) E,S 5% HF P t cathode, G n + Si dissolves [1361,
n epi-Sijn+Si ~ 150 mA/ leaving n 1384,
cm 2 , ~ 6V epi-Si 1385]
60 ( H I ) , (100) E,S 5 wt% HF (2.5N) » P,T n+ and p-Si [1386]
n+Si, p-Si dissolves
anodically
61 n epi-Silp+Si E,S 1HF-1H2S04-5H20 „ T [1387]
62 n+Si E,S KOH (5.5N) 85°C S [1388]
63 n+Si, p+Si S Ethyleneglycol—0.04 N G Anodization [1389]
KNOg-2.5% H 2 0 - followed by
l-2g/lAl(N03)3-9H20 oxide stripping
with 8% H F
64 p(100)Si S 24 w t % K O H - 6 3 w t % H 2 0 - 80°C 0 . 6 - 0 . 9 μιη/ G [1375,
— 13 w t % isopropanol min 1390]
(C B = 1 0 1 4 -
- 1019 cm - 3 )
0 μm/min
(CB= 10 20 cm- 3 )
65 n, n+, p , and S KOH - i s o p r o p a n o l - CH,OH | 80ÖC j 0.8 μηι/ιηίη(ρ + ) ' [1303]
1 1G
p+Si 1 μm/min(p~ J
n+, n~)
0 | 1 | 2 | 3 4 | 5 |. 6 | 7 8
75 n epi-Si G,A HC1 (20 mole%) 1050- 20 am/min S Anisotropie [1401,
- 1250°C etching in 1405]
epitaxy
76 (lll)Si G H B r - H 2 ( l - 6 mole% H B r ) 1050- 1 — 4 μm/min P [1402]
- 1300°C
11 (111), (HO)Si G HI-HF-He-H 2 900°C 0 . 1 - 0 . 5 μιη/ P [1403]
min
78 (lll)Si G C]2-He(0.2%Cl2) 1000- 1 μm/min P [1404]
-1100°C
79 (lll)Si G H2S—H2 1100- 15 μηι/min P [1405]
- 1200°C
80 (lll)Si G H20-H2 1100- 0.07 μm/min P [1405]
—1200°C
81 Si G F 50-220°C 0 . 0 0 8 - 0 . 5 μτη/ E = 8 kcal/ [1408]
2—H2
2 torr min mole
82 a-Si I lHF-5HNO3-40CH3COOH [1412]
GERMANIUM
83 (lll)Ge 5H20-1H202 26°C G [1413]
84 (100), ( l l l ) G e 4H20-1HF-1H202 G,C,D [1414-
(superoxol etch) -1416]
85 Ge 100 ml H 2 O 2 (10 v o l % - 8 g 70°C 5 μηι/min G,C [1305]
NaOH
86 Ge 5NH03-3HF-3CH3COOH- 1.5 — 2 min G,P,C [1417,
-0.06J3r 2 (CP-4) 1418]
87 Ge 5HN03-3HF-3CH3COOH 70°C 2 — 3 min P,C [1305]
(CP-4Ä)
88 Ge 5 ml H F - 1 0 ml H N 0 3 - l l m l 4 min G,P [1337]
C H 3 C O O H - 3 0 mg I 2
(iodine etch)
89 (100), (110), I 50% wt H F - 5 0 wt% H 2 0 2 25°C 1 1 - 4 0 μτη/ G,P [1419]
(Ul)n-Ge min
90 Ge I lNaOCl(10%)-10H 2 O 40°C G,T Slice thinning [1420]
for TEM
91 Ge I 9HF-1HN03; NaOCl-H20 T Slice thinning [826,
for TEM 1348]
92 Ge E 5% H F P [1422]
93 (lll)Ge G H C 1 - H 2 (15% HC1) 825°C P [1423]
94 Ge G HI-H2 900°C P [1424]
95 (HI), (HO), G H2-H20 900°C P [1425,
(100)Ge 1426]
96 epi-Ge I 6 g K O H - 4 g K3Fe(CN)e- 100°C 1 min D [1427]
— 50 ml H 2 0 (ferricyanide etch)
97 epi-Ge I 10 ml H F - 15 ml H N O s - 15 s D [1428]
- 2 5 m l H 2 O - 0 . 2 g AgNO s
98 Ge A 4.5N K O H - 0 . 2 N THFA- 70-90°C 0.65 μιη/min [1429]
- 2 0 ml H 2 0 2
99 Ge A H3P04-H202-H20 [1421]
SILICON CARBIDE
100 SiC KOH (NaOH) 900°C | G,C,D,0 [1430]
0 1 2 3 4 | 5 6 7 8
BORON NITRIDE
108 BN I H 3 P 0 4 or H 2 0 2 (3%) hot G [2793,
2794]
109 G HCi-H2 800°C G,P
BORON PHOSPHIDE
110 BP E 10% NaOH G [1436,
1437]
111 G HC1-H2 800- G E = 15 kcal/ [1438]
-1180°C mole
ALUMINIUM NITRIDE
112 I A1N I I 10% NaOH; H 3 P 0 4 ; hot G [2835]
1H3PQ4-3H2SQ4
ALUMINIUM ARSENIDE
113 | AlAs | I | 2%HC1 G [2849]
ALUMINIUM ANTIMONIDE
114 AlSb I 1HF-1H202-1H20 1 min G,0 [1439]
115 I IHCI-IHNO3 2s G,0 [1439]
GALLIUM NITRIDE
116 GaN I 5 0 % NaOH 90°C G [2863]
117 E,S O . I N NaOH G Electrolytic [1440]
jet etching
(TEM)
118 I H3P04 185°C G [1441,
1442]
119 I NaOH; KOH; K2S208 600-800°C G
GALLIUM PHOSPHIDE
120 GaP I 1 - 2 0 % Br 2 in CH 3 OH 0.25 μηι/min G,P,D [1443]
121 (lll)GaP I 2HC1-1HN03-1H20 hot P [1444]
122 p(lll)Ga A 2HC1-2H20-1HN03 60°C 1—2 min P p (111) surface [1445,
etching 1446]
123 (TlT)GaP A Aqua regia S Groove [1447]
etching
124 p ( l l l ) GaP A 2 H C 1 - 2 H 2 S 0 4 - 2 H 2 0 - 1HN03 50°C P,D p (111) surface [1448]
etching Ga( 111)
face pitting
125 GaP S 3 H 2 S 0 4 - 1 H 2 0 2 - 1H 2 0 60°C 1 μπι/5 min F,C,D [1449,
1450]
126 (lll)GaP I l [ B r 2 - C H 3 O H (sat. soln.)] — 25 or 50°C 1.5 μπι/min P Substrate pre-
- 1H3P04 paration for [1451,
epi growth 1452]
127 (111), (111), I 5 H 2 S 0 4 - 1 H 2 0 2 - 1H 2 0 80°C, 5 min 0. 6 μm/min P » [1449,
(100)GaP 1450]
0 | 1 | 2 | 3 j 4 1 5 6 7 8
0 | 1 I 2 3 4 | 5 6 | 7 | 8
GALLIUM ANTIMONIDE
198 GaSb I 1 - 2 0 % Br 2 in CH 3 OH P [1443]
11STDIUM PHOSPHIE E
204 InP 1 - 1 0 % Br 2 in CH 3 OH P [1443,
1522]
205 1HC1-1HN03 o Etch (lTf)face [1522]
206 0.4 M F e C l 3 - 6 N HCl G,0 Etch pits on [1522]
(ΤΓί), (in)
and (100)faces
207 6 H 2 0 - 6 H N 0 3 - 6H Cl; G [1532]
1H 2 0 2 - 100H 2 SO 4 - 5 H 2 0
0 | 1 | 2 J 3 1 4 1 5 1 6 | 7 | 8
215 InP/InP; A 0.5% Br 2 in CH 3 OH T Cross sectional [1526]
InGaAsP/InP TEM
216 (OOl)InP; A HBr-CH3COOH(H3P04)- 0.1—10 μηι/πιΐη S [1527]
InGaAsP/InP -K2CrgQ7
217 InGaAsP/InP A 2HBr(9N)-2CH3COOH(17N)- 4 min S E t c h a n t for [1528]
-lK2Cr207(lN) laser mirror
definition
218 InGaAsP/InP A HC1; H C 1 - H N O , ; H B r ; S,F,L,D [1529]
B r 2 - C H 3 O H ; H2S04
219 InGaAsP/InP A 0 . 1 - 5 % Br 2 in CH 3 OH S [1530]
220 InGaAsP/InP A 1H 2 S0 4 - 1H 2 0 2 - 10H 2 O 25°C 75-1000Ä/min S [1531]
Ä(InP) = 0
221 (100), ( l l l ) I n P G PC1 3 - H 2 P [1533]
222 G PH3 - H C 1 P [1534]
223 G E t h y l e n e dibromide (EDB) 650°C P [1535,
1536]
INDIUM A R S E N I D E
224 InAs 1 - 2 0 % Br 2 in CH 3 OH 1 P I [1443]
225 CP-4 G [1537]
226 75HN03-15HF-15CH3COOH- 55°C 0,D E t c h (111) face [1537]
-0.06Br2
227 HC1 75°C G [1517],
1538]
228 0.4 M Fe3+ - 6 N HC1 G,D,0 [1440]
229 99.6 ml C H 3 C O O H - 0 . 4 Br 2 P [1309]
230_ 3HN03-1HF-2H20 1 — 3 min J [1539]
INDIUM ANTIMONIDE
231 InSb I I2-CH3OH P [1443]
ALUMINIUM GALLIUM A R S E N I D E
241 I AlGaAs I I I NaOH-HoO« [1544]
ZINC O X I D E
242 ZnO I Mineral acids or alkalis G [1303]
or NH 4 C1 solutions
ZINC S U L P H I D E
244 ZnS I 16 N H 2 S O 4 - 0 . 5 M K 2 C r 2 0 7 95°C 5— 10 min P,D,0 [1545]
0 | 1 | 2 3 6 8
4 1 5 7 I
ZINC SELENIDE
247 | ZnSe | II | 0.5% Br 2 in CH 3 OH 45 min 1G [1546]
Z INC TELLURIDE
248 ZnTe I 2H202-3HF-1H20; 1 3 min 1 0,D Differentiation
110°C
30% NaOH between (111) [1545]
and (111) faces [154η
250 I [1545]
4HF-3HNOs G,P
C:ADMIUM SULPHI DE
251 CdS I 6 H N 0 3 - 6 C H 3 C O O H - 1H 2 0 D,0 Distinction [1545]
between A and
B faces
CADMIUM TELLURIDE
260 CdTe I 3HF-2H202-1H20 3.4 μιη/min P.D.O [1545]
261 I 10 ml HNO3-2O ml H 2 0 - 4.2 μm/min D,P [1553]
- 4 g K 2 Cr 2 0 7
262 I 2HN03-2HC1-1H20 12.5 μπι/min P [1553]
263 I 5OHNO3- IOCH3COOH- 1 H C 1 - 8.0 μπι/min P [1554]
- 18H 2 S0 4
264 I 0.5% Br 2 in CH 3 OH 2.8 μΐΏ/πύη G [1546]
265 I 5% Br 2 in C H 3 O H ~ 5 m g AgNO s 2.2 μm/min DJ [1553]
266 [1554,
50% NaOH 1.6 μm/min P
1555]
MERCURY SELENIDE
267 1 HgSe I I 50HNO 3 -20H 2 SO 4 (18 N ) - 40°C 10 min P [1545]
-10CH 3 COOH-HC1
MERCURY TELLURIDE
268 I HgTe I I 6HN03-1HC1-1H20 10 min P [1545]
LEAD SULPHIDE
272 PbS I Bra-CH3OH C [45]
CO 273 I [1558]
3 0 H C 1 - 1 0 H N O S - lCH 3 COOH 50°C P
o
Co TABLE 10.3 (continued)
O
0 1 2 3 4 5 6 7 8
LEAD SELENIDE
278 PbSe E 5KOH(45%)-5 ethyleneglycol-
- lH 2 Oo T [1561]
279 I 5KOH ( 4 5 % ) - 5 e t h y l e n e g y l c o l - ' 40°C 3 min P [1314]
- ΙΗοΟο
LEAD TELLURIDE
280 PbTe E 45 ml H 2 0 —35 ml glycerol — Τ,Ρ [1562,
- 2 0 ml C 2 H 5 O H - 2 0 g KOH 1563]
281 I 10 ml H 2 0 - 5 g N a O H - 2 g I 2 5 min D [3519]
0 1 2 3 4 5 6 7 8
315 SIPOS (20 at%) L 6 H 2 0 2 - 1HF - 10NH 4 F (40 %) 2000 A/min L [3744]
0 1 2 3 4 5 6 7 8
ANTIMONY
329 Sb L a#wa regia; hot H 2 S 0 4 ; L [1303]
5% A g N 0 3
330 L 1 g F e C l 3 - 3 ml H C l - 1 2 ml H 2 0 L [1303]
331 L 1H20-1HC1-1HN03 L [1599]
332 L 90H 2 O - 1HF - lOHNOg L [1599]
ARSENIC
333 | As L HNO q L [1303]
BERILLIUM
334 I Be L HC1 L [1603]
BISMUTH
335 I Bi L hot H 2 S 0 4 ; 5% A g N 0 3 ; L [1303,
1 0 H 2Q - 1 H C 1 1599]
CADMIUM
336 I Cd L 3 H N 0 3 - 1 H 2 0 ; l g I 2 - 3 g KI- L [1313,
- 1 0 ml HoO 1303]
CHROMIUM
337 Cr L 3HC1-1H202 L [1599]
COBALT
344 Co E H3P04 P [1313]
345 L 1H20-1HN03 L [1599]
346 L 3HC1-1H202 L [1599]
COPPER
347 Cu L FeCl 3 soln. 50° C 50 μηι/min L [1307,
1312]
GOLD
351 Au L 4 g Κ Ι - l g I 2 - 4 0 m l HaO 0.5—1 μπι/min L [1307,
1312]
352 L 3HC1-1HN03 32°C 25 — 50 μm/min L [1312]
0 1 2 3 4 5 6 7 8
IRIDIUM
356 | Ir 1 L | 3HC1-1HNQ 3 [hot j | L | | [1599]
IRON
357 | Fe | L | 1H 2 Q-1HC1; 1 H 2 Q - 1 H N Q 3 | j | L | | [1599]
LEAD
358 1 Pb j L | lCH3COOH-lH2Q2 j j | L | | [1599]
MAGNESIUM
359 Mg L FeCl3-HCl-H20; HN03-H20 L [1303]
360 L (10H2O-lNaOH) + ( 5 H 2 0 - hot L [1599]
-lCr03)
MANGANESE
361 | Mn 1 L | 1HC1-1H 2 Q j j | L | | [1303]
MOLIBDENUM
362 Mo L 5H3P04 - 3HN03 - 2H20 L [1601,]
363 L 1 H 2 S 0 4 - I H N O 3 - 1H 2 0 50°C 25 μm/min L [1312,
1604]
364 L, E 200 g K 3 F e ( C N ) 6 - 2 0 g N a O H - 1 μπι/min L [1307.
— 3 g sodium oxalate— 11 H 2 0 1312.
4526]
365 L 38H3P04-15HN03- 0.5 μm/min S [1313]
-30CH3COOH-75H2O
366 E 1H2S04-7CH30H 1 min P [1313]
367 E 100 ml H 3 P O 4 - 2 0 ml H 2 S 0 4 - 9.4 μπι/min P [1290]
70°C
- 4 0 ml H 2 O - 0 . 2 5 g Mo0 3
NIOBIUM
373 I Nb E 1 H F - 1 H N 0 3 ; 9 H 2 S 0 4 - IHF 50°C 5—10 min L [1313]
OSMIUM
374 | Os L aqua regt a L [1303]
PALLADIUM
375 Pd L aqua regia hot L [1599]
RHENIUM
380 Re L Dilute HNO a hot L [1303]
RHODIUM
382 Rh E 3 M HC1 L [1609]
CO
o 383 L aqua regt a I hot L [1599]
CO TABLE 10.3 (continued)
0 1 | 2 3 4 5 | 6 7 | 8
RUTHENIUM
384 Ru M fused alkalis L [1303]
385 L a^wa regia L [1599]
SILVER
386 Ag L 1NH40H-1H202 L [1599]
387 L 11 g F e ( N 0 3 ) 3 - 9 m l H 2 0 50°C 20 μηι/min S [1307.
1312]
388 L 5HN03-5H20 50°C 25 μηι/min L [1307,
1312]
TANTALUM
392 Ta L 9NaOH or KOH ( 3 0 % ) - l H 2 O 2 2000 A/min S [1611,
1612]
TUNGSTEN
402 W L 34 g K H o P 0 4 - 13 g KOH -
- 3 3 g K 3 F e ( C N ) 6 - l 1 H.O 1.600 Ä/mi n L [4510]
403 E 5 % K O H - 5 % K 3 Fe(CN)„ 2.3 μιη/πιίη L [4506]
404 E 5 - 1 0 % NaOH L [1313]
405 L (NH4)2S208-KOH-H20 L [4507]
VANADIUM
408 1 V L lHaO-lHNOs L | [1599]
409 | L 1HF-1HN03 L [1599]
ZIRCONIUM
410 Zr L 50H2O-lHF-lHNO3 L [1599]
1
411 L 20H2O-lHF-lH2O2 L 1 [1599]
N I C K E L - CHROMIUM
412 Ni-Cr L FeClg (soln.) 43°C S [1303]
413 L 1HN03-1HC1-3H20 S [1303]
CO
o 414 U 4HC1-1H20 L [1303]
Co TABLE 10.3 (continued)
0 1 z 3 1 4 5 6 7 8
415 E 7 H 3 P 0 4 - 1H 2 S0 4 - 2 H 2 0 P [1303]
PERMALLOY
416 I Ni-Fe L 4 M H2SQ4-1 M H2Q2-4 M HF 4 μηι/min L [1619]
TIN OXIDE
418 SnO a E (3-10)H2O-lHCl 1600 A/min S [1614]
INDIUM - T I N O X I D E (ITO)
421 In203:Sn H2S04 60°C 0.5μηι/Η S [1616]
TUNGSTEN OXIDE
428 I WOa I 20% Na202 hot | [1303]
LEAD OXIDE
429 I PbO HNO- [1303]
F . Superconductors
NIOBIUM S T A N N I D E
430 I Nb,Sn I E 5 H 2 S 0 4 - 4 H N 0 3 - 1HF P [1303]
NIOBtUM GERMANIDE
431 I Nb,Ge I L 90H2SO4-10HF L [4763,
4772]
G. Magnetic Oxides
432 Garnets L H3P04 150-180°C 0 . 0 5 - 0 . 9 μπι/ G,C [1621,
min 1623]
CO
FUNDAMENTALS
A. Simple oxides
(a) Si02 is primarily etched in HF with or without the addition of NH 4 F,
according to the overall reactions:
Si0 2 + 6HF == H 2 SiF 6 + 2H 2 0 (10.89)
Si0 2 + 4HF + 2NH 4 F = (NH4)2 SiFe + 2H 2 0 (10.90)
Also, Si0 2 is soluble in P etch (2 vol. HN0 3 70%, 3 vol. HF 40%, 60
vol. H 2 0) and slightly soluble in hot H 3 P0 4 and hot KOH or NaOH solu-
tions.
(b) Al203. Films of A1203 prepared by CVD below 500°C are etchable in
HF, BHF, waim H 3 P0 4 , and etchants based on H 3 P0 4 . Thermal densification
at 700—800°C gives crystalline modifications that exhibit much lower etch
rates. A1203 films deposited at 900— 1000°C are unetchable even in concen-
312
P R O P E R T I E S OF CVD FILMS
313
FUNDAMENTALS
314
P R O P E R T I E S OF CVD FILMS
TABLE 10.4
Laser
PE IE RIE IDE RIBE
etching
1667]. This technique has the widest range of application being advantageous
for definition and transfer [1826] of patterns required in the majority of
semiconducting, insulating, and metallic thin film materials used in silicon
integrated circuit technology. It offers improved resolution ( < 1 μπι), excel-
lent selectivity and reproducibility, negligible undercutting, the possibility
of anisotropic etching under certain conditions, controlled step etching,
low cost, high yield, and the possibility of automatic endpoint detection.
Another major advantage is that of being a dry process, so that many pro-
blems encountered in liquid etching are obviated, namely: loss of resist adhe-
sion, etch blocking due to bubble formation, incomplete wetting, undesired
etching after completion of the process, disposal of corrosive and toxic
liquids, etc. Some of the limitations of this technique are as follows: insuf-
ficient selectivity for some important film-substrate combinations, insufficient
etching uniformity caused by the loading effect (i.e. the dependence of the
etch rate on the quantity of material being etched), inapplicability at very
high packing densities, and the introduction of radiation damage into devices.
315
FUNDAMENTALS
Acti-
vation
Etch rate Aniso-
No Material Gaseous etchant Selectivity ener- Reference
(μηι/min) tropy
gy(eV/
mole)
1 2 3 4 5 6 7 8
316
P R O P E R T I E S OF CVD F I L M S
J_l 2 1 3 1 4 1 5 6 | 7 1 8
317
FUNDAMENTALS
ll 2 | 3 4 \ 5 \ 6 | 7 | 8
Γ2
4 Si02 | no oo over III-V 0.163 [1663]
compounds
' CF4-02 no oo over III-V [1736]
compounds
C2Fe-02, no oo over III—V [1663]
C3F8-02 compounds
SF6-02 0.016 no 1:30 over Si [1736]
318
P R O P E R T I E S O F CVD F I L M S
1 | 2 | 3 4 1 5 | 6 1 7 | 8
C 2 F 6 —0 2 , C 3 F 8 —C 2 no [1663]
SF e , S F 6 - 0 2 0.1 no high over [1736]
Si0 2 , metals
SiF4-02 0.1 no 7.5:1 over Si [1738]
100:1 over S i o J
NF 8 no [1663]
CC14 0.1 yes 10:1 over S i 0 2 [1785]
C 2 F e , C 3 F 8 , CF4 0.12-0.6 yes 9 - 1 5 : 1 over [1755]
Si 1
CH 3 F 0.02 yes 7:1 over Si [166η
CF4-02-CF3Br 0.025 yes 10:1 over S i 0 2 [1762]
31»
FUNDAMENTALS
1 | 2 3 1 4 5 6 7 | 8
320
P R O P E R T I E S OF CVD FILMS
1 | 2 | 3 4 5 6 7 8
321
FUNDAMENTALS
Gas \
Gas 6 7
[0
Fig. 10.21 Diagrams of plasma etching reactors (after Marcoux
[1644], Copyright (0) 1982 Hewlett-Packard Company; repro-
duced with permission):
a — side view of barrel reactor: 1 — quartz chamber; 2 —quartz
b o a t ; 3 — wafers; 4 — R F supply; 5 — etch gas inlet; 6 — to
vacuum p u m p ; 7 — R F plasma;
b — side view of paralel plate (radial-flow) reactor: 1 — p y r e x
cylinder; 2 — upper electrode; 3 — lower grounded electrode
(anode) and wafer p l a t e n ; 4 — wafers; 5 — R F supply; 6 —etch
gas inlet; 7 — to vacuum p u m p ; 8 — R F plasma (discharge
region).
322
P R O P E R T I E S OF CVD FILMS
two parallel plates, the wafers being placed horizontally on the lower grounded
electrode. The tube-type reactor has the advantage of higher loading capacity,
while the barrel-type reactor offers a better etching uniformity and the
possibility of heating and cooling the wafers.
Other approaches used to increase the etch rate include single wafer
[1691 — 1693], magnetic-confinement (magnetron) [1693—1695], and multi-
chamber [1696] plasma etching.
The microwave-excited reactor has also been introduced for some ap-
plications [1697-1699].
323
FUNDAMENTALS
In sputter etching [1833—1840], the inert gas ions extracted from the glow dis-
charge in which the wafers are immersed bombard the surface and sputter
away the surface atoms via momentum transfer. The etching is anisotropic
because the motion of ions follows electric field lines that are perpendicular
to the substrate. The etch selectivity is generally poor because of the similar
sputter yields for materials used in integrated circuit fabrication. In addition,
the etch rates are small and there is often redeposition of the sputtered ma-
terial along the sidewalls of the pattern being etched. In spite of these disad-
vantages, this technology is useful for high resolution patterning of a wide
range of materials such as insulators, semiconductors, and conductors [1841
-1845] (Table 10.6).
TABLE 10.6
Argon Sputter Etching Rates for Various CVD Materials [1651, 1833, 1834, 1836, 2056]
324
P R O P E R T I E S OF CVD FILMS
/ JT'i
Gas 6
1
7
I
R F sputter ion etching equipment is similar to that for R F sputtering
deposition, except for the lack of substrate — the target being the material
to be etched. In a typical sputter-etching diode arrangement, the substrate
to be etched is placed on the cathode of the parallel-plate electrode structure
(Fig. 10.22). An improved version of IE—magnetic-confinement ion etching
(MIE) — uses a magnetic field to enhance the plasma density and therefore
also etch rate.
In ion-beam etching (also called ion milling), the (Ar) inert gas ions are ex-
tracted from an ion source, accelerated and deionized before interacting with
the surface being milled [1904-1912]. The major advantages of ion-beam
milling include the possibility of submicron fine line patterning, high ani-
sotropy, control of wall taper, non-critical mask adhesion, a plasma-free
substrate environment, and high reproducibility. Similarly to R F sputter
etching, the etch selectivity is poor, etch rates are relatively low, and redepo-
325
FUNDAMENTALS
TABLE 10.7
Summary of Reactive Ion Etching of CVD Materials [1651, 1810, 1852]
1 2 3 * 5 6
326
P R O P E R T I E S OF CVO FILMS
1 I 2 | 3 | 4 | 5 | 6
14 Nb CF4 [1818]
327
FUNDAMENTALS
1 2 3 4 5 6
328
PROPERTIES OF CVD FILMS
Etch rates for various materials [1913—1923] are given in Table 10.&.
The main factors controlling ion etch rates are the impinging ion density,
the composition of the substrate and the ion beam, the ion acceleration vol-
tage (ion energy), and the angle of incidence of the beam onto the substrate.
TABLE 10.8
Argon Ion-Beam Etching Rates for Selected CVD Materials [1651, 1905, 1905]
32*
FUNDAMENTALS
TABLE 10.9
Reactive Ion-Beam Etching (Reactive Ion Milling) of Some CVD Materials
330
P R O P E R T I E S O F CVD F I L M S
Recently, several attempts have been made to use beams of photons (or
electrons) combined with suitable gases to etch thin film and substrate ma-
terials [1940—1943]. The motivation was to provide an alternative to plasma
and reactive ion etching, because these methods have a large number of
drawbacks, such as insufficient selectivity and radiation damage. Laser
radiation has been found useful to induce or enhance localized dry-chemical
etching of various materials [1944—1956]. Laser-assisted dry etching occurs
by means of a mechanism involving either a photochemical reaction or hea-
ting the sample by the intense light. Some example of laser-assisted dry etching
are the following: IR photon excitation of SF 6 leads to a vibrationally ex-
cited SF 6 * molecule which reacts rapidly with a moderately heated silicon
surface; IR photon dissociation of CF3Br and CF3C12 causes rapid etching
of Si0 2 and Si3N4; products of the UV laser photolysis of CH3C1 and CH3Br
rapidly etch III—V compounds.
Table 10.10 provides a summary of the laser-assisted dry etching of
electronic materials.
TABLE 10.10
Mechanism
Laser Etchant Etch rate
No. Material Photo- Ther- References
che- source gas (μηι/min)
mal
mical
1 2 3 4 5 6 7 8
331
FUNDAMENTALS
1 1 2 3 4 5 6 7 8
(b) Laser-assisted PE
1 Si * Ar+ CF4-02 0.5 [1813, 1828}
* Ar+ Kr+ NF3 0.72 [1813]
2 Poly-Si * Excimer(KrF) Cl 2 0.08 [1831]
3 GaAs * Ar+ HCl-He 0.02-0.03 [1829, 1830]
4 Epi- * Ar+ HCl-He G.01 [1830]
-GaAsP
5 oc-Al203 * Excimer(KrF, SiH 4 0.035 [1832]
(sapphire) XeF, XeCl)
6 CVD- * Excimer(KrF, SiH 4 0.05 [1832]
-SiO a X e F , XeCl)
(c) Laser-assisted R I E
1 I GaAs I I * I Ar+ I CC14-H2 110 I [1902]
10.8.4 Diffusion
Doping of semiconductor substrates and films is one of the most important
processes in semiconductor technology. The doping process refers to the
addition of donor or acceptor impurities (dopants) to a semiconductor in
order to achieve a desired n- or p-type conductivity. For silicon, doping implies
the incorporation on substitutional lattice positions of elements from the
third and fifth column of the periodic table whose covalent radii are close
to that of silicon.
For the doping process various techniques are available [I960]. The doping
may be performed primarily by means of thermal diffusion in which case
332
P R O P E R T I E S OF CVD FILMS
semiconductor substrate slices or films are heated with the doping source
[1957—1959]. Other techniques include ion implantation [2044—2046], laser-
induced diffusion [2066—2077], doping during the CVD process [1961], and
neutron transmutation [1962, 1963]. I n this section, thermal impurity dif-
fusion, ion implantation and laser diffusion as related to CVD will be dis-
cussed in detail. Impurity diffusion in CVD thin films and substrates can
be perfomed b y using two general procedures, namely from solid, liquid,
or gaseous sources and from doped CVD films. I n addition, some CVD films
serve as diffusion mask in many diffusion processes in which thermal Si0 2
is not effective. Various theoretical and practical aspects of the diffusion
of impurities in silicon have been discussed previously in several books and
reviews [1957-1959, 4880].
333
co TABLE 10.11
CO
Main Open-Tube Diffusion Techniques Using Solid, Liquid, or Gaseous Sources [1957, 1964]
Dopant concen-
Source tempe- Chemical reaction
No. Source Carrier gas trations obtained References
rature (°C)
C0(at/cnr>)
and the grooving and staining [1994] techniques and the average resistivity
ps (also named sheet resistivity) which is determined by using a four-point
probe technique. The surface concentrations can be estimated from the
sheet resistance and junction depth measurements by using Irvin's curves
[1995-1997].
In most cases, the impurity diffusion is achieved selectively by using
a diffusion mask, typically thermal Si0 2 [1998, 1999]. Other useful masks
are CVD films of Si3N4 [2000-2002], A1203, Si0 2 , PSG [2003], Si0 2 -Si 3 N 4
[2004] and Mo. Si3N4 masks not only the same diffusants as Si0 2 (B, P, As,
Sb), but also many diffusants where silicon dioxide fails (Ga). Also, Si3N4
and PSG are often used as masks for Sn and Zn impurity diffusion in III — V
compounds such as GaAs which do not have a stable thermal oxide. Μσ
films act as partial masks for B diffusion from B-doped glasses.
Thermal diffusion in a CVD film (e.g. epi-Si [2005, 2006], SOS [2007],
and poly-Si [2008—2010]) is primarily determined by its structure. For exam-
ple, dopant impurities diffuse into poly-Si films much more rapidly than,
into single crystal Si films, probable because of diffusion down grain boun-
daries in the films. Moreover, the diffusivity of dopant atoms is maximized
by forming needle-like grains with their long axis parallel to the motion of
the diffusing atoms.
333
FUNDAMENTALS
The use of doped thin films (especially LTCVD doped oxides) as diffusion
sources in the processing of semiconductor substrates and films offers several
advantages over the standard high temperature gas-phase diffusion: better
uniformity and reproducibility, easy achievement of varying surface concen-
trations and diffusion profiles, minimization of diffusion-related defects, the
ability to do simultaneous complementary diffusion, and better protection
of the semiconductor surface from contamination [2011—2013].
The concentration profile in a semiconductor, C2 (x, t), is given by the
relation:
where
CjDjD2
V
1 + 4DJD\m '
and Cs is the surface concentration in the semiconductor, C0 is the initial
concentration of dopant in the oxide, Dx and D2 are the diffusion coefficients
of the dopant in the oxide and in the semiconductor, respectively, and m
is the segregation coefficient (the ratio of the concentration of dopant in
the semiconductor and the concentration in the oxide at the surface).
It has been shown that the junction depth and the sheet conductivity
(the reciprocal of sheet resistivity) increase as the square root of the diffusion
time.
Diffusion from doped HT [2014], anodic [2015, 2016], spin-coated [2017,
2018], and LT [2019—2036] oxide films is widely used for silicon (BSG [2019-
2021], PSG [2019-2023], SbSG [2027], and AsSG [2019,2024-2026]
sources); germanium [2028]; and gallium arsenide (zinc-[2029, 2030, 2032]
and tin-[2031—2036] doped sources). Other doped thin films, such as B-[2037
—2040], P- [2040], As- [2039], or Al-[2041] doped polysilicon and B-doped
£_/>i-Si[2042] can also be used as a diffusion source.
The diffusion characteristics of CVD films from doped oxides (e.g. poly-
Si) have been found to be dependent on film deposition parameters such as
deposition rate, thickness, temperature and substrate material. Some CVD
films (e.g. ZnO [2043]) can serve undoped as diffusion materials.
A new method of doping silicon and GaAs substrates and CVD films such as
polycrystalline or amorphous films is ion implantation [2044-2065]. Compared
with diffusion, this method is superior in many ways. It offers room tempera-
ture operation, a uniform and reproducible dopant distribution, a wider
range of impurities and masking materials, the elimination of localized defects
resulting from chemical reaction between the dopant and the mask, and the
336
PROPERTIES OF CVD FILMS
337
FUNDAMENTALS
10.8.5 Oxidation
333
PROPERTIES OF CVD FILMS
339
FUNDAMENTALS
340
P R O P E R T I E S OF CVD FILMS
11.1 Introduction
CVD equipment contains three main functional elements: a system for genera-
ting and introducing into the reaction zone of controlled amounts of reactive
vapours (the feed system), a system for accommodating the substrates to
be coated and for supplying activation energy to the reaction which leads
to the formation of the film (the reactor), and a system for the removal
and disposal of the reaction by-products (the exhaust system).
An essential part of any CVD equipment is the reactor, which will be
described later. There is a great variety of reactor systems [2252, 2253],
depending on several factors such as the manner of reactant supply and
by-product exhaust (closed or open systems), the energy source for the
reaction (thermally- [2254-2272], plasma- [2274-2277], UV radiation- [2278],
laser-or electron-beam promoted reactors), the operating pressure (atmos-
pheric [2254—2272] or low [1689, 2273—2277] pressure reactors), the tempe-
345
TECHNIQUES
Reactor
Wafer Reactor configu- Substrate Wall
Reactor Designa- Referen-
processing chamber ration heating tempera-
system tion ces
type type (gas flow method ture
direction)
1 2 3 4 5 6 7 8
reactor jar
346
EQUIPMENT AND SUBSTRATES
347
TECHNIQUES
1 2
HLin Γ Τ Τ Π
(a)
72
ΓΤΤ-2 6 ft. SwfV; I.
3
, ΑΤΛΤΤΙΠΜΙΙΙΙΙ t
\rm ψ* vrn ΓΓΛ 1771 171 ^
c ^
(C) (e)
Fig. 11.2 Five basic types of LTCVD reactors used, for example, for preparing undeped or
doped S i 0 2 layers (from Kern [2263]; reproduced by permission of SOLID STATE TECHNO-
LOGY)
a — horizontal tube inductively-or resistively-heated reactor;
b — vertical rotary reactor;
c — continuous reactor with slotted disperser plate (e.g. AMS 2000 Continuous Silox Reactor,
manufactured by Applied Materials, Inc.);
d — continuous reactor with laminar flow nozzle (e.g. Model 2000 Vapour Deposition System,
manufactured by Pacific Western Systems, Inc.);
e — continuous reactor with gas injector consisting of laminar-flow ports (e.g. Model 6 CVD
(Si0 2 -PSG)-88 Furnace, manufactured by Watkins-Johnson Co.):
1 — nitrogen-diluted oxygen stream; 2 — nitrogen-diluted hydride (SiH 4 , P H 3 , B 2 H 6 , etc.)
stream; 3 — substrate wTafers; 4 — resistance heater; 5 — cenveyor system for moving the
substrate wafers; 6 — exhaust gases; 7 — undiluted oxygen stream; 8 — nitrogen stream.
348
E Q U I P M E N T AND S U B S T R A T E S
öas
Fig. 11.3 Schematic representation of HTCVD reactors used, for example, for preparing epi-
taxial silicon layers (from Cullen [3382]; reproduced by permission of Springer Verlag):
a — horizontal plate reactor; b — barrel reactor; c — single-wafer pedestal reactor; d— pan-
cake reactor; 1 — quartz reactor; 2 — cooling m a n t l e ; 3 — R F coil; 4 — substrate; 5 —
graphite susceptor; 6 — pedestal; 7 — exhaust.
349
TECHNIQUES
(a) (b)
Fig. 11.4 Production LPCVD reactors:
a — horizontal reactor: 1 — gases; 2 — gas panel (mass flow controllers); 3 — vitreous silica
reactor tube fitted with load/unload end c a p ; 4 — three-zone furnace; 5 — wafer carrier;
5 _ wafers; 7 — pressure sensor; 8— vacuum break valve; 9 — particulate filter; 10— me-
chanical booster p u m p ; 11 — furnace; 12 — balast valve; 13 — rotary piston mechanical
p u m p ; 14 — pump oil purifier; 15 — exhaust.
b - vertical reactor (after [2269]; reproduced b y permissicn of SILICON VALLEY G R O U P ,
INC.): 1 — gas injectors; 2 — caged wafer b o a t s ; 3 — heaters; 4 — to vacuum p u m p .
Equipment
APCVD
HTCVD LTCVD MOCVD
No. Company R/RF/
R RF IR R H B(C) SP
IR
MC
BJ(V)
BJ(V)
w
BJ(V)
w w w in
B(C)
C(B)
B(C)
RF
RF
Η
«
IR
Η
IR
Η
Η
1 —> »—1
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 "Ϊ7 18
350
EQUIPMENT A N D SUBSTRATES
I Gas 7
δ i ΗΠΠ . JBXUL
ι· · · ! 153^
(b) (c)
F i g . 11.5 Plasma-assisted CVD reactors:
a — laboratory vertical tube inductive reactor; b — production radial-flow planar r e a c t o r
(after Benzing et al. [2266]; reproduced by permission of SOLID STATE TECHNOLOGY) ;
c — production hot tube reactor (after Rosier and Engle [212]; reproduced b y permission o f
SOLID STATE T E C H N O L O G Y ) : 1 — incoming gases; 2 — R F supply; 3 — resistance
heater; 4 — graphite susceptors; 5 — wafer(s); 6 — R F coil; 7 — wafer holder r o t a t i o n ;
8 — vacuum pump system.
Films
LPCVD PECVD SC I M
R |RF IR 1
O1&
BSG, PSG, BPSG
SiQ2>LTO/HTQ
Poly-Si, SiPOS
CO
H
&
Si3N4> SiON
O
UVCVD
> >
LCVD
O
MCSW
to PH
o" d
Epi-Si
>
1—1
to C4
o
WSi2
TiSi2
SnOa
to
VPP
PH CO
MCSW
MCSW
< to
HPP
α-Si
> a. CO to
H £
BJ(V)
B(C)
A
C
O
.en o
Τ
PH
H > > H
CO 1 CO
M H
Η
hi
V
Ό tß
6
n
o CO CO
to 1 PQ *o
19
1 20 21 22 23 24 25 26 27 28 : 2 9 | 3 0 31 | 32 33 | 34 35 36 137 (38 39 40 41 42 43
♦
* * * * * * * * *
1
351
TECHNIQUES
o | i 12 3 4 5 6 7 8 9 10 11 12 | 13 14 15 | 16 17 18
352
EQUIPMENT AND SUBSTRATES
1 * * * * * * *
* * * * 4c * * * *
*
—
—■
* — — — * * — —
* *1 * * * * * * * * * * *
* * * *
*
— i — *
— — * * * * — —
~*~
♦ * *
— — — * * *
*
* * * * * * * *
* * * *
* * * * *
*
* * * * *
* — —
* * * * * *
* *
♦ * * * * *
353
TECHNIQUES
354
E Q U I P M E N T AND S U B S T R A T E S
| 29| 30 | 3 11
19 |20 |21 |22 |23 |24 |25 |26 | 27 28 32 33 34 35 36 | 37 38 | 39 40 41 42 43
* *
* * — * *
* *
* * * —
* * *
* *
* — * * * * *
* * *
* *
— * *
~*~ *
* * * * *
* *
* * * * *
* * * *
* * * * *
* * *
* 1 * * *
355
TECHNIQUES
0 1 1 |2 3 4 | 5 6 7 8 | 9 10 11 12 | 13 14 15 | 16 17 18
56 Sitesa SA Semiconductor *
Technology, Biasca,
Switzerland
61 Tempress, A Unit of * * * *
General Signal, Santa
Clara, CA
356
EQUIPMENT AND SUBSTRATES
119 20 21 22 23 24 25 | 26 | 2728
] 29 30 1311 32 |33 40 41 42 | 43
|34 |35 |36 37 38 | 39 |
* * * * * *
* * *
* * * * * * * * *
* *
*
* * * * * * *
# * # * «
#
* * * * * *
* * * * *
* * *
* * * * * * * * *
—
* * *
* *
* * *
* * *
* * * * * *
* *
* * * * *
* * * * *
* * * 1 * *
11
357
TECHNIQUES
Ü 1 2 3 4 5 6 7 8 9 10 11 12 \3 14 15 16 17 18
358
EQUIPMENT AND SUBSTRATES
43
19 20 21 22 23 24 25 26 27 28 29 30 | 3 1 | 3 2| 33 I 3 4 | 35| 36I 3 7 | 38| 39| 40I 4 1 | 421
* * * *
* * * *
* * * * *
* ♦ * * * *
♦ * * *
359
TECHNIQUES
11.4 Substrates
360
TABLE 11.3
Main Characteristics of Gases and Organometallics Commonly Used in CVD [ 2 2 8 3 - 2 2 8 6 , 2288, 2291, 2595, 3386]
0 1 2 3 4 5 6 7 8 9 10
Gases
1 Hydrogen I H2 | 133 Yes 4-75% Yes Nontoxic No Carrier gas in CVD
(585°C) (Vigorous asphyxiant processes
reaction
with 0 2 ,
air, N 2 0 ,
NO)
2 Nitrogen N2 147 No No No Nontoxic - No Carrier gas in CVD
asphyxiant proceses; CVD of Si 3 N 4
3 Argon Ar 147 No No No Nontoxic No Carrier gas in CVD,
4»
asphyxiant processes
4 Helium He 147 No No No Nontoxic - No Carrier gas in CVD
asphyxiant processes
5 Silane SiH 4 40 (pure); 0.5% Yes Yes 0.5 No CVD of epitaxial, poly-
120 (diluted SiH 4 /H 2 crystalline and amor-
in H 2 , Ar, 4°/ phous silicon; S i 0 2 ;
He, H 2 ) SiH 4 /N 2 Si 3 N 4 ; silicate glasses
(375°C) Doping of I I I — V ma-
terials
6 Dichloro- SiHXL 1.67 Yes Yes No Highly 5 No (dry) CVD of epitaxial and
CO silane (53-63°C) (4-90%) toxic (simi- Yes(wet) polycrystalline silicon,
05 lar to HCl) , Si0 2 , Si 3 N 4
CO TABLE 11.3 (continued)
0 1 2 3 * 1 5 6 7 8 9 10
0 1 2 3 4 5 6 7 8 9 10
28 Ethane C2H6 38.2 - Yes Yes Nontoxic 1000 Noncorro- CYD of C and carbides
(3- asphyxiant sive
-12.5%)
29 Propane C3H8 7.7 — Yes Yes Nontoxic 1000 Noncorro- CYD of C and carbides
(2.2- asphyxiant sive
-9.5%)
Organometallics
30 Diethyl Be(C 2 H 5 ) 2 j 12(194°C) Pyropho- No Doping of I I I — V ma-
beryllium DEBe ric terials
31 Dimethyl- Be(CH 3 ) 3 NA
beryllium DMBe No Doping of III—V ma-
terials
0 1 2 3 4 5 6 7 8 9 10
Active substrates. These substrates are very interesting especially for homo-
and heteroepitaxial growth. They can also be used for polycrystalline and
amorphous film deposition. Si, GaAs, GaP, sapphire, spinel, and garnets
are the most used active substrates . Ge, SiC, GaSb, InAs, InP, InSb have,
at present, only a limited commercial applicability.
Silicon wafers [2295-, 2297] are the starting material in the fabrication
technology of most semiconductor devices and integrated circuits. They are
prepared by converting polycrystalline silicon material into a single crystal,
using two basic crystal growing processes, namely the float zone method and
Czochralski method. The first process relies on freezing of a floating molten
zone initially established at the lower end of a polycrystalline bar in contact
with a single crystal seed. The second process consists of withdrawing the
crystal from a silicon melt contained in a quartz crucible, surrounded by
RF or resistance heated graphite susceptors. At present, the Czochralski
technique is the most widely used technique of producing silicon single crys-
tals up to 100—150 mm in diameter (4"—6") with about zero dislocation
density. Commonly available dopants include boron, phosphors, arsenic, and
antimony. Resistivities are commonly available from 0.001 to 100 ohm cm
(8 X 1019 to 1014 atoms/cm3). Undamaged and flat wafers suitable for the
most demanding applications are obtained by slicing and polishing techni-
ques.
III—V compound semiconductor substrates (GaAs, GaP, etc.) [2298,
2299].
These substrates are widely used for fabricating various microwave and
optoelectronic devices. Single crystals of III—V compound semiconductors
are obtained mainly by two methods, namely the horizontal-gradient freeze
367
TECHNIQUES
Sub
No.
Parameter Si Ge GaAs GaP GaSb InP
0 1 2 3 4 5 6 7
1 Crystal
structure
2 Lattice 5.42 5.65 5.63 5.45 6.09 5.87
constant (Ä)
3 P u r i t y (%)
4 Density 2.33 5.32 5.3 4.14 5.60 4.79
(g/cm 3 )
5 Colour grey
6 Hardness 7
(Mohs)
7 Melting 1412 936 1240 1480 712 1062
point (°C)
8 Dielectric constant 11.7 11.1 14
9 Dissipation - - - - - -
factor
368
EQUIPMENT A N D SUBSTRATES
strate
A1 2 0 3 MgAl204 1 GGG
A1203 1 BABSG
InAs InSb BeO
(sapphire) (spinel) (alumina)
8 9 10 H 1 12 13 14 1 15
369
TECHNIQUES
0 1 1 2 | 3 4 5 | 6 | 7
11 Optical transparent
transmission in I R
17 Mobility
(cm 2 /Vs)
— undoped 5,500 120 600 5,000
— n-type 1350 3900 8,500 150 3,000 2,000
— p-type 480 1900 300 90 550 150
— semi-insula-
ting
18 Wafer
dimensions
03/4-8" ~ 1 " χ 1" ~3S x 055 mm 15 x
30 m m
~0
55 m m
47 m m —
-03"
370
E Q U I P M E N T AND S U B S T R A T E S
8 9 10 11 12 13 | 14 15
3.7 7.4
0.42 0.17
0.014- 0.25-
-0.07(n) - 0 . 0 4 ( H )
0.006- 0.026-
-0.0004 -0.0001
6.0-0.01 6.0-0.01
23,000 500,000
20,000 240,000
8,000
371
TECHNIQUES
nie solvent residues and oil films, and the inorganic films are silicon dioxide,
metal (e.g. Cu) and ionic (e.g. Na+) layers. These impurities should be remo-
ved both before etching (initial decontamination), since they disturb the nor-
mal etching process, and after etching (final decontamination) because they
may adversely affect the nucleation, growth, structure, composition, adhe-
sion and other properties of the CVD film, or may penetrate into the substrate
during the subsequent high temperature processing. In general, initial decon-
tamination is simpler and sometimes unnecessary, while final decontamina-
tion is complex and decisive.
Decontamination procedures depend largely on the type of contaminant
encountered, but are relatively independent of the moment of their application
[2308—2315]. Particulate removal is usually achieved by various simple physi-
cal means such as mechanical scrubbing, the use of gas or liquid jets, and ultra-
sonic treatment in cleaning solutions. Organic surface films are removed either
partially by using dissolution in proper organic solvents, or completely by
using oxygen plasma ashing, inert-gas glow-discharge plasma cleaning, Ar
sputter cleaning, UV radiation-0 3 cleaning, or chemical reaction in aqueous
oxidising media (in particular NH 4 OH—H 2 0 2 and H 2 S0 4 —H 2 0 2 [2311,
2312]. Inorganic surface films can be removed in HF or BHF (for silicon dio-
xide)" in HC1-H 2 0 2 or H 2 S0 4 —H 2 0 2 (for metals), or in HC1 - H 2 0 2 (for
metallic ions). Therefore, a cleaning sequence must include three main steps:
removal of organics in NH 4 OH—H 2 0 2 , removal of oxide layers in HF, and
final removal of metallic or ionic layers in HC1—H202. Final treatment is
usually deionized water or electronic grade isopropylalcohol, followed, if
necessary, by storage in closed glass containers. Contamination can be detec-
ted by a number of methods such as phase contrast or interference contrast
microscopy, and scanning electron microscopy (for particulate contaminants),
and by ellipsometry, spark source mass spectrometry, scanning Auger micro-
analysis, ion scattering spectrometry, radioactive tracers, Rutherford ion
backscattering, and neutron activation (for organic and inorganic films).
Another important aspect of substrate surface preparation — substrate
etching—has already been discussed in Chapter 10.
12
Preparation and Properties of
Semiconducting Thin Films
12.1 Introduction
Chemical vapour deposition has been used extensively in the field of solid
state technology for growing layers of various semiconductors such as silicon,
germanium, gallium arsenide, and many other compounds.
Semiconducting thin films can be divided into four groups, in order
of decreasing degree of structural perfection, i.e. homoepitaxial, heteroepi-
taxial, polycrystalline, and amorphous films. Homoepitaxy refers to the growth
of monocrystalline films on a monocrystalline substrate of the same material.
In the case of heteroepitaxy, monocrystalline films are grown on a mono-
crystalline substrate of a different material. Polycrystalline and amorphous
films are commonly obtained on insulating amorphous substrates.
The commercial growth of all categories of semiconducting films, which
are important in a variety of applications [2316] is, at present, carried out
exclusively in CVD systems. Indeed, by using CVD, perfect semiconducting
layers of controlled impurity content and stoichiometry can be obtained
relatively simply and reproducibly at high deposition rates.
Many aspects of the CVD of semiconducting films have been surveyed
in books and reviews [11—22, 43—54], some covering the whole topic while
others are restricted only to a specific category of such materials. The pur-
pose of this chapter is to give a comprehensive survey of all general aspects
related to the preparation and characterization of all material groups encoun-
tered in the field of CVD semiconducting films.
373
TECHNIQUES
The film and the substrate consist of either undoped (true homoepitaxy) or
doped (pseudohomoepitaxy) materials.
The dopants in pseudohomoepitaxial growth change the physico-chemi-
cal properties of the two materials, such as lattice parameter, thermal coeffi-
cient of expansion and chemical affinity differently.
Typical examples of homoepitaxial growth are film-substrate combina-
tions such as Si/Si, GaAs/GaAs, ZnS/ZnS and Pb^^Sn^Te/Pb^^Sn^Te,
while pseudohomoepitaxial growth is .encountered in composite structures
such as undoped Si/doped Si, doped Si/undoped Si, and doped Si/doped Si
(doping with a different dopant, or with different concentrations of the same
dopant).
The achievement of true homoepitaxial growth is only of scientific impor-.
tance, its study helps to understand the basic growth mechanisms and is also
useful in structural characterization of such films. On the contrary, pseudo-
homoepitaxy is of crucial technological importance to many solid state elec-
tronic devices. The role of homoepitaxial semiconducting thin films in prac-
tical devices is extremely large, encompassing generating, detecting, and am-
plifying functions of either electrical or optical signals. Homoepitaxial semi-
conductors play a part in all types of integrated circuits and discrete devices
ranging from low-power low-frequency to high-power high-frequency devi-
ces. Besides the fact that these materials are amenable to forming into p-n
homojunctions, they have light-emission or detection capabilities and offer
new possibilities for obtaining microwave current oscillations and for the
generation and amplification of microwave signals. The main applications in
electronics and microelectronics include bipolar junction diodes and transis-
tors, bipolar integrated circuits and MOS integrated circuits. Homoepita-
xial semiconductors are also used in optical (laser diodes, light-emitting dio-
des, detectors, solar cells) and microwave (Gunn effect or transferred electron
devices, impact-avalanche-transit-time (IMPATT) devices, and field effect
transistors (FETs) devices.
Homoepitaxial semiconducting films applied to electronics require struc-
tural perfection, thickness uniformity, high purity stoichiometry, good sub-
strate adhesion, controlled doping, suitable electrical or optical properties,
low autodoping, low stress and strain, adequate orientation, and increased
resistance to radiation damage. Semiconductor films have a prominent role not
only in industrial electronic applications, but also in the development of
semiconductor device physics allowing the observation, explanation, and
exploitation of some basic phenomena such as rectification, pjiotoconduction,
luminescence, and others.
Homoepitaxial semiconductor growth, as applied to semiconductor devi-
ces, is achieved mainly by chemical vapour deposition. The success of chemical
vapour-phase epitaxy may be attributed primarily to both its wide pro-
cess flexibility and commercial adaptability. The main reasons that other
epitaxial deposition methods (vacuum evaporation, ion beam deposition,
MBE, H W E , sputter deposition, ion plating, L P E , SPE) have not received
wider acceptance are the difficulty of preparing high quality layers and/or
their nonadaptability to commercial processing.
General aspects of the homoepitaxy have formed the subject of several
books and reviews. Reviews restricted to specific materials such as silicon,
374
SEMICONDUCTING THIN FILMS
12.2.2.1 Silicon
375
TECHNIQUES
tures. However, because of the difficulty in controlling layer doping and low
throughput, these processes are not of practical importance.
The large-scale growth of device-quality epitaxial silicon is currently
carried out either by hydrogen reduction of SiCl4 [2344—23581 or SiHCl3
[2377-2379], or by the pyrolytic decomposition of SiH4 [2359-2365], SiH4—
HC1 [2366-2368] or SiH2Cl2 [2369-2376] (Fig. 12.1). The chlorosilanes SiCl4
or SiHCl3 offer the advantages of non-pyrophoricity, relatively low price, appli-
cability at higher temperatures (1150°C), at which higher growth rates can be
obtained, and the lack of the need for the water cooling of reactor walls.
Silane (SiH4) enables deposition at lower temperatures (800—1050°C) in the
absence of chlorine, which may be harmful in some cases. Dichlorosilane
(SiH2Cl2), which, unlike the higher chlorides, is gaseous, shows some additio-
nal advantages such as irreversible reaction, higher growth rates, a relatively
low growth temperature (1100°C), and excellent thickness control. Other reac-
tions investigated, for example the disproportionation of silicon di-iodide in
closed-tube or open-tube systems [253, 2342, 2343], the hydrogen reductions
of SiBr4 [2380, 2381], Sil 4 [253, 2382], or Si2Cl6 [340] and the decompositions
of organic silicon compounds (Si(CH3)4 [2383], CH3SiCl3, CH3SiHCl2>
(CH3)2SiCl2 [2384], present several practical limitations. In addition to NPCVD,
which is the preferred technique, LPCVD [2385—2390] has been used as a
means to overcome autodoping, and PECVD [2391—2397] has been emplo-
yed for lowering the process temperature (<800°C). Epitaxial silicon growth
has been performed in a great number of reactors [2254, 2398], which can
be divided into closed-tube, close-spaced open-tube, and open-tube reactors.
The first two types of systems, as well as the single-wafer vertical reactor, are
used only for fundamental evaluations, while open-tube reactors (horizontal
barrel, and pancake reactors) have found wide acceptance for commercial
applications.
Newer reactor designs, such as the rotary disc reactor (consisting of a
set of parallel closely-spaced susceptor discs that rotate on a common axis
Sify orSifyCU-*-
V0ppmB2H6inH^
lOOpprnPHjiAsHj)**-
inH2
Fig. 12.1 Gas handling system used for the growth of homoepitaxial silicon (as well
as heteroepitaxial or polycrystalline silicon) from silicon tetrachloride, silane on
dichlorosilane.
376
SEMICONDUCTING T H I N FILMS
(a) (b)
Fig. 12.2 Two nonconventional reactors used in silicon epitaxy:
a — the high capacity rotary disc reactor consisting of a susceptor structure containing a
number of parallel graphite disc (after Ban [2259]; reproduced by permission of North-Holland
Physics Publishing):
1 — gas inlet; 2 — gas distributor; 3 — susceptor disc with two wafers; 4 —rotating shaft;
5 — R F coil; 6 — gas exhaust;
b — the low-temperature PECVD reactor consisting of a vertical radiant-heated chamber
(after Donahue et. dl. [2398]); reproduced by permission of The American Institute of Physics):
1 — gas inlet; 2 — quartz t u b e ; 3 — stainless steel endplates; 4 — R F generator; 5 — cop-
per plate wrapped around the chamber; 6 — graphite susceptor; 7 — silicon wafer; 8 — stain-
less steel b o t t o m ; 9 — d.c. bias; 10 — radiant heater; 11 — IK pyrometer; 12 — turbomole-
cular pump.
in a horizontal tube (Fig. 12.2) and the automated epitaxial reactor are con-
cerned with improving the chemical and power efficiencies and with overall
process automation. There are also continuous reactors [2399].
To minimize the defects and pattern washout [2403—2405] in the epita-
xial layer, the substrate surface must be of adequate orientation [2400—2402],
free of irregularities and foreign contaminants. The practical procedure for
the removal of most of these defects is in situ vapour etching of the substrates
prior to epitaxial growth [2406] by using one of a number of gas-phase
etchants, such as HC1 (1000-1250°C) [2407], SF 6 (1050°C) [1396], H 2 S
(950°C) [2408], Cl2 (900-1100°C) [1404], H I - H 2 (1000°C) Γ2409, 2410], H a O
(1150°C) [2411], a n d H B r - H 2 (1000°C). Trace water impurities in the gas
flow must be removed, since they influence the boron doping [2412],
The development of silicon epitaxial technology made the fabrication
of many sophisticated devices possible. This field is in steady progress, novel
process technologies such as low-pressure epitaxy [2385—2390], plasma epi-
axy [2391—2397], low-temperature epitaxy [2413—2417], selective epitaxy
581, 2418—2436], and epitaxy over ion implanted regions [2437 —2439] being
under intense investigation.
Low-pressure and plasma epitaxy have been successfully employed to
minimize epitaxial silicon autodoping. They also permit lower epitaxial
temperature growths (800°C). For LP epitaxy, depositions are carried out in
reactors identical with those used for atmospheric-pressure depositions, but
having a rotary pump attached to the exhaust side. The reactants used are
377
TECHNIQUES
SiH4, SiH2Cl2, SiHClg or SiCl4, with B2H6 and AsH3 as dopant gases. For
plasma epitaxy, the vertical radiant-heated reactor is the most used and the
react ants are either SiH4 or SiH2Cl2 [2397].
Low-temperature epitaxy — the growth of defect-free layers with reaso-
nable growth rates at temperatures more than 100°C under those of the
standard process — is of considerable interest. Indeed, by using this technique
a range of beneficial effects can be obtained: the reduction of the redistribu-
tion of dopants from any diffused region in the substrate, the prevention of
the diffusion of impurities into the layer during growth, the minimization of
premature vapour-phase reactions, the reduction of epitaxial autodoping,
and the achievement of steep impurity profiles on heavily doped substrates.
Low-temperature silicon epitaxy can be achie\ ed by using various approaches:
(1) growth from mixtures such as S i H 4 - H e (800°C) [2413-2415] and
S i H 4 - N 2 (800°C) [2416];
(2) so-called dual-temperature growth technique (initial high-tempera-
ture growth at 1200°C and final low-temperature growth at 850°C);
(3) glow discharge decomposition of silane (850°C);
(4) low-pressure deposition from silane (850°C);
(5) low-pressure deposition in a closed-tube reactor using Si-I2 transport;
(6) using dichlorosilane instead of SiCl4 (1100°C);
(7) using organo-silicon compounds (tetramethylsilane, for example)
(950°C);
(8) UVCVD from Si2Cl6 (650-700°C) [340], SiCl4 [341], Si2H6 [358, 360],
SiH2Cl2 (750°C) [359], and SiH2F2 [360];
(9) LCVD from SiH4 [406].
Selective epitaxy refers to the growth of silicon in defined areas on a sub-
strate, for example growth into the windows of a dielectric mask, into mask-
defined depressions in the substrate, or into grooves in a bare substrate. Silicon
is grown epitaxially on oxidized silicon substrates in which the oxide has
been removed over selected areas by using both SiCl4 and SiH4 at tempera-
tures above 1200°C. Selective deposition has been achieved at lower temper a-
ures (~1100°C) using the SiCl4—H2 system where HC1 (or HBr) is added
to suppress nucleation of the silicon on mask. The second selective growth
method (selective silicon refill growth) has been best achieved by using the
SiH4—HC1—H2 react ant system [1401, 2426], while the third approach of
selective epitaxy (groove filling) is usually carried out by means of any one
of three chlorosilanes and appropriate amounts of HC1. Selective epitaxy
can also be obtained by means of LPCVD from the SiH2Cl2—HC1—H2 sys-
tem [2427-2436].
Epitaxial growth on arsenic or antimony implanted substrates is required
in the buried layer technology of fabricating bipolar integrated circuits. Suc-
cessful epitaxial growth is obtained after removing the implanted crystal
damage by means of high-temperature annealing and HC1 surface etching.
Epitaxial silicon growth over buried dielectrics such as Si0 2 or Si3N4 obtai-
ned by means of ion implantation is also required for obtaining'isolation of
MOS VLSI circuits.
Thermodynamic analysis and the mechanism and kinetics of epitaxial
silicon growth have been discussed in several papers. The practical objective
of deposition uniformity is obtained only by selecting conditions so that deposi-
378
SEMICONDUCTING T H I N FILMS
379
TECHNIQUES
380
SEMICONDUCTING T H I N FILMS
12.2.2.2 Germanium
381
TECHNIQUES
382
SEMICONDUCTING THIN FILMS
gaps [EB(Ge) ~ 0,68 eV, E g =(Si) ~ 1.1 eV, Eg{SiC ~ 3.0 eV]; unsuitability
for transferred electron devices (Gunn oscillators) due to indirect energy band
structure; insufficient efficiency in solar energy converters because of small
energy gaps and absorption coefficients; low utility in heterojunctions; and
unrealizability as high speed MOS devices because of only moderate mobility
of the majority charge carriers [4823]. Compound semiconductors, in particular
III—V compounds, exhibiting a broad range of electrical and optical proper-
ties have been found to be very useful in solid state technology, replacing
silicon in several specific applications, such as light emitting diodes and
lasers (especially materials having direct and variable band gaps); Gunn
diodes (some binary and ternary compounds such as GaAs, InP, and GaAs^P*
having a special band structure permitting Gunn oscillations); high-efficiency
solar cells (nearly all materials, with a few exceptions such as InAs and InSb,
which have band gaps and absorption coefficients higher than that of silicon);
hetero junctions (all III—V compounds); and high speed, high temperature
FETs and ICs, also operating in the microwave range (mainly GaAs because
of its high carrier mobility and wide band gap). Besides CVD, two methods,
namely liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE),
have emerged as being the most useful for growing single crystal layers
of III—V compound semiconductors. There are other applicable methods
such as vacuum evaporation, sputtering hot-wall epitaxy, etc. Although
LPE and MBE show certain advantages useful in certain applications, such
as low cost, the possibility of achieving unique dopings, and the capability
of growing extremely thin multilayers, respectively, only CVD offers the
flexibility required to prepare almost all compounds having a variety of
properties and geometries. CVD includes closed-tube and close-spaced chemi-
cal transport, and the open-tube flow method. The latter method, which
is used extensively for the large scale preparation of III—V compounds,
can in turn be subdivided into the following three techniques: (1) the halide
(chloride) technique, in which trichlorides of group V elements react with
suitable group III metals or III—V compounds; (2) the hydride technique
in which hydrides of group V elements react with monochlorides of group
III elements resulting from HC1 interaction with the appropriate metal;
and (3) the organometallic technique in which trimethyl or triethyl compounds
of group III elements react with the hydrides of group V elements.
The III—V compounds [2577—2595] include binary compounds such
as the nitrides, phosphides, arsenides, and antimonides of group IIIA ele-
ments; ternary compounds such as arsenide-phosphides, arsenide-anti-
monides, and double phosphides, arsenides, or antimonides of group IIIA
elements; and quaternary compounds, such as gallium-indium arsenide-phos-
phide, etc.
The compound most completely studied has been GaAs. Other relating
binary compounds, such as GaP, InP, etc., which are prepared similarly
have been investigated in numerous papers. Among the solid solutions of
compound semiconductors, only AlsGa^As, GaAs^P^ and Ga^In^As
have received much attention to date.
383
TECHNIQUES
384
SEMICONDUCTING T H I N FILMS
Furnaces
2 0 L f O ^ JF1^^ (Cl)
Ä
3 Γ · β » · 0 T~6jO'C
GaAs
ffF Co/7 Go As
0 0 0
385
TECHNIQUES
The halide AsCl 3 —Ga—H 2 system is the most commonly used for growing
epitaxial GaAs for a variety of applications, including high speed integrated
circuits. It offers the following important advantages: easy handling, high
purity, controlled doping, precise thicknesses, mirror-smooth surfaces, sui-
table growth rates, the capability of growing epitaxial layers of low doping
level ( N d — N a < 1015/cm3) and high mobility ( ~ 8800 cm 2 /V-s). However,
there are some drawbacks, such as the use of a reactor with two temperature
zones, the impossibility of independently varying the gallium and arsenic
concentrations in the vapour phase, and halide attack of the substrate and
reactor surfaces.
The deposition reaction occurs at 750°C between GaCl (resulting from the
transport of Ga heated at above 800°C with obtained HC1 in situ and As 4
(formed by means of hydrogen reduction of AsCl3) in the presence of hydrogen:
4GaCl(g) + As(g) + 2H 2 0(g) +=± 4GaAs(s) + 4HCl(g) (12.1)
The equipment is usually a furnace-heated horizontal reactor, but could
also be an RF-induction heated horizontal or barrel reactor surrounded b y
graphite cylinders. It is equipped with provisions for in-situ etching of the
substrates with AsCl 3 —H 2 mixtures and for intentionally doping the growing
layers by using a suitable dopant. The dopant can be a gas (i.e. H 2 S), or a
solid added to the Ga (i.e. Sn) for n-type doping and a vaporized solid source
(i.e. Zn) for p-type doping. Semi-insulating (p = 108 Ω cm) layers can also
be grown using chromyl chloride.
The hydride (HC1—Ga—AsH 3 —H 2 ) system is widely employed in reac-
tors for the commercial growth of GaAs. It uses to advantage separate control
of each component, a single-temperature zone reactor, and a gas (AsH3)
instead of a volatile liquid (AsCl3). Although it is also capable of growing
layers of low doping levels and high mobility, the crystal quality and doping
need further improvements. The deposition reaction is similar to that men-
tioned previously; the reactors may be of three main types, namely the fur-
nace-heated horizontal reactor, the furnace-heated vertical reactor, and the
RF-heated vertical reactor.
The metallo-organic [Ga(CH 3 ) 3 —AsH 3 —H 2 ] system has many important
advantages over the halide and hydride systems: all starting sources are
vapours; a cold wall reactor is used (since the reaction is endothermic); a
single temperature zone reactor; low substrate temperature; elimination
of autodoping and impurity incorporation from the reactor walls (since the
system is chlorine free and the walls are cold); the reaction is far from equili-
brium. Disadvantages derive from the use of organomet allies that are volatile
liquids needing accurate temperature control for their introduction into the
reactor and may be relatively impure, pyrophoric, or toxic compounds.
The overall reaction is:
(CH 3 ) 3 Ga + AsH 3 > GaAs + 3CH 4 (12.2)
Other organic compounds of gallium (e.g. triethylgallium or dimethyl-
gallium chloride) or arsenic (e.g. triethylarsine or trimethylarsine) have
been tried in order to obtain higher purity layers.
386
SEMICONDUCTING T H I N FILMS
387
TECHNIQUES
388
SEMICONDUCTING THIN FILMS
diodes, GaAs Schottky mixer diodes, varactor diodes, and GaAs integrated
circuits), and in optoelectronics (infrared light emitting diodes, lasers, solar
cells, photocathodes, photoconductors, and photodiodes).
HfHCl
Fig. 12.4 Schematic representation of the equipment for growth of I I I — V
semiconductor compounds and alloys using the hydride method (after Tietjen
et al. [2701]; reproduced with permission from RCA Review) [The same equip-
ment, but using appropriate sources (e.g. Cd, Zn, H 2S , H 2S e , dopants), can
be used for the growth of I I —VI semiconductor compounds by means of the
reaction of metal vapours with group VI hydrides]:
1 — Ga source zone; 2—In (or Al) source zone; 3 — solid dopant zone; 4 — mixing
zone; 5 — deposition zone; 6 — quartz reactor; 7 — substrates; 8 — exhaust.
389
TECHNIQUES
»Reactor
Ho
o o o o o/,
X
60S
inlet o/o o o o I , 1 - ^ ' oI I I
72 \°}-+Vent
( • Q " ^ ^ |
* ►
EK
B
Fig. 12.5 Schematic representation of the apparatus used for
the growth of I I I —V semiconductor compounds by means of
APMOCVD or LPMOCVD.
The reaction chambers are inductively and radiantly-heated cham-
bers and have a horizontal or vertical configuration
Various group I I dopants (DEZn, Cp 2 Mg, DECd, etc.), group IV
dopants (SiH 4 , GeH 4 , TMSn, etc.) and group VI dopants (H 2 Se,
H 2 S, DMTe, etc.) can be used. Also, the gaseous inorganic sources
a n d dopants are often replaced by liquid organometallics such as
T M P or T E P , TMAs or TEAs, TMSb or T E S b , TMSi TMGa '
DMTe or DETe.
The same equipment, but using appropriate sources (e.g. DMZn
DMCd, DMHg or Hg, H 2 S, H 2 Se, DMTe, dopants), is usually
used for the growth of I I - V I semiconductor compounds by means
of MOCVD.
A - t h e gas handling system (after Ludowise [2595]): 1 - H
carrier or dilution gas; 2 - liquid and solid source alkyls · 3 -
temperature-controlled b a t h ; 4 - gaseous inorganic sources' 5 -
rotameters (or electronic mass flow controllers); 6 - valves ;
7 — stainless steel tubing;
B - the reactor chamber, heating system and exhaust or low-
pressure pumping system: 8 - horizontal chamber; 9 - vertical
chamber; 10 - R F coil; 11 - infrared l a m p s ; 12 - graphite
susceptor; 13 - wafers; 14 - vacuum pump.
390
SEMICONDUCTING TH^N FILMS
391
TECHNIQUES
392
SEMICONDUCTING T H I N FILMS
393
TECHNIQUES
tor substrates having similar chemical and structural properties (for example
Al^Ga-^As/GaAs, Ga^In^P^As^/InP etc.).
CVD is readily applicable to the growth of several ternary alloys consisting
of combinations of GaAs, GaP, GaSb, InP, InAs, InSb, AIP, AlAs, and AlSb ;
such as GaAs-based alloys (Al^Ga^As, GaAs^P^, Ga^In^As, GaAs-^Sbj,),
InP-based alloys (Ga^In^P, ΑΙ^Ιη^Ρ, InAs^P^), GaSb-based alloys
(ALGa^Sb, Ga^In^Sb), GaP-based alloys (ALGa^P), AlP-based alloys
(AlAs^P^), and InAs-based alloys (InAs^Sb^), as well as quaternary
alloys (Ga^In^P^As^, Al^Ga^^In^P, AlsGa^P^As^, Al^Ga^-yln^As,
InAs-^-sPgSbj/, and Ga-^In^As-^Sb^).
Among these materials, GaAs^Py and Al^Ga^As are the most inten-
sively studied, because of their commercial application to the fabrication of
LEDs and lasers, respectively. Schematic diagrams of typical equipment
used for their preparation are depicted in Figs. 12.6 and 12.5.
Utilization of these compound semiconductor ternary and quaternary
solid solutions is important to improve device performance. Thus, by selecting
a certain alloy composition it is possible to choose desired lattice constant and
band gap values which results in minimization of the strain in multilayer
structures and new opto-electric properties, respectively.
•"2
Zone 1
IZone /
0
Zone 2
o
° Zone 3
Zone 4
U77M
+ GAS OUTLET
r ^ ' ^ U ^ i i — ► Exhaust -H2
AsHj^Hj^Se.NH^HCI
(a)
Fig. 12.6 Two commercial types of GaAs-^Pa; growth reactors based on the hydride process:
a — resistance-heated reactor (after Burd [3046]; reprinted with permission from TRANSAC-
T I O N S O F T H E METALLURGICAL SOCIETY, Vol. 245, p p . 571, 1969, a publication of The
Metallurgical Society, Warrendale, Pennsylvania)
b — RF-heated reactor (after Rosier and Benzing [2258]; reprinted b y permission of Applied
Materials, Inc.).
394
SEMICONDUCTING T H I N FILMS
395
TECHNIQUES
396
SEMICONDUCTING T H I N FILMS
VPE growth of InAsj^P^ alloys [3161 — 3168] has been reported using
the hydride system (In-HCl—AsH 3 —PH 3 —H 2 ) at 700°C on InAs, GaAs,
and GaP substrates.
Indium arsenide-antimonide (InAs^Sb^) [3169—3172] has been grown
by using the TEIn—AsH3—TESb (TMSb)—H2 system. The non-linear depen-
dence of alloy composition on inlet gas ratios has been explained by developing
a thermodynamic model of the growth mechanism.
Aluminium-gallium antimonide (Al-^Ga^Sb) alloys [3173, 3174] are
an interesting material for optical devices in the near-infrared region owing
to the fact that its energy gap is in the range 0.74—1.62 eV. In addition
to LPE, these alloys have been deposited on GaSb and InAs substrates at
600°C by means of iodine closed-tube transport [3173] and OMVPE [i.e. the
Al(CH3)3-Ga(CH3)3-Sb(CH3)3-H2 system] [3174].
Epitaxial layers of aluminium-gallium nitride (Al^Ga^N) have been
grown on sapphire (0001) and silicon (111) substrates by means of MOVPE
in an ambient H 2 gas at atmospheric pressure at 1020—1050°C using the
TMA—TMG—NH3 system [3175]. These layers can also be grown by
using VPE of the hydride.
Epitaxial layers of aluminium-indium arsenide (Al^In^As) have been
deposited on InP substrates by means of MOCVD (the TEIn—TEA—AsH3
system) [3176].
Aluminium-gallium phosphide (Al^Ga^P) with its higher indirect
band gap and lower refractive index, compared to GaP, is of potential interest
for optoelectronic structures which have been realized in the past only by
means of LPE. In addition,- owing "to a good lattice parameter match, this
material is applicable to the fabrication of heterojunctions with GaP. Its
growth is achieved by using the MOCVD process from the Ga(CH3)3—A1(CH3)3
— P H 3 - H 2 system at 750°C [2889].
Aluminium arsenide-phcsphide (AlAs^^P^) offers no apparent useful
properties. However, this material can be prepared by means of MOCVD
from the TMA—PH3—AsH3—H2 system at 700°C, and can be used for the
production of AlAs-^P^—GaAs superlattices [5323].
Gallium-indium arsenide-phosphide (Ga1_a;Ina;As1_2/P2/) quaternary alloys
have recently become important for laser and detector diodes in the wavelength
range λ> 1 μΐη at which optical fibre transmission is optimum. Other devices
such as light emitting diodes, photocathodes, QWs, and SLs utilizing this
alloy have also been reported. The reasons for the increased interest in this
material are its wide direct band gap (0.36—2.2 eV) and its ability for per-
fectly lattice-matched epitaxial growth on GaAs [3200] and InP [3193 —
3199, 3201—3203] substrates. Besides LPE, VPE can be used successfully
for the growth of epitaxial Gij-Jn^As^yPy layers [3177 —3205]. The following
methods have been employed in the preparation of these films: (1) the hydride
method, i.e. the G a - I n - H C l - P H 3 - A s - H 2 system at 650°C [3177-3192];
(2) LPMOCVD, i.e. the Ga(C 2 H 5 ) 3 -In(C 2 H 5 ) 3 -AsH 3 -PH 3 -H 2 at 600°C
[3193-3203]; and (3) APMOCVD [3204, 3205], LPMOCVD employing a pre-
packed PH 3 [3200] or H2—N2 carrier gas mixture [3193—3199] and APMOCVD
based on the in-situ formed adduct technique [2595] have also been used.
Doping can be achieved using diethyl zinc Zn(C2H5)2 and H 2 S or (H2Se) in
p-type and n-type doping, respectively.
397
TECHNIQUES
398
SEMICONDUCTING T H I N F I L M S
399
TECHNIQUES
400
SEMICONDUCTING THIN FILMS
CVD film growth of IV—VI semiconductors has been reported for PbS,
PbSe, PbTe, Pb^Sn^Te, and SnTe grown on substrates such as BaF 2 ,
NaCl, PbSe, PbTe, and Pb^Sn^Te [3370-3373].
The Pb-compounds are useful for the fabrication of infrared detectors.
In addition to LPE and vacuum evaporation, they can be obtained by using
either open-tube [3370, 3373] or closed-tube [3372] vapour transport and
organometallic deposition. The last technique uses the following sources:
Pb(CH3)4 or Pb(C2H5)2, Sn(C2H5)4, H 2 S, H2Se, and Te(CH3)2, growth occurring
at 500°C (for PbTe), 550°C (for PbS and PbTe) and at 600°C for Pb^Sn^Te
in an H 2 ambient [3371]. SnTe has been obtained from the OM system at
265°C on PbTe substrates [3371]. Other chalcogenides of Pb and Sn, for
example, PbSSe, SnPbSe, SnS and SnSe can also be prepared by means of CVD.
401
TECHNIQUES
DyN, ErN, YbN, LuN, CdCr 2 S 4 , ZnSiP 2 , ZnSiAs 2 , CuInS 2 , CuInSe 2 , and
others.
Among the above compounds the main interest has been shown in
AlBniCJl and AllBlYCJ ternary chalcopyrite semiconductors which have
potential as solar cell materials.
CuInS 2 (copper-indium disulphide) has been prepared on GaP substrates
by means of iodine chemical transport and OMCVD. The last system [3650]
uses the reaction between acetonitride complexes of Cu and In and H 2 S at
850°C. CuInSe 2 (copper-indium diselenide) can be achieved on glass by using
spray-pyrolysis [196], while ZnSiAs 2 (zinc-silicon diarsenide) is grown on Ge
and GaAs substrates at 650°C from the Zn—SiH 4 —AsH 3 system [3649],
V P E of the hydride has been used to obtain the scandium compounds,
the mixed (II—VI) —(III—V) compounds and the rare-earth nitrides. These
epitaxial films have been prepared at 850°C on Si [3374], at. 700—900°C
on GaAs [3375] and at 950°C on sapphire [3377], respectively.
CdCr 2 S 4 films have been achieved on sapphire and spinel at 740°C by
using the Cd—CrCl 3 —S—He system [3378], while the ZnSiP 2 films have
been obtained at 850°C on GaP and Si by employing the Zn—SiCl 4 —PH 3 —H 2
system [3376].
12.3.1 Introduction
402
SEMICONDUCTING T H I N F I L M S
403
TECHNIQUES
404
SEMICONDUCTING T H I N F I L M S
405
TECHNIQUES
the substrate and the layer, whereas lower rates and higher temperatures
favour good crystallinity of the grown layers. Growth rate and film thick-
ness, which have proved to be critical parameters in the heteroepitaxial
growth of silicon on insulators, can be continuously monitored during the
deposition process by using an interferometric technique. Growth rate is
primarily a function of substrate temperature and the concentration of silane
in the carrier gas. For temperatures up to about 950°C the deposition is sur-
face reaction limited with an activation energy of ^1,6 eV; for temperatures
between r^950°C and 1100°C, the reaction is mass-transfer controlled; and
above 1100°C the deposition rate decreases rapidly as a result of homogeneous
gas-phase decomposition. Optimum epitaxial growth conditions must be
established to obtain a low-defect-density silicon layer [3400, 3401, 3423—
3429]. SOS wafers with very low defect density have also been produced
by using a three step process consisting of (a) vapour-phase epitaxy, (b) Si+
implantation and solid-phase epitaxy, and (c) vapour-phase etching and
epitaxy [3430].
The structural and electrical properties of heteroepitaxial silicon on
insulators are mainly influenced by three factors: (1) the crystallographic
mismatch between the silicon and the substrate crystal; (2) the contamination
of the silicon film as a result of chemical reactions between the deposition
constituents (silicon and hydrogen) and the substrate material; and (3) the
stress in the silicon caused by the difference between the thermal coeffici-
ents of expansion of the silicon and the substrate. Examination of the
nucleatiori and early growth of .silicon on insulating substrates [755] as
a function of the chemical and crystallographic nature of the substrate, the
method of preparing the substrate surface, and the deposition temperature
and rate has been found useful in appreciating the extent of the reaction bet-
ween the substrate and the deposition constituents. This reaction leads to
the formation of an interface compound [4858, 3416, 3417], The crystalline
quality of heteroepitaxial silicon [3431—3446] has been assessed by means
of a variety of techniques: X-ray diffraction, reflection high-energy electron
diffraction (RHEED) [3436], low-energy electron diffraction (LEED) [3382],
optical absorption [3418,3419], replication electron microscopy (REM) [3429,
3434, 3435,3437], transmission electron microscopy (TEM) [3422, 3430, 3431,
3442], ion channelling/backscattering [879, 880, 3430], RED [3436], resistivity
measurement [3443], X-ray double crystal spectrometry [3421, 3430], IR
reflectance [846], UV reflectometry [3443—3445], photovoltage spectroscopy
[3443], SEM [3441], Raman spectroscopy [3446], and others. The crystalline
quality has also been inferred from the films semiconducting properties
[3438 — 3440]. On the basis of electron diffraction and optical absorption
measurements, it has been concluded that an intermediate layer of aluminium
silicate is present between epitaxial silicon and sapphire or spinel. The alumi-
nium impurity profile in the interface region of heteroepitaxial silicon has
been measured by means of SIMS analysis [988, 3418, 3421]. The electrical
properties of interest for device application, the magnitude of the carrier
mobility at the desired carrier concentration [3447—3454] and the magnitude
of the carrier lifetime [3455—3462] have been measured as a function of the
preparation parameters (temperature, substrate orientation and composition,
the rate of deposition and the film thickness), by using the Hall and the pulsed-
406
SEMICONDUCTING T H I N FILMS
407
TECHNIQUES
408
SEMICONDUCTING T H I N FILMS
409*
TECHNIQUES
410
SEMICONDUCTING T H I N FILMS
411
TECHNIQUES
412
SEMICONDUCTING T H I N FILMS
12.4.1 Iij^troduction
The deposition of semiconductor material as polycrystalline film is useful
in a number of device applications. CVD has also become the main techno-
logical method for preparing polycrystalline semiconductor films since it
shows many advantages in comparison with other modern methods of film
deposition such as evaporation, sputtering, etc. Indeed, such films of
both elemental and compound semiconductors are obtained at relatively
high deposition rates and either low or high temperatures in simple
and cheap equipment mainly by using NPCVD, LPCVD, or PECVD.
The CVD technique also provides the reproducibility and control
of the thickness, uniformity, purity, composition, doping level and crystal-
linity, which are essential for device applications. Polycrystalline semicon-
ductor films are generally obtained under the same conditions as those for
the deposition of epitaxial films, except that the substrate temperature is
lower. At the same time, a much wider range of both non-crystalline
and crystalline substrates and higher deposition rates can be used. For some
applications, the films are deposited without the addition of dopant impuri-
ties, but when necessary they can be intentionally doped with p-type or n-
type impurities by using in-situ co-deposition, or subsequent high temperature
diffusion, or ion implantation.
The properties of polycrystalline films are generally inferior to those of
single-crystal films and bulk monocrystalline materials. For example, the
lower electric conduction in these films is caused by structural imperfections
such as grain boundaries which reduce the carrier concentration mobility and
lifetime. Also, dopant impurities diffuse into polycrystalline films much more
rapidly than into single-crystal films. The major factor that determines
properties of polycrystalline films such as electrical conductivity, carrier mobi-
lity and lifetime, diffusion of impurities, stability, oxidation rate, etc. is the
crystal structure, namely the degree of ordering and crystallite size. On the
other hand, the crystal structure depends on many factors: the CVD techni-
que, nature of reactants, deposition rate, film thickness, concentration of
dopants, deposition temperature, surface condition of the substrate, and na-
ture of the substrate. Consequently, the properties of polycrystalline films
can be correlated with the deposition parameters. Thus, by controlling
these parameters, especially temperature and impurity doping levels, poly-
crystalline films having a wide range of properties can be prepared. Presently,
the most important applications of CVD polycrystalline semiconductor films
are in the field of microelectronics and optoelectronics.
The purpose of this section is to give a brief survey of the preparation,
properties and device applications of CVD polycrystalline films. These films
413
TECHNIQUES
are divided, according to their field of application, into two main classes:
films used in semiconductor silicon technology (mainly polycrystalline silicon)
and films used in the fabrication of devices suitable for photovoltaic energy
conversion (elemental and compound semiconductors such as Si, Ge, GaAs,
AlAs, I n P , CdS, etc.).
In the last fifteen years, polycrystalline silicon has' been widely used in semi-
conductor silicon technology [3672—3764]. Good surveys of the preparation,
properties, and applications of polycrystalline silicon have been given by
Kamins [3652] and Adams [3653]. Although some work on vacuum evaporated
silicon films has been presented in the past, most of the films used today
in industrial applications are prepared by means of CVD.
The growth of polycrystalline silicon is usually performed in a horizontal
system, at atmospheric pressure [3654—3658], on a substrate covered with
Si0 2 (or Si 3 N 4 [3654]), either at relatively low temperature (500—700° C) using
silane (SiH 4 ) in an inert gas He, Ar, or N 2 , or at higher temperature (of the
order of 900° C) using silane with a hydrogen carrier gas. Growth from dichlo-
rosilane (SiH2Cl2) in nitrogen or hydrogen requires temperatures in excess of
750° C. More recently, poly-Si has been deposited advantageously by means
of LPCVD in a hot-wall reactor from both 100% SiH 4 and SiH 4 diluted
with a carrier gas such as N 2 at deposition temperatures in the range 600
- 9 0 0 ° C and pressures of 0 . 1 - 1 torr [204-206, 303, 3659-3673].
PECVD can also be used to deposit poly-Si from both SiH 4 and SiH 2 Cl 2
at 450° C [3672, 3674-3676].
LCVD may become an interesting alternative to the above conventional
CVD techniques if the direct generation of material patterns is desirable.
Poly-Si can be obtained by using a C 0 2 (or Ar + ) laser for either pyrolytic
decomposition of SiH 4 and SiCl 4 or photolytic decomposition of SiH 4 [374—
378, 4 0 5 - 4 0 7 ] .
There is an increasing number of theoretical and experimental basic
studies referring to the nucleation as well as thermodynamics and kinetics
of the growth of this technologically important material.
The characterization of the poly-Si includes the main properties of
interest for semiconductor applications such as the crystal structure [592,
809, 3677 — 3685] and the electrical [3686—3702] and chemical [3703 — 3708]
properties.
The crystal structure of both atmospheric-pressure and low-pressure CVD
poly-Si films has been investigated by means of X-ray diffraction and TEM.
The texture (the relative amounts of {111}, {110}, and {100} orientations in the
films), the grain structure (equi-axed or elongated crystals) and the grain
size (0.02—0.3 μηι in submicron films and up to 1.5 μηι in micron films)
have been found to depend to a great extent on experimental parameters
such as deposition temperature, growth rate, film thickness, diluent gas,
system pressure, substrate type, and annealing temperature [809].
414
SEMICONDUCTING T H I N F I L M S
415
TECHNIQUES
416
SEMICONDUCTING T H I N F I L M S
417
TECHNIQUES
12.5.1 Introduction
Amorphous silicon films containing hydrogen have been the subject of exten-
sive research because of their excellent electrical and optical properties,
which are especially attractive for solar cell application.
Many excelent reviews on these topics have already been published
[3802-3807, 3818-3828].
Amorphous silicon has been deposited with the greatest success by means
of CVD methods [3829—3962]. Non-CVD techniques used, such as vacuum
evaporation in the presence of H 2 , hydrogenation of evaporated and sputter-
ed films, and argon-hydrogen reactive sputtering, have only very limited
applicability.
The most widely studied technique for preparing this material is the
decomposition of monosilane in a glow discharge plasma. By means of this
process, a material is obtained containing a uniform hydrogen concentration
of 10—20 at% across the thickness of the film and a low dangling bond or
spin density of around 1015 cm-3. Deposition usually takes place in either RF
electrodeless discharge systems or RF capacitive discharge systems (Fig. 12.7).
In addition, d.c. [3863], magnetic-field-enhanced [3844], d.c. magnetron
[3846], microwave [3847] electron-cyclotron-resonance (ECR) [3848], and
remote [3852 ]glow discharge systems can be used.
a -Si: H has been deposited by means of a glow discharge from a num-
ber of gases, including pure silane [3829 — 3836, 3845], silane-noble gas mix-
tures [3837], silane-hydrogen mixtures [3838, 3842, 3843], silane-nitrogen
mixtures [206], disilane [3839, 3845], and disilane-helium mixtures [3841]. Two
418
SEMICONDUCTING THIN FILMS
1 1 1 liläLi'
419
TECHNIQUES
420
SEMICONDUCTING T H I N F I L M S
tive coatings for I R optical elements and Si solar cells or as coatings for
improving the wear resistance of various metals [3968].
a-Ge: H has been obtained by using R F plasma deposition from GeH 4
[319, 3990-3997].
α-Si: B : H has been formed by decomposing a gas mixture containing
SiH 4 and B 2 H 6 in plasma in a capacitive system, at substrate temperatures
of 25-275°C [3998] and by LPCVD using the same system [3999].
α-Si: Ge: H films have been obtained by means of plasma decomposi-
tion of a S i H 4 - G e H 4 mixture [4000, 4001] and by UVCVD from S i F 4 - G e F 4 -
H 2 system [4001].
a-Ge: C: H have been produced with an R F glow discharge from GeH 4 —
C H 4 - H e mixtures [4002, 4003, 4006].
α-Si: Ge: B ternary films have been prepared by thermally decomposing
a SiH 4 —GeH 4 —B 2 H 6 mixture in a low pressure furnace at 450°C [4004,
4005].
α-SiC: H films can be fabricated by using the plasma decomposition of
a gas mixture SiH 4 and hydrocarbon (e.g., CH 4 , C 2 H 4 ) at 200°C [319, 3990—
3997, 4006—4022]. Such films can be doped with B 2 H 6 or P H 3 to produce
photoelectronic properties suitable for use in heterojunction solar cells
having an α-SiC: H /a-Si: H structure. UVCVD from Si 2 H 6 — C 2 H 2 [Si(CH 3 ) 2 H 2 ]
—B 2 H 6 systems has also been employed [347].
a-P has been prepared by plasma decomposition of P H 3 [214, 4023].
α-As: H can be formed by pyrolysis or plasma decomposition of AsH 3
[321, 3996, 4024].
α-GaP and α-GaAs have been prepared b y using R F glow discharge in a
diode system at 300—350°C from Ga(CH 3 ) 3 —PH 3 and Ga(CH 3 ) 3 — AsH 3
systems [315].
Amorphous chalcogenide glasses such as the Si—As—Te system have
been prepared by transporting sources of Si + Te, As and Te with hydrogen
Seated at 445, 455, and 560°C on sapphire substrates maintained at 300°C
in a furnace.
The grown films are optically and structurally equivalent to those obtain-
ed by means of vacuum methods [4025].
Chalcogenide glasses can also be prepared by using PECVD [4027].
Zn chalcogenides have been obtained by means of CVT starting from
elemental source materials [4028].
Binary chalcogenides such as Ge—Se or As—S/As — Se have been grown
by using PECVD in the GeCl 4 -Se 2 Cl 2 [4029] and A s H 3 - H 2 S (H 2 Se) systems
[317], respectively.
Hetero junctions containing amorphous semiconductor films can be divid-
ed into three categories: (a) heterojunctions between a-Si and a-Si-based
alloys (α-SiC: H/a-Si, a-SiC: B : H/a-Si, a-SiC: H/a-Si: H, a-SiC: B : H /
a-Si: H, a-Si 3 N 4 : H/a-Si: H, a-Si Ge: H/a-Si: H ; (b) heteroj unctions between
a-Si and α-Ge (a-Si: H/a-Ge, a-Si/a-Ge); and (c) pseudohetero junctions obtained
by growing an overlayer of a given amorphous material on a crystalline or
hydrogenated amorphous substrate of the same material (α-Si: H/a-Si, a-Si/
c-Si, a-Ge/c-Ge) [4030].
421
13
Preparation and Properties of Amorphous
Insulating Thin Films
13.1 Introduction
422
A M O R P H O U S I N S U L A T I N G T H I N FILMS
423
ft TABLE 13.1
to
Properties of Several Typical CVD Dielectric Films [89, 90]
3
Deposition Type of
Preparation tive tion con- stren- Ρ etch B H F
No. Film type temperature stress on Reference
method index maxi- stant gth 25°C 25°C
Si wafers
(g/cm )
Density
155°C
1 SiO a APCVD, 1000-1200 compressive 2.2 1.44- 9.2 3.5- 6-9 2-4 20-25 1 [86]
S i C l 4- C 0 2- H 2 -1.47 -3.9
2 SiO a APCVD, S i H 4 - 0 2 3 2 5 - 4 7 5 tensile 2.2 1.43- 9.3- 4.3- 2.5- 16-18 92 12 [86]
-1.46 -9.4 -5.7 -3.4
3 SiO a UVCVD, 50-200 tensile 2.1 1.45- 3.9 4-6 90 [2196]
S i H 4- N aO - N 2 -1.49
4 BSG APCVD, 400-450 tensile 2.25 1.43 7.4, 5.2 8 50-70 6-7 <10 [86]
(17 mole % S i H 4- B 2H 6- 9.3
B 20 3) - 0 2- N 2
5 PSG APCVD, 400-450 tensile 1.42- 7.6, 3.9 9 58 120 <10 [86]
(4 mole % S i H 4- P H 3- - 1 . 4 5 9.3
P 2O 5) - 0 2 - N 2
13.2 Oxides
Oxides can be divided into single oxides, such as silicon dioxide, Si-rich Si0 2 ,
aluminium oxide, other oxides (Ti0 2 , Zr0 2 , Hf0 2 , Ta 2 0 5 , Nb 2 0 5 , and Th0 2 ),
and mixed oxides or silicate glasses (PSG, BSG, AsSG, A1SG, LSG, ZSG,
BPSG, GBSG, A1PSG, A1BSG, LBSG, ZBSG, Si0 2 : Sb, and Si0 2 : Sn).
In addition, luminescent, films of phosphors such as Zn 2 Si0 4 : Mn and rare-
earth doped YV0 4 ,Y 2 0 3 , and Y 2 0 2 S fall into the same category.
425
TECHNIQUES
426
A M O R P H O U S I N S U L A T I N G T H I N FILMS
13.2.3.1 Phosphosilicates
427
TECHNIQUES
13.2.3.2 Borosilicates
13.2.3.3 Arsenosilicates
13.2.3.4 Aluminosilicates
428
A M O R P H O U S I N S U L A T I N G T H I N FILMS
429
TECHNIQUES
430
A M O R P H O U S INSULATING T H I N FILMS
The nitrides and oxynitrides used for insulating and dielectric applications
are the following: Si 3 N 4 , Si-rich Si 3 N 4 , Si^N^H^ BN, A1N, GaN, Ge 3 N 4 , A1N —
Si 3 N 4 , Si^O^N,, AlsOyNs, and S i J ^ H A ·
431
TECHNIQUES
432
N2 5%SiH4 NH3
inN
(H2,Ar) 2
Fig. 13.1 Equipment for depositing Si 3 N 4 by means of HT-APCVD using (A) inductiveiy-heated, (B) resistance-heated, and (C) IR-heated
reactors (after Morosanu [89]; reprinted with permission from T H I N SOLID F I L M S , © 1980 Elsevier Sequoia S.A.)
1 — gases; 2 — flowmeters; 3 — shut-off valves; 4 — mixing chamber; 5 — quartz reactor; 6 — R F coil; 7 — resistance h e a t e r ; 8 — I R lamps ;
9 — quartz cradle; 10 — graphite susceptor; 11 — silicon wafers.
TECHNIQUES
to protect them against all donor and acceptor impurity diffusion (AI, B, Ga,
In, As, P, Sb, Zn, etc.) — since they are impermeable to these impurities
under the normal diffusion condition of p-n junctions; (4) as masks for
semiconductors to protect against thermal oxidation, during various types of
isolation process using recessed oxide, during anodic oxidation in the fabri-
cation of homojunction solar cells, and for reverse selective oxidation of Si
substrate serving to improve the oxidation and diffusion processes; (5) as
masks for selective epitaxial deposition of silicon; (6) as electric charge storage
material (memory effect) in MNOS devices; (7) as gate dielectrics in insulated
gate field effect transistors; (8) as energetic radiation resistant layers; (9) as
passivation layers over metallized bipolar and MOS silicon integrated circuits
for protecting them from ambient impurities and scratches; (10) as anti-reflec-
tion film; (11) as capping layers for ion-implantation processes in GaAs and
other semiconductors; (12) as preoxidation getters for Si wafers and devices;
(13) as assisting layer in dielectric-assisted lift-off technique for fine line
metal patterning; and (14) as protective coating for metals and ceramics
[4407, 4408].
Si 3 N 4 layers are used to build and to improve the performances of many
important electronic components produced by means of planar, mesa, plesa,
LOCOS, MOS, beam-lead or other technologies.
The main semiconductor devices which take advantage of the benefits
off erred by Si 3 N 4 thin layers are the following: (1) n-p-p and p-n-p bipolar
planar transistors; (2) MOS transistors; (3) bipolar mesa-type transistors;
(4) power rectifier diodes and thyristors; (5) bipolar and MOS integrated
circuits; (6) semiconductor memory devices; (7) microwave p-i-n planar
a n d plesa diodes; (8) charge coupled devices; (9) L E D s ; (10) solar cells;
a n d (11) photodetectors.
Films of silicon oxynitride [4409 — 4429] combine the main useful features
of Si0 2 films (i.e. good electrical characteristics, good etchability) and Si3N 4
films (i.e. good masking ability against ionic and diffusing impurities, good
radiation resistance). The main systems used for Si x O y N z preparation include
SiH 4 as the Si-containing compound, NH 3 as the nitriding agent and 0 2 ,
NO, N 2 0 or C 0 2 as the oxidizing agents. Deposition takes place in H 2 or N 2
using very high NH 3 /oxidant ratios at 850—1000°C. LPCVD and PECVD
can be obtained by using the D C S - N 2 0 - N H 3 [4416] or S i H 4 - N 2 0 - N H 3
[4417] and S i H 4 - N 2 0 - N H 3 [4418-4426] systems, respectively. UVCVD
[222, 353] and LCVD [233] from S i H 4 - N 2 0 - N H 3 system have also
yielded high-quality SiON films. The SiH 4 —C0 2 —NH 3 —H 2 reaction
434
A M O R P H O U S I N S U L A T I N G T H I N FILMS
system is the most advantageous offering the best control over the process
and film properties. Si^O^N^ films are generally used in applications similar
to those of Si 3 N 4 films, e.g. as diffusion barriers, memory elements, radiation
insensitive materials, and for capping GaAs before silicon ion implantation.
435
TECHNIQUES
Thin polymer films have received much attention from a practical point
of view in microelectronics, as well as being of theoretical interest as regards
their electrical properties and surface phenomena. Various methods have
been proposed for the preparation of thin polymer films, such as thermal
evaporation, RF sputtering, deposition from solution, and CVD. Among
them, CVD is widely applied since thin films prepared using this method
are free from contamination and pinholes and have excellent electrical, chemi-
cal, and mechanical properties [289, 4439—4445]. The main polymerization
processes, such as glow discharge [4446—4450], photolysis [4451, 4452] and
electron bombardment [4453] and respective set-ups, have been described
previously in Section 3. Pyrolytic [4454], catalytic, and laser-activated
processes can also be used in certain applications.
Polymer films can conveniently be divided into pure organic and orga-
nometallic films.
A. A great variety of conventional carbon polymer films have been deposited
by means of glow-discharge polymerization (e.g. polystyrene, polyvinylidene
fluoride, polydivinylbenzene, polyacrylonitrile, and many other polymers
obtained from more complicated organic molecules), electron bombardment
(e.g. polysilicone, polystyrene, polybutadiene, and polydivinylbenzene),
photopolymerization (polymethylmethacrylate, polymethylvinylketone, poly
butadiene, polydivinylbenzene, and polyacrolein), and pyrolysis (poly-^>-
xylylene).
Polystyrene films [4455, 4456] have been deposited preferably by means
of the a.c. glow discharge polymerization of styrene vapours. These films
are comparable with other plastic films with regard to a dielectric constant
(ε = 3) and dielectric strength (5 x 106 V/cm), but their high dielectric losses
(10~3) and high interface charge in metal-polymer-silicon structures (5 χ 1011
cm~2) precludes their extensive use for microcapacitors and for component
passivation.
PoZjz-paraxylylene coatings [4454] have been deposited by using pyro-
lytic vapour phase polymerization of the monomer or dimer of ^-xylene at
about 600°C. These films having ε = 2.65, tan 5(1 kHz) = 0.0002, p = 1.4X
1013 Ω cm, and V^ = 2.8 x 106/Vcm can be used as encapsulants for
various semiconducting devices.
Polyvinylidene fluoride films [4457] are typically obtained by using
glow-discharge polymerization of 1,1-difluoroethylene. These films can be
used in acoustic transducer devices owing to their marked piezoelectricity.
Other polymers with increased electrical conductivity (pmin = 10 u Ω cm)
have been prepared in an RF glow discharge by using monomer molecules
with semiconducting properties (e.g. »phthalocyanine and tetracyanoquino-
dimethane) [4458].
B. Organometallic polymer films are prepared almost exclusively by
means of RF plasma polymerization in inductively or capacitively coupled
plasma reactors.
436
AMORPHOUS INSULATING THIN FILMS
14.1 Introduction
438
CONDUCTIVE T H I N F I L M S
Ru, Sb, Sn, Th, Ti, U, Zr), as well as metal alloys (e.g. Ta—W, Mo—W,
W - R e , W - M o - R e , T a - N b , T i - T a , C r - A l , N i - F e , Al3Ta) that
have been deposited by means of CVD on a laboratory scale might be
useful for some specific applications in solid state technology.
Metals, in particular refractory metals, are required in present-day me-
tallization technology of high-density MOS integrated circuits. The main
uses of metals in VLSI circuits are as gate electrodes, interconnection material
and device contacts. Such advanced metallization should meet several strin-
gent requirements: high conductivity, high-temperature stability, ease of
high-resolution patterning, resistance to chemical attack, electrical and
chemical stability, low-resistance contacts with silicon and other levels of
metallization, and high-quality surface passivation. Further development
of VLSI circuits has generated interest in improving CVD process technology
of metallization layers.
439
TECHNIQUES
440
CONDUCTIVE T H I N F I L M S
silicon oxide and silicon nitride), as well as in hot electron devices (e.g.
metal base transistors).
Molybdenum films [4486, 4541-7-4552] are usually deposited by means
of hydrogen reduction of MoCl5 at 800—1250°C [4544, 4546-4550], hydrogen
reduction of Mo02Cl2 at 600—1350°C [4544], hydrogen reduction of MoF6 at
1100°C [4617], or pyrolytic decomposition of Mo(CO)6 at 300-900°C [4543].
They have also been obtained by means of LPCVD [4552] and PECVD [217,
339, 4541, 4542] using the MoF6—H2 system. LCVD has been carried out
using Mo(CO)6 [393, 394, 440, 442], MoF6[233], andMo(C6H6)2[450] asreactants.
Mo films have been characterized for their structural, compositional,
physical, and electrical properties using the same techniques as for W films.
Furthermore, recent work concerning their optical properties has demonstra-
ted the possibility of preparing molybdenum films of high infrared reflectance
and solar absorptance suitable for use in photothermal solar converters.
Thin films of platinum with thicknesses ranging from 100 Ä to about
2000 Ä are used extensively in solid-state technology to form ohmic or
Schottky diode contacts to silicon and in the Ti—Pt—Au metallization of
beam leads. Pt is usually deposited by means of electron-beam evaporation
or sputtering. However, CVD may be the preferred technique, since it offers
the possibility of avoiding radiation damage to thin insulating films caused
by the above techniques with the help of a relatively simple non-vacuum
apparatus [4553, 4554].
CVD Pt can be obtained mainly by means of three processes [4553]:
the vacuum pyrolysis of Pt acetylacetonate, Pt(acac)2 at 500—600°C; the
reduction of Pt dicarbonyl dichloride, Pt(CO)2 Cl2, in H 2 in the presence of
CO at 250—500°C; and the pyrolysis of the trifluorophosphine complex of
platinum, Pt(PF 3 ) 4 , in H 2 at 200-300°C.
The latter process is more simple and reliable, and yields adherent
bright films of Pt crystals on a variety of substrates (Si, thermal and depo-
sited Si0 2 , A1203, Si3N4, fused silica, soft glass, sapphire, Ta2N, evaporated
Ti, CVD tungsten, GaP, and GaAs). The Pt film contains small amounts of
phosphorus, has a resistivity 1.8 times that of bulk Pt, and interdiffuses
readily with Si to form ohmic or Schottky diode Pt-Si contacts. CVD Pt can
also be used as field plates for MOS structures.
Laser-assisted deposition from Pt(PF 3 ) 4 has recently been achieved [233],
Tantalum films [4486, 4555, 4556] can readily be obtained by hydrogen
reduction of TaCl5 at substrate temperatures of 500—600°C. CVD Ta films
are smooth, adherent, and have a low resistivity (^20 μΩ cm) which make
them suitable as conductors in integrated circuits produced by using tanta-
lum thin film technology.
Nickel films [4486, 4557, 4558] of high quality used in microelectronics
having smoothness, adherence, and conductivity and oxidation resistance,
even better than films prepared by vacuum evaporation are deposited by means
of Ni(CO)4 pyrolysis at 250—300°C. Other techniques employed are the
decomposition of Ni(acac)2 vaporized at 160°C at reduced pressure on
substrates heated at 350-450°C, PECVD using Ni(CO)4 [339] or LCVD using
the same reactant[443—445]. Nickel dendrites formed on aluminium substrates
by means of the nickel carbonyl process may act as selective absorbers in solar
441
TECHNIQUES
thermal energy conversion. Ni films are also widely used in the metallization
of ferrites and as decorative and corrosion resistant coatings.
Chromium films [4486, 4559—4566] of high purity may be deposited
by means of the pyrolysis of Cr(CO)6 [4564] or the hydrogen reduction of
CrCl2 or Crl 2 [4561—4563]. Chromium films have also been prepared from
several OMs (dicumene chromium [4480], cycloheptatriene chromium tricar-
bonyl [4560], chromium, bis-benzol [4565], chromiumbis-aren [4565], chromium
bis-ethyl benzol [4483], and chromium-bis-cumol [4483]) either in vacuum
or in the flow of a carrier gas such as argon, helium, or hydrogen at 350°—
600°C. LCVD based on Cr(CO)6 [393, 394, 438, 440], Cr(C6H6)2 [450] or Cr02Cl2
has recently been introduced. Chromium films are widely used in electronics
for the fabrication of contacts and resistive elements, and as photomasks.
Vanadium films, used as contacts for Schottky-barrrier diodes, have
been synthesized by means of the hydrogen reduction of VC14 at 1300°C
[4567]. The decomposition of VI2 in vacuum at high temperatures also
gives V films [4480].
Copper deposition [4486, 4568 — 4572] can be achieved by means of either
the hydrogen reduction of cuprous chloride, Cu2Cl2, at 500—700°C in the
presence of HC1 in order to prevent premature decomposition, or the decom-
position of organometallic compounds [4568 — 4571] such as copper acetyla-
cetonate at 300-450°C [4569] and copper formate at 300°C [4480]. UVCVD and
LCVD based on copper hexafluoropentanedionate have also been successful
[356, 4572].
Gold films [4486, 4573] have been deposited either by means of the decom-
position reaction of AuCl3 (which is vaporized by heating at 150°C) at sub-
strate temperatures of 300—450°C or from several OMs such as auric phos-
phine complexes [4573], auric dimethyla^etylacetonate [183], and auric alkyl-
mercaptides [183]. LCVD is based on the Me2Au(acac) complex [432, 433].
Silver [4574, 4575] has been deposited selectively on silicon by means of
direct contact reduction of silver fluoride vapour at temperatures ranging
from 80°C to nearly 600°C:
Si + 4AgF > 4Ag + SiF 4 (14.1)
Silver has also been prepared from argentic carboxilates [4575].
442
CONDUCTIVE T H I N F I L M S
443
TECHNIQUES
444
CONDUCTIVE THIN FILMS
Very little information has been reported on the CVD of alloy films [4480].
Films of some alloys are already used in electronics and other industrial fields.
For example, nickel-iron alloys (Permalloy) are suitable for use in computer
elements; Al 3 Ta and Cr—Ni alloys as resistors; Nb 3 Sn alloy as superconduc-
tors ; chromium or nickel alloys for obtaining wear-, corrosion-, and oxida-
tion-resistant coatings; etc.
Alloys can be prepared by means of the following processes: simul-
taneous hydrogen reduction of the corresponding halides; simultaneous pyro-
lysis of carbonyls for other suitable compounds; displacement reactions
between a metal halide and a metal substrate and diffusion of a metal (depo-
sited by means of halide pyrolysis) into the substrate metal.
Aluminium alloy coatings can be obtained by means of displacement
reactions on molybdenum, tantalum, tungsten, iron etc., by using treatment
in an A1C13—H2 or AlBr 3 —H 2 atmosphere at 1000°C. Diffusion of Al, obtained
from aluminium monofluoride vapour, into iron, nickel, chromium, copper,
molybdenum, tungsten, vanadium, or tantalum at ^ 950°C have also been
used. Al—Si alloys which are commonly used as interconnect lines for VLSI
circuits can be deposited, in addition to vacuum evaporation or sputtering,
b y means of LPCVD [4492].
Chromium alloy coatings can be obtained by using: concomitant hydrogen
reduction of halides (e.g. Cr—Al alloy from CrCl3—A1C13—H2 system at
1200— 1500°C), and displacement reactions (e.g. Cr—Fe alloy from CrCl2 or
CrCl 3 —H 2 passed over iron heated at 1000—1200°C).
Nickel alloys with iron of the Permalloy type [4614, 4615] can be depo-
sited as films having thicknesses of about 2500 A on glass substrates by
means of the pyrolysis of iron and nickel carbonyls mixtures. Nickel-iron
alloys show magnetic properties suitable for use in computer elements.
Tin alloys with copper, or iron [4616] can be obtained by means of the
diffusion of tin formed on the substrate surface from a mixture of stannous
chloride vapour and hydrogen.
Titanium alloy coatings may be prepared by the simultaneous deposi-
tion of metals (e.g. Ti—Ta from a TiCl 4 —TaCl 5 —H 2 mixture at 1300— 1400°C)
or, in some cases, a displacement reaction between a titanium halide and
the base metal (e.g. Ti—Fe alloy from TiCl 4 —H 2 passed over iron heated
a t 900°C).
Vanadium and zirconium alloy coatings can be prepared by means of
displacement or diffusion processes similar to the preparation of Ti and Cr
alloys.
Ta—Nb alloys can be prepared by means of hydrogen reduction at
1300—1700°C of a TaCl 5 — NbCl 5 mixture vaporized at 250°C.
W—Mo alloys [4617] are prepared by using the MoCl5—WC16—H2 or
M o F 6 — W F 6 - H 2 systems at 1100-1500°C.
Other W alloys, such as W—Ta [4618, 4619], W—Re [4620—4622],
W—Mo—Re [4623], have been prepared by using metal halide reduction.
445
TECHNIQUES
446
CONDUCTIVE TJETIN F I L M S
447
TECHNIQUES
448
CONDUCTIVE T H I N F I L M S
liquid, dopant chlorides or fluorides (e.g. SbCl5 [4643, 4658], PC15, HF [4659],
etc.) or the direct addition of gaseous dopant hydrides (PH3 [4649], AsH3
[4648], etc.).
Doped Sn0 2 films are usually obtained by using both the hydrolysis
and pyrolysis techniques. These films have properties superior to undoped
films, namely higher conductivity and thermal stability, that make them more
satisfactory in a variety of electro-optic applications.
Typical films (Sn0 2 : 0.6—2.7 at % Sb) have n-type resistivity in the
order of 10-3 Ω cm and light transmission of 85 — 90%.
Undoped ln 2 0 3 can be prepared by using the hydrolysis of indium chlo-
ride (InCl3) or the pyrolysis in oxygen of indium acetylacetonate (at 320—
400°C) [4629, 4630] and chelates of ß-diketonates of indium [4671, 4672]. Its
optimum resistivity and optical transmission are in the order of 10~2 Ω cm
and 90%, respectively.
Doped ln 2 0 3 can be obtained by using different donor (Sn) and acceptor
(F, Ti, and Sb) dopants. Acceptor dopants, except for F, are of no interest
in transparent conductors.
Indium oxide doped with tin (ln 2 0 3 : Sn, ITO) is at present the best
available material for the fabrication of transparent conducting films for
device applications [4674—4676]. Indium tin oxide is mainly obtained using
the pyrolitic oxidation at 550°C in an oxygen-nitrogen mixture or nitrogen
saturated with water vapour of the indium chelate derived from dipivaloyl
methane in combination with dibutyl tin diacetate [4671, 4672]. It is also
possible to use the same process starting from the acetylacetonates of indium
and tin mixed in one evaporator at a substrate temperature of 350—450°C.
Typical films (ln 2 0 3 : 8 at% Sn) have n-type resistivity in the order of 10"4
Ω cm and optical transmission in excess of 80% throughout the visible spec-
trum.
Cadmium stannate (Cd2Sn04) is another transparent conductor having
desirable properties, namely good durability and chemical resistance. It
also shows the unexpected effect of improving transparency with increasing
film conductivity.
The only CVD method used for its preparation is spray pyrolysis at tem-
peratures lower than 300°C or higher than 800°C starting from organic solu-
tions of CdCl2 and SnCl? [187, 202].
Cadmium stannate films have been used as electrodes in photogalvanic
cells.
Cadmium oxide (CdO) was the first material reported to be at the same
time semi transparent to visible light and electrically conducting. It can be
prepared by means of oxidative pyrolysis of various Cd-alkyls [4673].
449
TECHNIQUES
CVD films of boron [4678—4683] and the borides of aluminium (A1B), silicon
(SiB), titanium (TiB2) [4684-4689], zirconium (ZrB2) [4690, 4691], hafnium
(HfB2), vanadium (VB2), niobium (NbB2) [4692, 4693], tantalum (TaB2)
[4693, 4694], chromium (CrB), molybdenum (MoB), tungsten (WB), iron
aFeB, cobalt (CoB), nickel (NiB)) and several alloys [e.g. Ti—Zr, (Ti, Zr)B2„
(nd Ta—Ti, (Ta, Ti)B2] [4695] have been investigated in some detail to date.
CVD preparation of other borides such as thorium, uranium, copper,,
manganese, and the rare-earth borides has also been reported.
The borides are, in general, hard refractory materials with high thermal
and electrical conductivity and increased resistance to oxidation and chemical
attack.
They are, therefore, of both scientific and technological interest.
Borides are usually deposited by two processes: (a) concomitant hydrogen
reduction of the metal and boron halide; and (b) boriding, i.e. the diffusion
of chemical-vapour-deposited elemental B into the base metal.
Boron can be prepared by means of two chemical processes (a) hydrogen
reduction of a boron halide (e.g. BC13 [4679, 4682] or BBr3 [4678]); and (b)
thermal decomposition of a boron halide, a boron hydride (e.g. B2H6 [4677]
or decaborane [4683]), and alkyl boron compounds [e.g. trimethyl boron,.
B(CH3)3 [4677].
CVD of boron on silicon, graphite, refractory metals, and other sub-
strates has been most frequently accomplished using the reduction of boron
trichloride by hydrogen at temperatures ranging from 950 to 1200°C.
Among the borides, diborides of the group IV and V metals have been
studied most completely. Coatings of TiB2 and ZrB2 are applied to photother-
mal solar absorbers. These coatings possess adequate optical properties, i.e.
high solar absorptance to maximize the fraction of solar energy transformed
into heat and low thermal emittance to suppress IR reradiation losses. TiB 2
has been prepared by means of the systems TiCl4—BBr3—H2 (1000—1500°C)^
TiCl 4 -BCl 3 -H 2 (900-1600°C) [4684-4686], and T i C l 4 - B 2 H 6 - H 2 (600-
900°C) [4687]. PECVD of TiB2 from T i C l 4 - B 2 H 6 - H 2 system has also been
investigated [4689]. ZrB2 can be achieved by means of similar processes,
(e.g. from Z r C l 4 - B 2 H 6 - H 2 [4690, 4691]).
TaB 2 and NbB 2 are known to be hard refractory coatings with excellent
chemical and oxidation stability. Coatings of TaB 2 can be prepared from
T a C l 5 - B B r 3 - H 2 (1000-1500°C) [4693], TaCl 5 -B 2 H 6 -H 2 (500-900°C)
[4694], TaCl 5 -BCl 3 -H 2 (850-1200°C), or T a B r 5 - B B r 3 - H 2 (1000-1600T),
and those of NbB 2 from the corresponding systems (e.g. NbCl5 — BC13—H^
[4692], N b C l 5 - B B r 3 - H 2 [4693].
450
CONDUCTIVE THIN F I L M S
14.5.3 Nitrides
An important group of nitrides (boron, aluminium, silicon, germanium and
gallium nitride) which have relatively high melting points but decompose at
temperatures below their melting point, having various applications in elec-
451
TECHNIQUES
14.5.4 Oxides
Except for the oxides used as dielectrics (i.e. Si0 2 , A1 2 0 3 , Ti0 2 , Zr0 2 , Hf0 2 ,
Ta 2 0 5 , Nb 2 0 5 , and T h 0 2 ) , as transparent conductors (i.e. l n 2 0 3 , Sn0 2 , CdO),
as resistors (i.e. V0 2 ), and as semiconductors (i.e. ZnO), which were examined
in previous sections, miscellaneous metal and nonmetal oxides [4713—4725],
some of which are of particular interest in specific applications (i.e. the simple
oxides CuO, BeO, MgO [4721], B 2 0 3 , Ge0 2 , PbO, P 2 0 5 , Sb 2 0 5 , V 2 0 3 , Cr 2 0 3 ,
W 0 3 [4718-4728], Mo0 3 [4719], Mn0 2 , FeO, a-Fe 2 0 3 and ß-Fe 2 0 3 [ 4 7 1 3 -
4717], NiO [4716], CoO, and U 0 2 [4723] as well as complex oxides^MgO ·
A1 2 0 3 (spinel) [4722], P b T i 0 3 [4724], and LiNb0 3 [4725]) are examined here.
These oxides are usually prepared in the amorphous or polycrystalline
states by means of one of several chemical processes, which can be divided
into three groups: (1) hydrolysis (or oxidation) of the metal halides; (2) oxi-
dation of the nonmetal hydrides; and (3) pyrolysis, oxidation, or hydrolysis
of the appropriate organometallic compounds.
Hydrolysis of metal halides such as chromyl chloride (Cr0 2 Cl 2 ), FeBr2>
CoBr 2 , NiCl 2 (NiBr 2 ), and MnCl 4 in a temperature range of about 400— 1000°C,
has been used to prepare the corresponding oxides, i.e. Cr 2 0 3 , FeO, CoO, NiO,
and M n 0 2 [4677]. M n 0 2 has also been deposited by the pyrolysis of Mn(N0 3 ) 2
vapour [14].
452
CONDUCTIVE T H I N FILMS
14.5.5 Silicides
Metal suicide layers [4726 — 4732] have aroused much interest, primarily
because of their applications in integrated circuit technology as gate electro-
des, low resistivity (ohmic) or rectifying (Schottky) contacts, and also as con-
ducting layers. Some of them, particularly refractory metal silicides such
453
TECHNIQUES
454
CONDUCTIVE T H I N FILMS
to a Si0 2 layer with good breakdown and dielectric characteristics. Dry et-
ching (plasma and reactive ion etching) can be performed in various gas mix-
tures such as CF 4 /0 2 , NF 3 , CC12F2, CCl4/02, CF4/C12, SF 6 /0 2 , and SF6/Cl2.
Dopant implantation does not influence the electrical properties of the sui-
cides and thus these can be used as ion implantation masks.
The most important suicides are the following:
(1) titanium suicide (TiSi2), which can be prepared by using APCVD
from the TiCl 4 —SiCl 4 -H 2 system at 800-1200°C; LPCVD [4736], or
PECVD [4542, 4734, 4737] are usually based on the T i C l 4 - S i H 4 - H 2
system;
(2) niobium suicide (NbSi2), which can be formed by reacting niobium
metal deposited on poly-Si and by using APCVD from the NbCl5—SiH4—H2
system [4737];
(3) tantalum suicide (TaSi2), which has been obtained by means of APCVD
[4737, 4738], LPCVD [4542] or PECVD [4739] using the T a C l - S i H 4 - H 2
system, or by thermally reacting Ta metal deposited on poly-Si ;
(4) molybdenum suicide (MoSi2), which has been synthesized by using
APCVD from the MoCl 5 -SiCl 4 -H 2 system at 1000-1800°C [4737],
PECVD [217, 4541, 4542, 4740], and LPCVD from the MoCl 5 —SiH 4 -H 2
system at 520—800°C, silane silicidation of Mo thin films at 600—850°C,
and reaction of Mo metal deposited on poly-Si] and
(5) tungsten suicide (WSi2), which has been formed by thermally
reacting (at 600—900°C) chemical-vapour-deposited tungsten on polycry-
stalline silicon layers [4517], as well as by means of APCVD [4752, 4753],
PECVD [217, 4541, 4542, 4750], and LPCVD [4753-4749] using WF 6 and
SiH4 at 800—900°C, 250-500°C, and 350—450°C, respectively.
Other refractory metal silicides such as ZrSi2, HfSi2, VSi2, and CrSi2
can be obtained by using co-reduction with hydrogen of the respective metal
chlorides and silicon tetrachloride at temperatures higher than 800°C. PtSi,
which can be used as ohmic or Schottky contacts to silicon, is formed by
sintering CVD Pt deposited on Si at 450—700°C.
15
Preparation and Properties of
Superconducting and Magnetic Thin Films
15.1 Introduction
Two important groups of materials that can be prepared by CVD are super-
conducting and magnetic compounds.
Chemical vapour deposition has been used successfully to synthesize
many stable and metastable high-critical-temperature superconductors
that crystallize in A15 or B l cubic structures. At present, CVD is the most
useful method for fabricating superconductors of metastable compounds
such as Nb 3 Ge that cannot be produced by using conventional metallurgical
processes. The superconductors are potentially useful for a variety of large
scale applications, for example in power transmission lines or large high-field
magnets.
Magnetic oxide films such as ferrites and garnets have also been prepa-
red by means of CVD. These materials in single-crystal form are used mainly
for obtaining magnetic bubble memory or information storage devices in
computers. However, CVD has been largely replaced by L P E for bubble
devices, since the latter method provides higher quality magnetic films.
Several reviews of CVD growth of both superconductors and magnetic
materials are available [12, 14, 15].
The purpose of this chapter is to carry out a brief review of CVD
processes for forming superconducting [4751 — 4783] and magnetic [4784 —
4820] films and to present some of the properties of these materials and
their device applications.
456
SUPERCONDUCTING A N D MAGNETIC THIN FILMS
materials grown to date can be divided into two major groups: intelrmetallic
compounds of the type A 3 (BNb 3 Sn [4752-4755], Nb 3 Ge [4756-4776],
(NbGe)Si [4777,4778], Nb 3 Ga, [4779, 4780], Nb 3 Si [4781], V3Si [4751], V 3 Ga
[4751], crystallizing in the A15 cubic structure and nitrides and the carbo-
nitride of niobium [NbN [4782], Nb(C, N) [4783]] crystallizing in the B l
rocksalt (NaCl) structure.
CVD is a low-cost process amenable to mass production of practical
superconductors in the form of long tapes or wires. However, by using this
relatively complicated and unclean process the control of the layer microstruc-
ture and composition is relatively difficult. Moreover, it is often necessary
to use impurity doping of the layers in order to obtain high critical-current
densities.
These materials (Nb 3 Ge, etc.) have also been prepared in thin film form
by using some physical vapour deposition processes such as sputtering and
electron-beam evaporation.
Sputtering offers the advantage of producing layers with excellent super-
conducting properties without any doping to control microstructure, but it
is a low-rate process.
Evaporation is a clean high-rate process, but its cost is high and, simi-
larly to CVD, microstructure and composition control is relatively difficult.
It may be concluded that, at present, CVD is the preferred process for the
fabrication of practical superconductors, particularly metastable ones, such
as Nb 3 Ge that cannot be obtained by conventional metallurgical processes.
Superconducting compounds are typically obtained by the hydrogen
reduction of mixed chloride vapours at temperatures of 700— 1100°C. Chloride
vapours are obtained by means of in-situ chlorination of metals, the evapora-
tion of solid chlorides, or the bubbling of liquid chlorides.
Superconducting properties, such as the critical temperature T c , above
which superconductivity disappears, the upper critical field Hc2 (4.2 K)
below which a material is superconducting at the temperature of liquid helium
and the critical current density Jc (H, T) above which the superconductivity
is lost, are determined mainly by the crystal structure and chemical composi-
tion of the material. Useful CVD deposits should be polycrystalline with
either a fine-grained microstructure or a coarse-grained ministructure con-
taining defects such as second-phase impurities capable of flux pinning.
Superconducting materials deposited on a metallic substrate ribbon are
used in the form of long tapes for producing power transmission cable.
For this application, with no field applied, J'Q s between 106 and 107, A/cm 2
are required at the operating temperature.
Another important use of superconducting films (typically 1 to 10 μΐη
thick) deposited preferably on filaments is in high field magnets. In this
case, the material must carry a Jc ^ 105 A/cm 2 at the field and temperature
of utilization.
Table 15.1. summarizes the preparation processes and superconducting
properties of CVD superconducting films.
Nb 3 Sn, niobium stannide, is the most widely used superconducting com-
pound [4752 — 4755]. It can be prepared on ceramic materials and various
metal substrates such as the nickel-based alloy Hastelloy by using several
techniques [4754]:
(1) HC1 transport of a sintered Nb 3 Sn source;
457
TABLE 15.1
Summary of Preparation and Properties of Superconducting Compounds [47^ 1 j
■i^max (kG)
Binary Substrate H C 2 (kG) a t which
No. Structure R e a c t a n t system Tc(K) /c=105A/cm2 Reference
compound temperature (°C) a t 4.2K
was attained
a t 4.2K
5 V 3 Ga -, — 150 [4751]
459
TECHNIQUES
Magnetic materials can be divided into two major classes: garnets [4786—4805]
and ferrites [4806—4815]. A few transition metal oxides [4816—4820] fall into
the category of magnetic materials as well. Single-crystal epitaxial films of
magnetic materials have been grown, in addition to LPE and sputtering, by
using CVD processes [4784, 4785, 5158].
15.3.1 Garnets
460
SUPERCONDUCTING A N D MAGNETIC THIN FILMS
15.3.2 Ferrites
461
TECHNIQUES
16.1 Introduction
In the last twenty-five years major advances have been made in the technology
and science of thin films prepared using chemical vapour deposition. The
purpose of this chapter is to carry out a review of the applications of chemical
vapour deposition processes which are widely used today in solid-state device
technology. A detailed description is given of the applications, including the
most recent, of a very wide number of thin film materials, ranging from semi-
conductors, dielectrics, and metals to superconductors and ferrites.
Deposited films having semiconducting, insulating, conducting, magnetic,
or superconducting properties can be used in various fields, especially in elec-
tronics, microelectronics, microwave electronics, and optoelectronics [4821 —
4825].
In manufacturing process flow charts used in the technology [4826—
4859] of various types of device, there are many CVD processing steps.
Thus, planar technology — the main processing technology of semiconductor
devices and integrated circuits — uses CVD processes such as oxidation,
epitaxy, diffusion, passivation, and metallization. CVD processes are also
included to a very great extent in the following improved technologies, which
have resulted from the evolution of standard planar technology: LOCOS,
PLESA, SIPOS, beam-lead, bipolar, and MOS (or SOS/MOS) technologies.
CVD thin films are applied as temporary or permanent components in
the fabrication of various structures in semiconductor devices (diodes, bipolar
or field effect transistors, thyristors) [4860—4996] and integrated circuits
(bipolar, MOS and SOS/MOS structures) [4997-5017].
The development of CVD techniques also enables various microwave
device structures to be fabricated [5018—5041].
These devices are based mainly on silicon and III—V compound semi-
conductors, obtained in very high purity by means of epitaxy. In the area of
microwave applications, the most important devices which utilize CVD layers
are the following: transferred electron devices (Gunn diodes), varactor diodes,
pin diodes, IMPATT diodes, Schottky-barrier field effect transistors, and
465
APPLICATIONS
466
USES OF CVD T H I N FILMS
T A B L E 16.1
Uses of CVD Thin Film Materials
1 2 3
A. S E M I C O N D U C T O R S
Homoepitaxial films
467
APPUiCATIONS
T A B L E 16.1 (continued)
1 2 3
Heterojunctions
16 Ge/GaAs ! IMPATT diodes [3549], photodiodes [4860]
"Ϊ7~ SiC/Si LEDs [2575], Schottky-barrier F E T s [2571]
~Ϊ8~ AlP/GaAs solar cells [2845]
19 AlAs/GaAs solar cells [2850]
20 AlSb/GaAs solar cells [2851]
~ΪΓ GaP/Si L E D s [3559]
22 GaAs/Si F E T s [3579, 3580, 3587]
"13" GaAs/Ge solar cells [5093]
"24" GaAs/GaP photocathodes [5149-5152]
~Β~ InP/CdS solar cells [3608]
26 AlGaAs/GaAs Gunn devices [3038, 3039], F E T s [3040], LEDs [302η, laser
diodes [2997-3026], photodiodes [3045, 5139], HBTs (photo-
transistors) [3040-3043, 5145, 5146], waveguides [5067], photo-
cathodes [3044], OEICs [30^6, 3037]
27 GaAsP/GaAs high-power rectifiers [2701], high-temperature transistors [2701],
Gunn devices [2701], LEDs [1148, 2706, 3 0 5 8 - 3 0 6 3 , 5045],
injection lasers [3064 — 3068], photocathodes [3069], isotype
heterojunction electrodes for PECs [5123, 5124]
2^ GaAsP/GaP L E D s [1148, 5045]
29 GalnAs/GaAs or F E T s [3085, 3086, 3 0 8 8 - 3 0 9 0 , 3132], L E D s [3116], photo-
InP diodes [3086, 3097, 3 1 0 0 - 3 1 0 6 , 3111, 3128, 5132-5134, 5 1 4 0 -
- 5 1 4 2 ] , photocathodes [2701, 5153, 5154], solar cells [2756,
3119, 3121], waveguides [5065]
30 GaAsSb/GaAs or solar cells, photodetectors, photocathodes [2701]
InP
31 G a l n P / G a P or LEDs [3146, 3147], laser diodes [3152, 3155, 3156], solar cells
GaAs [3151], photocathodes [5153]
32 InAsP/InP microwave devices, infrared LEDs, NEA photocathodes [2701,
5154]
33 AlGaSb/GaAs potential optoelectronic devices
"34" AlGaP/GaP potential optoelectronic applications
35 GalnPAs/GaAs LEDs [2595], laser diodes [2910, 3183, 3184, 3 1 9 1 - 3 2 0 3 , 5312],
or GaP photodiodes [5143], photocathodes
36_ AlGalnP/GaAs laser diodes [3216-3218, 3209, 3210]
_37_ AlAs — GaAs/GaAs MQWs [5277], SLs ]5297-5302]
38 AlGaAs-GaAs/Si LEDs [3582, 3583, 3587], laser diodes [3585, 3586]
39 AlGaAs-GaAs/ ' solar cells [3028-3035, 5094, 5101-5103]
GaAs
468
USES OF CVD THIN F I L M S
1 2 3
40 AlGaAs —GaAs ohmic contact material on GaAs substrate [2737], SLs [5303 —
5307], SQW and MQW lasers [5227-5269, 5275, 5276], QW
LEDs [5270, 5271], HEMTs [5272, 5273, 5303]
41 GaAsP-GaP SLs [5314-5230]
42 GaAsP —GaAs SLs [5321, 5322]
43 GaAsP — Gain As SLs [5324, 5325]
44 GalnAs — GaAs SLs [5308-5313]
45 GalnAs-InP MQW lasers [5280], MQW waveguides [5293], SLs [5280],' 2DEGs
[5348, 5349], 2DHGs [5350]
46 GalnAs—In AsP/ transierred-electron photocathodes [5153, 5154]
InP
47 GalnP-GaAs 2DEGs [5344-5347]
48 G a l n P - GalnAs/ transferred-electron photocathodes [5153]
GaAs
49 AlAsP-GaAs SLs [5323]
50 I n P —InGaAs/InP photodetectors [3107]
51 GalnPAs-InP MQWs [5295]
52 GalnPAs-GalnP/ laser diodes [3155]
GaAs
53 AlGaPAs-GaAsP/ SLs [3220]
GaAs
54 InPAsSb-InAs/ SLs [5327]
GaAs
55 ZnO/Si or GaAs solar cells [3254], p-type diffusion in GaAs [2043]
56 ZnO/CdTe solar cells [3254, 5104]
51 ZnSe/CdTe or L E D s [3301], solar cells [5108, 5109]
GaAs
58 ZnTe/InAs, LEDs, solar cells [5108]
CdTe or ZnSe
59 CdS/InP solar cells [5105, 5106]
60 CdS/CdTe solar cells [3313, 5107]
61 CdSe/ZnTe or solar cells [273, 5108]
CdTe
62 CdTe/CdS, GaAs solar cells [5108, 5110]
or ZnTe
63 ZnSSe/GaAs or solar cells, LEDs, photodetectors [3367]
Ge
64 ZnSSe-ZnS/GaAs SLs [5329]
65 CdHgTe/CdTe infrared photodetectors [3357, 3362]
66 PbSnSe photoconductors and photodiodes [4823]
67 PbSnTe photoconductors and photodiodes [4823]
68 ZnSiAs 2 /Ge solar cells [3649]
69 CuInS 2 /GaP solar cells [5650]
469
APPLICATIONS
1 2 3
Polycrystalline films
Amorphous films
81 Si:H p-n junction passivant [3950], bipolar heterojunction transis-
tors [3942], thin-film metal base transistors [3943], T F T s
[3944-3949, 4385, 4891-4894], Schottky diodes [3931], CCDs
[3956, 4980, 4981], LEDs [3818, 3932, 5088], Schottky-barrier,
MIS and p-i-n solar cells [3818, 3822, 3838, 3850, 3 9 3 3 - 3 9 3 9 ,
5086-5090], photoconductivity cells (photocells) [3818, 3930,
5129], photodetectors [3818, 3958], phototransistors [3959],
photoreceptors [3960 — 3962], optical storage devices [3818,
3940, 3941], photometers [3818], photothermal solar collectors
[3939, 5214, 5215], UV lithography mask [3957], LCDs [3951,
3952], Josephson tunnel junctions [3953, 3954], SLs [ 5 3 3 0 -
5336], photoelectrochromic devices [3955]
82 Si:F:H solar cells [3858, 3859, 5089]
470
USES OF CVD T H I N FILMS
1 1 3
471
APPLICATIONS
1 2 | 3
472
USES OF CVD T H I N F I L M S
1 2 | 3
96 A1N dielectric [2837], charge storage material [4430], capping layer
for GaAs ICs [2844, 4904], mask for B and P diffusion from
doped oxides [2835]
97 AlN-Si3N4 charge storage material [4430]
98 Polystyrene component passivation and dielectric for microcapacitors
[4455, 4460]
99 Polyparaxylylene encapsulant of various semiconducting devices [4451, 4454}
100 Polyviniliden piezoelectric film in acoustic transducer devices [4457]
fluoride
101 Polymethyl- dielectric and passivant for Si and GaAs devices [4460, 4462J
siloxane
102 Organtin polymers insulating and protective coatings in semiconductor devices-
[4471], intermediate adhesive layers [4469]
103 Organosilicon multilayer resists [4468]
polymers
C. CONDUCTORS
104 Al metallization of all silicon devices and integrated circuits
[4967, 4968]
105 Cr fabrication of contacts, resistors and photomasks [183], pro-
tective coating of metallic surfaces [183, 5218].
106 Mo metal gate, interconnect metallization and electrical contacting
i n M O S ICs [4541, 4552, 4732], etch and diffusion mask [ 4 5 4 7 -
4549, 4951], hot electron devices (metal-base transistors),
photothermal solar converters [5202, 5206, [5208-5213]
107 Ni selective absorbers in photothermal converters [5202], deco-
rative and corrosion-resistant coating [183], metallization of
ferrites [183], magnetic medium and conducting layer in tape-
recording systems [183]
108 Pt ohmic or Schottky contacts to silicon [4553], field plates in
MOS structure [4553]
109 Ta conductors in hybrid ICs [5024], refractory metal for VLSI
applications [4528, 4542]
110 Ti refractory metal for VLSI applications [4528, 4542], contacts
for Schottky-barrier diodes [4567]
111 W Schottky-barrier diodes [4505], contact layer, diffusion barrier,
interconnect line, and refractory gate for MOS SDs a n d ICs
[4517, 4 5 2 8 - 4 5 3 0 , 4541, 4542, 4732, 4965, 4967] in L S I a n d
VLSI technologies, Ge and III—V semiconductor metallization,
metallization of bipolar silicon transistors and ICs [4503],
spectrally selective absorber for photothermal solar converters
[5202, 5206], gratings embedded in epi-G&As layer for P B T s
[4879]
112 Ni—Cr resistors for hybrid ICs [4624, 5024]
113 Ni—Fe (Permalloy) magnetic fihns [4614]
114 TaAl resistors for thermal printheads [5185 — 5187]
115 TaN resistors for hybrid ICs [5024]
116 TaAIN resistors for hybrid ICs [4624]
117 CdO transparent conducting film in some applications [5217]
118 Cd 2 Sn0 4 electrodes in photogalvanic cells [202], glazed building windows
[5217]
473
APPLICATIONS
119 ln203 resistors [14], transparent conducting film for various device
applications, such as liquid crystal displays [4638], solar cells
[4638], heat mirrors [5217]
12Ö ITO transparent conducting film for LCDs, SIS heterojunction
solar cells [3791, 4664, 5113], glazed building windows [5217]
121 SnO a resistor for hybrid ICs, electrode in LCDs [4667], gate in CCDs,
electrode or antireflection layer in heterojunction, MIS and
Schottky-barrier solar cells [3789, 3790, 4664, 5111, 5112],
heat mirror coating for energy conserving windows [5217]
Ϊ22 TiB 2 photo thermal solar absorbers [5202]
123 ZrB 2 photothermal solar absorbers [4690, 4691, 5202]
124 C coating of fused silica boats in Ge and InSb zone refining and
crystal growing [11], coating of graphite susceptors in CVD
[5220]
Ϊ25 SiC wear-resistant material [2549, 4824, 5218], protective or deco-
rative coating, corrosion protection, diffusion barrier, coating
of graphite susceptors, coating of thermally heated parts in
silicon solid-state printheads, support film for X-ray litho-
graphy masks [4824], fabrication of micromechanical devices,
etch-resistant mask for etching silicon devices, interface mate-
rial for bonding
Ϊ26 TiC wear-resistant coating material [5218]
127 TiN protective and decorative coating on metal [5218], spectrally
selective material [5202]
128 ZrN spectrally selective material for solar absorbers [5202], hard
coatings [5218]
Ϊ29 B203 optical fibres [5057]
Ί30 Cr 2 0 3 photothermal solar energy conversion [5207]
131 Fe203 semitransparent coating on photolithographic masks [5173 —
5176], inorganic resist in laser and electron-beam lithography
[4717, 5174]
132 GeO a optical fibres [5054, 5055, 5057, 5058]
133 P2O5 optical fibres [5057, 5058]
134 Ti02 insulator [4247], antireflection layer [203, 3934, 5117], dielectric
for microminiature capacitors [4249]
135 ZrO a antireflection layer [3818]
136 vo2 resistors [14], optical information recording [183], devices for
viewing radiation [183], radiation attenuators [183]
137 wo3 electrochromic displays [5223]
138 MoSi 2 gate electrode, ohmic or Schottky contact and conducting
layer in VLSI technology [4541, 4542, 4 7 2 6 - 4 7 3 4 , 4962-4967]
139 NbSi 2 refractory gate electrode in VLSI MOS devices [4541, 4542,
4 7 2 6 - 4 7 3 4 , 4962-4967]
140 TaSi 2 refractory gate electrode in VLSI MOS devices [4541, 4542,
| 4726 — 4734, 4962 — 4967]
ΊΤΪ TiSi 2 refractory gate electrode in VLSI MOS devices [4541, 4542,
1 4 7 2 6 - 4 7 3 4 , 4962-4967]
142 PtSi 1 ohmic or Schottky contact to silicon [4553]
474
USES OF CVD THIN FILMS
475
APPLICATIONS
within a common plane on the surface of the wafer and the regions where the
junctions reach the surface are covered by a protecting oxide layer. The planar
epitaxial technology which begins by using 3/4"—8" diameter silicon wafers
on which a 2—15 μπι silicon epitaxial layer is deposited has lead to obtaining
devices with good electronic characteristics, owing to simultaneously ensuring
a low internal resistance and a high breakdown voltage at reverse bias.
The technology (Fig. 16.1) consists of various successive processes based
on thin layers (in which a central place is held by chemical-vapour-deposited
layers) by means of which the active zones or the insulating regions on the
wafer are defined (photolithographic processes, diffusion processes, processes
Ca) ept Si
Si Op . S/0>
V///////////////M ψ/////Μ//Μ///Λ
(bl
n*
(d)
Υ/////Λ
Ψ* V7m t XTTM
p—le^czq f77m\j_,mm
(*)
* T
(f)
A B
Fig. 16.1 Stages of manufacturing b y using planar technology:
A — epitaxial diodes: a — epitaxial Si substrate; b —- thermal SiO s
masking a n d passivation; c — opening of t h e diffusion windows;
d — ρ-type diffusion;e — ohmic contact deposition;
B — epitaxial transistors: a-d — as above; e—formation of oxide
mask a n d n-type diffusion; / — formation of thermally grown
S i 0 2 layer, its selective etching a n d metallic contact deposition.
476
USES OF CVD T H I N F I L M S
477
APPLICATIONS
\to2
< S/0;
r-Si
Pf Ti
Oxidation Etchingt\£tching
OxAtotö Oxidation
UttVäA (C)
(α) (b) <c)
(A)
(α) n, -epJ-Si P*
n^ S/02
p^^^^zs^^"
(a)
Z=Z
P+
n p
(b)
(b) Y^=^/
S/O,
(c) „N-dopedSTPOS
ψΟ-dopedSIPOS
meiat
Pt P M P+
id)
(d)
(D)
(B)
Fig. 16.2 Other technologies employed for manufacturing semiconductor device structures:
A — local oxidation of silicon (LOCOS) technology (after Appels et. at. [4832]; reproduced
with permission from P H I L I P S R E S E A R C H R E P O R T S , © 1970, Philips International
B.V., Eindhoven, The Netherlands):
a — structure having partially recessed SiO a in Si wafer; b — flat structure; c — mesa-
type structure;
B — P L E S A (planar + mesa) technology (after [4841, 4842]):
a — Si 3 N 4 and SiO a layer deposition; b — selective removal of SiO a and Si 3 N 4 with H F and
H3PO4 solutions, respectively; c — thermal oxidation of Si for obtaining a passivation layer;
d — diffusion and metallization;
C — bsam-lead metallization and Si0 2 —Si 3 N 4 passivation in the beam-lead technology (after
Peck and Zierdt, Jr. [4845], reproduced with permission from P R O C E E D I N G S OF I E E E ,
© 1974 I E E E ) ;
JD — transistor manufactured by employing semi-insulating polycrystalline silicon (SIPOS)
passivation (after Matsushita et cd. [3744]; reproduced with permission from I E E E TRANSAC-
T I O N S ON ELECTRON DEVICES, © 1976 I E E E ) :
a — structure obtained after the emitter and base diffusion; b — removal of thermal S i 0 2 ; c —
deposition of triple passivation layer (SIPOS doped with O, SIPOS doped with N, and S i 0 2 ) ;
d — window opening and metal contact deposition.
478
USES OF CVD T H I N FILMS
479
APPLICATIONS
n+ type deposition-diffusion
(diffusion from POCl 3)
+
Deposition-diffusion of p base
(diffusion from BBr 3)
Gold diffusion
Deposition-diffusion of resistors
(diffusion from BN)
Emitter diffusion
(diffusion from POCl 3)
Contact opening
between the source and the drain. In addition to standard metal-gate MOS
technology (Fig. 16.44), several technological variants have been developed,
e.g. Si-gate MOS technology, which allows one to obtain MOSFETs with low
threshold voltages (Fig. 16.AB), and complementary-MOS-structure technology
(C-MOS technology), which uses a combination of MOSFETs of opposite pola-
rity (one MOSFET with an η-type channel and one MOSFET with a p-type
channel) in order to ensure certain special types of performance, such as low
power consumption and high speed (Fig. 16.AC). Other improvements, such as
the use of new gate insulators (Si 3N 4 or A1 20 3) or conductors (suicides like WSi 2,
MoSi 2, TaSi 2, TiSi 2, NbSi 2 or metals like W, Mo), provide the means of
obtaining MOS transistors with stable characteristics by removing the effects
caused by oxide charge and low threshold voltage. The use of sapphire or spinel
substrates also allows the production of transistors with high frequency
performance (SOS technology).
SOS technology [4856—4859] is based on the deposition of silicon epitaxial
layers on an insulating substrate, usually sapphire. The main advantages of
SOS technology are the following: the reduction of electrode and inter electrode
parasitic capacitance (due to the substrate), thus permitting one to achieve
high speed and high frequency performance; and considerable reduction of the
area of the circuit elements due to simple dielectric insulation ensured by the
substrate. The shortcomings of SOS technology arise mostly from the proper-
480
USES OF CVD T H I N F I L M S
n-S/ n-Si
n-S/
(a) C X / c if
S/Oo
•S/O2
{b) [773 fv£j [773 F77^ g V//////////J\
(g)
(h)
B
Fig. 16.4 Stages of manufacture of MOS ICs
[MOS technologies for obtaining single (metal or polysilicon gate) and
complementary structures:
A —metal-gate MOS technology:
a — n-type silicon wafer; b — SiO a growth and S i 0 2 selective etching by
using a photolithographic m a s k ; c — growth of gate insulator(SiO a );
d — source and drain window opening; e — boron diffusion; / — for-
mation of metallic contacts.
B — polycrystalline-silicon-gate MOS technology:
a — Si wafer; b — thermal oxidation; c — growth of gate insulator;
d—CVD of poly-Si) e — source and drain window o p e n i n g ; / — b o r o n
diffusion; g —formation of metallic contacts.
C — complementary-MOS (C-MOS) technology:
a — Si wafer; b — thermal oxidation and etching by using a mask; c —
first boron diffusion; d — second boron diffusion in the areas marked in
the previously thermally grown SiO a layer; e — phosphorus diffusion i n
the areas delineated in thermally grown SiO a layer; / — SiO a etching
by using a photoresist mask in order to p a t t e r n the gate zones; g— gate
oxidation and delineation by using a photoresist mask of the contact
areas; h — obtaining of metallic contacts (by metallizing the structure
and then etching by using a suitable photoresist mask).
481
APPLICATIONS
tallization, and passivation. The essential difference lies in the fact that silicon,
which does not play an active part, is removed by etching or converted into
a non-conducting oxide, thus delimiting separate silicon zones. By using
SOS-MOS technology metal-, polysilicon-, refractory metal-, and suicide-
gate single or complementary structures are usually obtained.
482
USES OF CVD T H I N FILMS
Fig. 16.5
A diode structures:
a — mesa-type diode achieved by using diffu-
sion in an epitaxial substrate ; b — planar diode;
c — epitaxial planar diode; d — power rectifier
diode passivated with Si0 2 —Si 3 N 4 ; e — metal-
semiconductor diode (Schottky-barrier diode);
/ - MIS diode;
B — thyristor structure, consisting of an n-type
substrate having two p and p + layers epita-
xially deposited on both sides, and an n + zone
formed by diffusion.
483
APPLICATIONS
Si09 S Ö
(a)
S!3N4 f i )
SiO-
*m^
(P)
-Sl3N4
ft*
ZJKSBIHI '--SUB 2 epi
n
(c) (I) n' (q:
p* M
id) IA
(0 w**r*m (s)
S G B
E
.%
Si02 (m) ψΆτχ
wmmrngzi
WW#M$p (1 )
(«)
A
S G D
(f)
i&—.wro
(u) U-GLASS
Β^$ψΑ p i U
o)n
SiO,< ' a-Si
(9) ■ Nitride
(v) .n+Si(6ate}
484
U S E S OF CVD T H I N F I L M S
485
APPLICATIONS
486
USES OF CVD T H I N FILMS
487
APPLICATIONS
:H
»'7 „ Si 1
/
P
E1 n* B f C
ir ift-M^itdmjgf Hffrw nm ,, n 1μ Hi'llllH
I1
Y ■■Τ1Ϊ37ΤΤ22Ϊ/ fl pΙ'
CO I ' <I ■ P'■ · · /o· · J \p l
fnpn
(d) pnp ^ ^ nieptj
n* substrate
theless they should be electrically insulated from each other in order to avoid
the electric interactions between the components, such as current leakage,
parasitic capacitances, and voltage breakdown.
Insulation between the components is usually achieved by reverse pola-
rizing the p-n junctions which form the side walls of each device, while
the substrate delimits the back of the device [4921]. The existence of junctions
inherently involves normal capacitances and leakage currents; thus com-
plete insulation is not realized. Although several advantageous changes to
conventional methods are being used (Fig. 16.8), such as insulation by diffu-
sion of a wall in the collector [4923], insulation by diffusion of the base [4924],
self-insulation or epitaxial insulation [4925], nevertheless the insulation quality
is limited by the capacitance and the leakage current present m the junction.
The dielectric insulation method, which can be applied in several ways.
such as by using the oxide, V-channel, polysilicon or ceramic processes, re-
moves these shortcomings. The oxide process, the most widely used in the
semiconductor industry, includes a number of variants: the local oxidation
of silicon (LOCOS), the isoplanar process, the recessed oxide isolation process
the polyplanar process, insulation with porous oxidised silicon (irUb) ana
electric isolation with Si0 2 by employing an etch-refill technique (Fig. 16.9).
The etch-refill technique [4926] (Fig. 16.94) uses an Si0 2 layer for device
insulation instead of a diffusion process. The insulating dielectric forms both
the sides and the back of each device. This technique achieves better package
densities than insulation based on junctions, but it is more expensive, more
488
USES OF CVD T H I N FILMS
■p-Si
isolation) (after Senhouse et al. [4924]); d — pro-
cedure in which the isolation diffusion is carried
/ fc£/v
out concomitantly with collector diffusion (col-
lector-diffusion isolation) (after Murphy et al.
[4923]).
(c)
Έ *epifn-$r
I ,( \ P-Si
I E C
(d)
/■
m-■ /■■ ~ - ^ — ^diff.p-Sf
n+
p-Si
epi,n£i
complex, and requires larger chips, since the etching of deep moats on the
Si surface is necessary.
Polyplanar process [4927, 4928] (Fig. 16.9B) is based on creating insu-
lating moats by means of the anisotropic etching of Si (100).
Polycrystalline silicon insulation [3710] (Fig. 16.9C) is based on the
simultaneous deposition of epitaxial silicon layers, the latter being formed
on an Si0 2 layer in the insulating regions. The insulation diffusion will take
place concomitantly with base diffusion, because the dopant will diffuse
more quickly in polycrystalline Si. A variant of this method omits the diffu-
sion step, the electric insulation being obtained by high resistivity poly-
crystalline Si.
A new process derived from the LOCOS technique is isoplanar insulation
[4929] (Fig. 16.9D) which allows one to obtain small-geometry high-density
integrated circuits with an essentially planar topology. The region of insu-
lation is here an insulator, so that both the base and collector regions can
be situated in its neighbourhood. The isoplanar technique of oxide insulation
resorts to CVD-Si 3 N 4 .
IPOS technology [4930—4933] is in principle an insulating technology
similar to the LOCOS or isoplanar technology, except for the fact that the
zone to be oxidised is converted into porous Si before oxidation by em-
ploying the anodization of Si in an H F solution (Fig. 16.9E).
Insulation with recessed oxide [1364] contributes to improving the per-
formance and density of silicon integrated circuits by using the dielectric
insulation of active components with thermal Si0 2 , thus leading to devices
having flat surfaces. When using the Si 3 N 4 masking, KOH anisotropic etching
of Si (100) substrates, and thermal oxidation, structures with recessed or
semirecessed S i 0 2 below the initial surface are obtained (Fig. 16.9JF).
489
APPLICATIONS
n-
(α) (a) I n>\
3 ^©^
E71 I V I WΛ/ VIHNK
(b)
θρ/,η-δ/ (undcpjkl)
(b)
(c)
n,epi
w,
(e)
Po/y-S/'
Bqp~
5w Poly-Si
Poly-Si (p-type}
<f) P^ 2 5 ^ 3 ^^
(9)
yoty-Si
epi-Si n* SiiN,
fbN4
YS/£fe/?//£
s;o2 ( b ) ^ ^ s S ' - p
( OB
f t f^
^ " ^2 5/
^oxidation
D At
491
APPLICATIONS
same oxide window) have a narrower channel, situated between the source
and drain diffusions, consequently being faster. V-MOS devices have gates
on V-shaped moats on the chip surface, such systems thus becoming ex-
tremely compact and dense.
The two new classes of MOS type devices which have greatly influenced
the technology of silicon integrated circuits are nonvolatile semiconductor
memory devices and charge transfer devices.
Nonvolatile semiconductor memories [4949—4968] use MNOS or MAOS
transistors. With such devices (as previously described), the charge may be
stored in Si3N4 near the Si02—Si3N4 interface β and subsequently used in
memory circuits. As the Si0 2 layer is only 15 —30 Ä thick, the charges induced
by an applied voltage tunnel from the Si through the oxide to the Si02—
Si3N4 interface. The advantage of this structure over conventional bipolar
or MOS memories lies in the fact that the information stored cannot be lost
when the current is switched off. The structure can be modified by replacing
Si3N4 with A1203 or Si0 2 : Si or by introducing W [4956] or Pt [4957] particles
at the Si02—Si3N4 interface in order to increase the trapped charge. Related
to the MNOS structures is the floating gate memory [4958—4960]. This
structure uses a buried polycrystalline silicon gate for charge storage. Com-
pared to MNOS device, the floating gate device has a better ability to store
data, but erasure is more difficult. Since under the buried gate there is a
thicker Si0 2 layer (1000 A) the device operation is based on an avalanche
electron migration obtained by means of injection through the oxide. Semi-
conductor memories, like all types of MOS VLSI devices, can be fabricated
using refractory metal [4961—4963] or metal suicide [4962—4968] gates.
Charge transfer devices (Fig. 16.11) represent a class of devices and
circuits which can move discrete charge packs in a controlled way along a
p+ diffusion
P ^ n-S, 1
poly-Si
492
U S E S OF CVD T H I N F I L M S
poty-Si
Mto^mL· ^4^ψ^τ£\
p+ n'p+
SAPPHIRE
( a ) SAPPHIRE (d)
fj^r^A
nT p ry
SAPPHIRE ^SAPPHIRE
(b) (e)
poly-St
ßaJSpv&UQ
P+n p* n+p n+
SAPPHIRE SAPPHIRE
(c) (f)
Fig. 16.12 Transistor structures in SOS/MOS ICs (using epitaxial silicon layers on an insula.
ting substrate, i.e. sapphire):
a - SOS/MOS field effect transistors with p-channel (PMOS-SOS); b - SOS/MOS field
effect transistor with n-channel (NMOS-SOS); c — SOS/MOS field effect transistor with
n-channel working at deep depletion; d — SOS/MOS field effect transistor with p-channel
having polycrystalline Si g a t e ; e — SOS/CMOS transistor consisting of a P-MOS transistor
and a N-MOS transistor; / — SOS/CMOS transistor having a silicon gate.
493
APPLICATIONS
E
n+ SGDn+SGD SiQ2
++
' P I I \Pr- ' ' ' ■
(b*)
>/1 SAPPHIRE
tion (graphoepitaxy) [5013], silicon on sapphire (SOS) [5014], and full iso-
lation by porous oxide silicon (FIFOS) [5015].
Recessed LOCOS involves the etching of silicon to create a recessed step
followed by the standard LOCOS process.
SWAMI is similar to recessed LOCOS having the recessed side wall
masked with an oxide and nitride layer (Fig. 16.144).
Trench isolation involves deep silicon etching using R I E followed b y
trench isolation with an oxide and polysilicon layer (Fig. 16.145).
SEG involves the selective growth of single crystalline silicon over Si
in windows created in an oxide layer (Fig. 16.14C)
ELO involves the lateral growth of epitaxial silicon over oxide by using
the SiH 2 Cl 2 —HC1—H 2 system at low pressure (Fig. 16.14D).
Epitaxial silicon over buried dielectrics is based on the formation of
deep Si0 2 or Si 3 N 4 layers in silicon substrate by high dose O or N ion im-
plantations (Fig. 16.14£).
Graphoepitaxy inveoles the seeded or unseeded recrystallization of melt-
ed CVD polysilicon b y using various heat sources, such as strip heaters,
halogen lamps, laser or electron beams (Fig. 16.14F).
SOS involves the growth of heteroepitaxial silicon on single-crystalline
substrates such as sapphire or spinel. The electrical properties of these layers
can be improved by means of channeled silicon ion implantation, followed
by solid state regrowth.
F I F O S uses the growth of epitaxial silicon over oxidized porous silicon.
IPOS involves the anodization of the exposed silicon to form porous
silicon, which is then oxidized.
SILO involves a tri-layer system consisting of PECVD, thermal, or
ion-implanted silicon nitride over silicon, followed b y LPCVD oxide and
cap nitride (Fig. 16.14#).
BOX involves the recessed etching of silicon and refilling using a CVD
oxide.
The direct moat process includes several ion implantations as well as
CVD oxide and nitride layers (Fig. 16.14G).
SEPOX is another VLSI isolation technology involving selective poly-
silicon oxidation to form a thin oxide on the active area (Fig. 16.147).
494
USES OF GVD T H I N FILMS
Si3N/,I
(a) ^JggssiL,
Si3fyl
Trench~+M
m n-welim
p-Substrate
Si3N,H
B.
Si02
(a) pHHH^s/
(b)
-S/ fr/m
Z Z Z Z Z Z Z P /mp/anfed %W4
(a)
l-S/' substrate
/ u\ F^ 1^? ^3
5
' Deposited Oxide \
/ ς \ p±L 17^71 EÖ
^epi-Si
BZ23
(d) ^
Fig. 16.14 Advanced isolation techniques for MOS VLSI integrated circuits:
Λ - SWAMI process (after Chiu [4988]; Copyright © 1982 Hewlett-Packard Ccmpany; re-
produced with permission):
a — growth of Si0 2 and deposition of LPCVD Si 3 N 4 followed by island patterning and etching.
(The sloped sidewall en (100) single-crystal silicon can be obtained by using plasma etching
in C 2 F 6 or wet chemical etching in K O H ) ; b — deposition of a second Si 3 N 4 layer, after grow-
ing a second SiO a layer; c — etching of the second Si 3 N 4 layer; d — growth of field oxide;
e — nitride removal and refill step using LPCVD oxide ; / — finished isolated structure before
gate oxidation, obtained by partially etching S i 0 2 ;
B — trench isolation technique based on etching a deep trench between two devices and refil-
ling the trench with a dielectric material (LPCVD SiO a or polysilicon) (after Chiang [4993],
copyright © 1982 Hewlett-Packard Company; reproduced with permission);
C — isolation process using selective epitaxial gicwth (after F n c o et al. [4999]; reproduced
with permission frcm I E E E TRANSACTIONS ON ELECTEON DEVICES, @ 1984 I E E E ) :
a — R S E patterning of thermally grown cxide; b — deposition of LPCVD Si 3 N 4 or polysili-
con; c — reactive sputter etching; d — selective gro\Uh of epitaxial silicon into S i 0 2 windows
at reduced pressure using the SiH 2 Cl 2 —H 2 —HC1 system;
D — epitaxial lateral overgrowth process based on lateral epitaxial LPCVD of silicon over
oxide using the SiH 2 Cl 2 —H 2 —HC1 system (after Jastrzebski [5005]; reproduced with permission
from RCA Review):
a — patterning of S i 0 2 layer; b — initial epitaxial growth; c — intermediate stage of epitaxial
growth ; d — final structure ;
E — isolation using a buried nitride structure obtained by means of deep N ion implantation
into a monocrystalline silicon substrate (after Zimmer and Vogt [5011]; reproduced with per-
mission from I E E E TRANSACTIONS ON ELECTRON DEVICES, (§) 1983 I E E E ) :
F — dielectric isolation process achieved by using recrystallized polysilicon as substrate for the
fabrication of devices {e.g. MOS transistores) (after Kamins et. al. [4885]; reproduced with
permission from I E E E TRANSACTIONS ON ELECTRON DEVICES, © 1980 I E E E ) ;
495
APPLICATIONS
Si
(b)
Phoioresisi
Si3NA
field oxide Poty-Si
G — direct moat isolation scheme (after Wang e/ aZ. [5017], reproduced with permission from
I E E E TRANSACTIONS ON ELECTRON DEVICES, c 1982 I E E E ) :
a — thermal oxidation and field implantation using double boron implants; b — field oxide
deposition (PECVD SiO a ), argon implantation to enhance top S i 0 2 etch rate and deposition
of LPCVD S13N4 serving as etch mask; c — removal of Si 3 N 4 by plasma etching in the moat
regions and moat wet etching;
H — SILO process (after Hui et al. [5016]; reproduced with permission from I E E E T R A N -
SACTIONS ON ELECTRON DEVICES, © 1982 I E E E ) :
a — initial local oxidation process using a composite structure (100 Ä thermal plasma nitride -f
200 Ä LPCVD S i 0 2 + 1000 A LPCVD Si3N4) as an oxidation mask; b — final abrupt transition
region obtained. The LPCVD Si 3 N 4 layer suppresses lateral oxidation, since its lifting up by
the oxidation force is difficult;
I — process sequence of S E P O X technology (after Matsukawa et al. [4985]; reproduced with
permission from I E E E TRANSACTIONS ON ELECTRON DEVICES, © 1982 I E E E ) :
a — S13N4 and poly-Si deposition on thermaly oxidized silicon wafer; b — R I E of Si 3 N 4 and
field boron implantation thorugh poly-Si; c — selective field oxidation; d — R I E of Si 3 N 4 and
poly-Si; e — residual poly-Si oxidation followed by oxide gate growth.
496
USES OF CVD T H I N FILMS
fsio m
y& ntn NSbte*'
r ή* l· '
S G
KM
n orn/n'GaA^
GaAs ;CrJ
497
APPUCATIONS
498
USES OF CVD T H I N FILMS
499
APPLICATIONS
n-GaAs substrate
i
CVD of epitaxial n-GaAs^^P^
(using G a - H C l - A s H 3 - P H 3 - T e ( C 2 H 5 ) 2 - H 2 system at 700-850°C)
I
Formation of diffusion mask (Si3N4)
(from S i H 4 — N H 3 - H 2 system a t 700°C)
1
Zn diffusion
Laser diodes (Fig. 10.6) [5049, 5050] are based on stimulated infrared
or visible light emission by using radiative recombination processes in p-n
junctions made of various III—V compound semiconductors having direct
band gaps. Compared with the light emitting diode, the laser diode has an
optical cavity and requires electron population inversion. The high performance
laser diode incorporates one or more GaAs—Al^Ga^As, GaAs—Ga^I^P,
or In^Ga^AsyP^ —InP heterojunctions in the structure (Fig. 16.18).
A recent development is the fabrication by using MOCVD of Ga^AlaAs—
GaAs hetero junction lasers (GaAs active layers of 200 A or less), having a
continuous room-temperature emission based on quantum size effects (Fig.
16.245).
Optical waveguides (optical fibres) [5051—5068] serve for the low-loss
transmission of light. A waveguide consists of a central core surrounded by
V
GaAs06P04:Te
4N<
\-2
GaAS]_xPx: Te ^variable)
-1
GaAs
VJ,.)))„/»»)»»))A Fig. ,16.17 Schematic representation
of GaAs^^P^ L E D device structure
(after Craford [5045]; reproduced with
permission from I E E E TRANSAC-
TIONS ON ELECTRON DEVICES,
© 1977 I E E E ) : a - on GaAs sub-
strate (red light emission); and b — on
GaAsj_xPx:Njcixzct) GaP substrate (amber, yellow or green
light emission):
1 — substrate ( ~ 2 0 0 μπι); 2 —epi-
taxial graded layer ( ~ 50 μπι); 3 —
epitaxial constant composition layer
( ~ 50 μηι); 4 — p-type Zn-diffused
region (1 — 2 μπι)ί 5 — Si 3 N 4 diffusion
mask (0.6 μτη) ;6 — metallic contact
(0.8 μπι).
500
USES OF CVD THIN FILMS
~1.0um.
Q3-Wjum\ ±Gate:Zn -1.0pm. J - Gate:Zn
1.0-2.0μιτ)\ ±AlxGa1_xAs<Zn(x=Q27-Q5) f AlxGahxAs-Zn(x=Q27)
0.01-Q3pm lGaAs~(undoped) ^Mprnf T Gate (undoped)
1.0-2.0pm AAlxGouAs:Se(x=0.27-a5) A 4- AlxGa1_xAs:5e(x=Q27)
0.3-1.0pm 3GaAs-.Se -2pm J- GaAs: Se
4(100) GaAs: Si ~2vm } (100) Gate: Si
(b)
(a) 03pm GaAs.-Zn
Wpm ^ AI0A5GaQ55te:Zn
(c)
01pm Al
0.14Ga0.86^: Ζη
1.0pm Ala45GaQ55te:Se
05pm Gate:Se
- GaAs:Te
A p+-Gate
Cr-Au 0.5pm Yp-AlGate
Si 02 1000 A \ n-Gate
P*-Gate 1pm ■Cp-AlGaAs
p-AlGate 1pm undoped Gate
K GaAs (undoped) ^n-AIGate
{ n - A ' G a A s 1.4pm -Gate
n-GaAs 0.3pm
(100)GaAs: Si h GaAs substrate
(b)
a medium of lower refractive index. Presently, the best optical fibres are
prepared by means of plasma activated CVD, employing successive deposi-
tion of at least two different oxide layers (e.g. Si0 2 , Ge0 2 , B 2 0 3 , or P 2 0 5 )
onto the inner wall of a quartz tube. The optical fibre is subsequently drawn
from this tube (Fig. 16.19). Other procedures employed are outside vapour-
phase oxidation (OVPO) and modified chemical vapour deposition (MCVD).
In OVPO the oxides formed by the oxidation of SiCl4, GeCl4, POCl 3 , or
BC13 sources are collected on a mandrel which is then removed. In MCVD,
the oxide materials are deposited by reacting vapour constituents inside a
silica tube heated by using a movable oxyhydrogen torch.
Solar cells are photovoltaic devices which can convert sunlight directly
into electrical energy [5069 — 5076]. A solar cell consists in principle of p-n
501
APPLICATIONS
(c)
502
USES OF CVD T H I N F I L M S
Sntyln
(a) n*Si
,οβίθ)
^aSi(rf)
-Stainlesa . u'-GaAs
~poly-GaAs
Steel ^
(b)
Grid
Graphite
n-CdS
(d) ^p-CdTe mwvffuffcfeffl
.p-ZnTe
^Ni —Au
10
GaMAstZn
a-$i(n+)
ikiAs:Zn
a-Si(i)
^GaAsiSe Sn02:Sb
'/////A
\ßaAs-Si l·- Gfais.
1? 13
Fig. 16.20 CVD solar cells:
.4 — general sketch of a solar cell:
I — bulk semiconductor; 2 — thin film semiconductor; 3 — collecting
junction of the generated charge carriers; 4 — antireflective layer; 5 —
top contact; 6 — back contact; 7 — sunlight;
B — various solar cell structures":
a — homojunction solar cells formed in epitaxial (1), polycrystaline (2),
.and amorphous (3) silicon layers; b — Schottky-barrier solar cells
in a-Si (4); c — MIS-type solar cells in epitaxial (5) or polycrystallme
(6) GaAs layers; <#—heterojunction solar cells: AlAs/GaAs (7); CdS/InP
(8); Sn0 2 /Si (9); CdS/CdTe {10); CdSe/ZnTe ( I I ) ; AlGaAs/GaAs (12);
and η+α-Si/i a-Si (13).
503
APPLICATIONS
Open P Ö
Effi- circuit Fill
circ
rent
A/ci
Cell
No Solar cell structure ciency vol- factor Refs.
type
η(%) tage U 3 K
O o *TT
FF
Voc(V) Ä tfl
C/3 t-,
1 2 3 4 5 6 7 8
504
USES OF CVD T H I N F I L M S
1 2 3 4 1 5 6 7 8
23 (n)e/>£-CdSe/(p)ZnTe HEJ 2.5 0,54 3.3 0.37 [273]
24 (p)e#t-CdTe/(n)CdTe HOJ 10.7 0.82 21 0.62 [5095]
25 (n)^o/y-Sn0 2 /(n)Si HEJ 12 0.6 29 0.68 [193]
26 Mg/^o/y-Zn 3 P 2 /mica SB 4.3 0.43 16.8 0.53 [3793]
27 Cr/th.SiO a /^i-Si//>o/y-Si MIS 8.8 0.58 21.5 0.70 [5121]
28 Al/Si 3 N 4 /th.Si0 2 /cr. (p)Si MIS 15.1 0.58 36.7 0.71 [5120]
29 Al/Si0 2 /th.Si0 2 /cr.(p)Si MIS 12 0.55 30 0.75 [5117]
30 ITO/th.Sn0 2 /(n)cr.Si SIS 11.5 0.52 31.5 0.70 [3791]
31 Sn0 2 /th.Si0 2 /(n)^o/y-Si SIS 10.1 0.56 26.6 0.70 [3790]
B. Concentrator solar cells
32 M/Si 3 N 4 /(p)<#i-GaAs/(p)Al(GaAs/ HEJ 24 1.15 5A 0.85 [303Φ,
(p)GaAs/(n)GaAs/(n)GaAs 3032]
33 M/Si 8 N 4 /(p+)«/>t-AlGaInAs/(p)GaInAs/ HEJ 21.4 0.85 17.7A [3119,
(n)GaAs/(n+)GaAs 3121]
prepared by depositing a thin metallic layer onto the surface of a bulk semi-
conductor or onto a substrate previously covered with a semiconductor film
(e.g. a-Si, GaAs). Heterojunction cells are prepared mainly by using V P E .
The most studied heterojunctions are the following: n-AlAs—p-GaAs,n-CdS—
p-InP, n-Si0 2 —n-Si, n-CdS—p-CdTe, n-CdSe—p-ZnTe, andp-Ga^Alj.^As—
n-GaAs. Photoelectrochemical cells may also contain CVD films such as
PECs using GaAs^^P^— G a A s ^ P ^ isotype hetero junction electrodes [5123,
5124]. CVD layers are also applied to concentrator solar cells operating at
high light concentrations (50—5000 suns).
Photodetectors are primarily photoresistors or photoconductors [5125 —
5129], photodiodes (homo junctions [5130—5133], hetero junction [5134], pin,
Schottky barrier, and avalanche photodiodes [5135—5143]), and phototran-
sistors [5144—5146] (Fig. 16.21). The main applications of CVD in the fabri-
cation of photodetectors are: homoepitaxial Si and Ge deposition, homo-
and heteroepitaxial deposition of GaAs and A l ^ G a ^ A s layers, donor a n d
acceptor impurity diffusion and antireflective layer (Si0 2 , Si 3 N 4 ) deposition.
I l l — V , II—VI, and IV—VI compound semiconductors (GaAs, I n S b ,
PbSe, PbS, H g - ^ Cd/Te, and P b ^ S n ^ T e ) have a number of important
advantages compared with elemental semiconductors (e.g. large absorption
coefficients, wide and variable band gaps, and the ability to form heterojunc-
tions). These characteristics have led to the use of these materials as efficient
and selective photodetectors, including those in the near and far I R ranges.
Photocathodes [5147, 5148] are electron emitters under the action of
light and may be considered a special type of photodetector device. There
are several classes of photocathodes of which only two (negative electron
affinity — NEA — photocathodes and transferred-electron photocathodes)
have been described as using CVD films [5149—5154] (Fig. 16.22).
Negative electron affinity is obtained in ρ-type compound semiconductors
(GaAs, etc.) by treating the surface (e.g. with a very thin coating of CsO
having a thickness of 8 A) so that the vacuum potential energy is lower
505
APPLICATIONS
f ] \ ] *» 1 A/ARIayer
n n
L c· W'
SC
(a) (b) (c)
6.
(g)
5/
C
(J)
^^AtQ5Ga05As:Se
Go/\s:Se
1—tfööJGaAsS/
fhl
InGaAs
r>GaAs \ In Ast
I Sapphire\ \lnPsubBtrdp
hi Fig. 16.22 Schematic drawing of photocathodes:
a — GaAs/sapphire transmission-mode N E A photoca-
thode; and b — InGaAs/InAsP/InP reflection-mode trans-
(a) (b) ferred-electron photocathode.
506
USES OF CVD T H I N F I L M S
than the lowest conduction band energy. Thus an electron diffusing near
the surface can be emitted into the vacuum. There are two types of NEA
photocathodes: reflection-mode cathodes in which light is incident on the
cathode-vacuum surface and transmission-mode cathodes, in which light
falls on the substrate or back of the cathode. Transmission-mode NEÄ photo-
cathodes can operate in the wavelength range required for application in
photomultipliers, image tubes, and camera tubes, and thus are potential
replacers of conventional electron emitters based on positive electron affinity.
Single-crystalline layers of GaAs, GaAs^^P^, Ga^In^As, GaAs^^Sb^
and InAs^Pa; deposited on semiconductor or oxide (sapphire) substrates
have found potential applications in transmission-mode NEA photoca-
thodes.
The second type of photocathode relies on the mechanism of transferred-
electron photoemission. This phenomenon occurs in certain III—V semicon-
ductors such as InP, (InGa)(AsP), and GaAs in which by applying relatively
small electric fields the electrons can be promoted to the upper conduction
band minimum. Photogenerated electrons can be transferred to the upper
minimum and have a great probability of escaping into vacuum. Field-assis-
ted photoemission has been also demonstrated in p-(InGa)P/p-(InGa)As/
GaAs and (InGa)As/In(AsP)/InP structures.
507
APPLICATIONS
Pt
5-4 "Pd
A :shN4
.?-4 . r ySf02
p. 2
i ^
j Drain Source ΊΓ
P"Si
H
V/S.N/RIR
V/S,N/R
VIS
I
Ambient
Ambient IR
!H ^ ϊόγη
Ambient IR
Low emittance
2 emittance
(b)
508
U S E S O F CVD T H I N FILMS
and modulators are based on the interaction between a guided light beam and
surface acoustic waves. The preparative requirements associated with SAW
devices of monocrystalline structure and adequate properties are satisfied
by a few piezoelectric materials such as ZnO, A1N, GaN, and CdS, grown
epitaxially on various low loss insulating substrates (sapphire, spinel, or quartz)
or on semiconducting substrates.
Liquid-crystal cells (Fig. 16.23C) contain the liquid crystal hermetically
sealed between two glass plates previously covered with a transpprent con-
ductive layer of Sn0 2 : Sb or Sn0 2 —ln 2 0 3 .
509
APPLICATIONS
510
USES OF CVD THIN FILMS
Chemical sensors are electronic devices based on the field effect principle
used to measure various chemical quantities such as hydrogen gas concentra-
tion, and the p H of an electrolyte. The hydrogen gas sensor (Fig. 16.23G)
consists of a Pd-gate MIS device (a transistor or capacitor) whose insulator
may be formed from CVD layers such as LPCVD-Si 3 N 4 , or APCVD-A1 2 0 3 .
CVD thin films also play an important part in the fabrication of photo-
thermal solar energy converters. A phototheimal solar converter is a collector
of solar radiation which is transformed into useful heat. Efficient conversion
at high temperatures requires spectrally selective absorber surfaces. These
surfaces have suitable properties, namely a high solar absorptance to maximize
the part of the solar radiation transformed to heat and a low thermal emit-
tance to suppress I R re-radiation losses. The most common photo thermal
solar converters operate on the principle of the absorber-reflector tandem
(Fig. 16.23ii). The tandem consists of a top layer which is absorbent over
the visible and near-infrared range (i.e. 0.3—2μΐη), but transparent to-
wards longer wavelengths, and an underlying layer which is highly-reflec-
ting and low emitting in the infrared (λ > 2 μπι). Such absorber-reflector
components (e.g. a-Si and Mo films) can be prepared by means of CVD.
From the point of view of durability, it would be desirable that spectral
selectivity be achieved by using a single material (e. g. CVD black Mo
films, which have both a high IR reflectance anda significant solar absorbtance).
Other spectrally selective absorbers produced by using CVD processes are
some refractory and oxidation resistant materials, such as dendritic W and
Ni, metal borides (TiB 2 , ZrB 2 , MoB 2 ), carbides (HfC, Zr C), nitrides (TiN, ZrN),
carbonitrides [Zr(CN), Hf(CN)], and suicides (MoSi 2 ). There are also solar
absorber stacks consisting entirely of CVD layers, e.g.Al—Si —Ge—Cr 2 0 3 —W
on a steel substrate.
Energy conserving windows are obtained by means of heat-mirror
coating to reduce the thermal emittance of glass. These coatings are highly
reflecting for wavelengths greater than 2 μηι, and transparent below this
limit. A heat-mirror coating is usually placed on the interior side of the glass
window and serves to reduce the coolirg load in the summer and the heating
load in the winter (Fig. 16.23/). Heat mirror single-layer coatings consist
mainly of l n 2 0 3 : Sn, doped Sn0 2 , and Cd 2 Sn0 4 . They can be obtained, in
addition to physical methods (sputterirg, evaporation, and ion plating), by
means of CVD techniques (hydrolysis or pyrolysis).
Hard coatings useful in numerous applications can be grown from the
vapour phase by using CVD techniques. These coatings can be divided
in several classes: nitrides (TiN, ZrN, HfN, TaN, NbN, CrN, MoN, BN,
Si 3 N 4 ); carbides (TiC, ZrC, HfC, VC, NbC, TaC, BC, SiC); oxides (A1203,
Z r 0 2 ) ; borides (TiB 2 , ZrB 2 , TaB 2 ); mixed compounds (e.g. carbonitrides
TiCJNTy); metals and alloys (Cr, etc.); and diamond-like carbon.
Electrochromic displays consist of a material, which can change colour
by applying an electric field or current, sandwiched between two opaque (Au)
or transparent (Sn0 2 ) electrodes, placed on a glass substrate. The most widely
studied thin film materials are W 0 3 and Mo0 3 , which can be obtained by means
of APCVD, SP, and PECVD.
511
APPLICATIONS
512
USES OF CVD THIN FILMS
6 Si3N4 D
kiutLk- metal
nAt GaAs (500 A)
undoped AlGaAs (100A)
undoped GaAs (5000 A)
GaAs substrate
^ GaAs:Zn (1pm)
^AIGaAs'-Zn(ljLim)
- undoped GaAs (200A)
^AlGaAs: Se(2jum)
^GaAs:Se(2jum)
^GaAs: Si substrate
(a)
mJGaAs:Zn(1jum)
t T AlGaAs'-Zn(1pm)2nd Confining Layer
InGaAs/lnPMQW
n~lnP buffer layer
nlnP substrate
513
APPLICATIONS
<b> (c)
Fig. 16.25 Typical equipments for ultra-thin film growth by using CVD in the ALE mode :
a — dual growth chamber reactor used for growth of GaAs in which the substrate is alternately
exposed to the two reactants: 1 — growth chambers; 2 — movable substrate holder;
b — single growth chamber reactcr used for growth of GaAs and AlAs in which the susceptor
consists of a fixed part, a rotating part, and a recess (in the rotating part) which holds the
substrate (after Bedair et al. [5342]; reproduced by permission of The American Institute of
Physics) :1 — quartz t u b e ; 2 — windows; 3 — fixed p a r t ; 4 — recess for substrate; 5 — rotating
p a r t ; 6 — rotating feed through; 7 — exhaust;
c — single growth chamber reactor used for preparing GaAs by alternately cycling TMG and
AsH 3 over the substrate (after Nishizawa et at. [5340]; reprinted by permission of the publisher.
The Electrochemical Society, Inc.): 1 — bell-jar reactor; 2 —
quartz plate; 3 — lights for photoexcitation; 4 — lamp for heating the substrate;
5 — substrate ; 6 — pedestal; 7 — pyrometer; 8 — quadrupole mass spectrometer;
9 — ion gauge; 10 — gate valve;* 11 — pumping system.
514
USES OF CVD T H I N FILMS
constituent elements of the film. For example, the growth of GaAs using
the ALE variant of CVD is acomplished using AsH3 and TMG introduced
alternatively over a single crystal substrate heated at 450—700°C in an eva-
cuated system.
ALE for preparing GaAs monolayers can also be achieved by rotating
he substrate-susceptor assembly so that the substrate is alternantely ex-
posed to the two reactants in a single or double chamber reactor. The double
chamber reactor is used for the ALE of GaAs using the Ga—HC1—AsH3
system.
In addition to monolayers, ALE appears to offer important advantages
in the growth of ultra-thin (10—100 A) films of heterojunctions with abrupt
interfaces, as required in SLs and QWs. ALE has been used to grow deposits
of monocrystalline materials (GaAs, AlAs, InAs, InGaAs, and ZnS).
Presently, its use is extended to other monocrystalline semiconductor (Si,
etc.) as well as to polycrystalline and amorphous materials.
Two-dimensional electron gasses [5343—5351] are single heterojunctions
consisting of compound semiconductor films such as AlGaAs/GaAs, GalnP/
GaAs, GalnAs/InP, and GalnAsP/InP at which interfaces the electrons
exhibit a two-dimensional behaviour. This special type of abrupt heterostruc-
ture has been prepared by means of APMOCVD and LPMOCVD, and has
found important applications in the fabrication of high electron mobility
transistors (HEMTs).
17
Present and Future Importance of CVD
Films
1 2 3 4
1 Substrate material Silicon wafers obtained from Very low defect density Si
preparation pulled bulk single crystals wafers obtained from pulled
bulk single crystals plus various
gettering schemes (e.g. covering
the wafer backside with CYD
Si 3 N 4 film, etc.)
Forming substrate b y using laser
or electron-beam recrystalliza-
tion of a CVD polysilicon layer.
519
CONCLUSIONS
1 2 3 4
medium scale integration (MSI), and large scale integration (LSI) in which
the number of functional elements on a chip is, respectively, up to 1,000,
10,000, and 100,000) to the present VLSI technique. It is observed that
CVD films continue to have an important role in all processing steps.
B. In general, the future trends of CVD films will also be related to
the future development of solid-state devices. It is anticipated that over the
next twenty-five years the semiconductor-device field will grow rapidly,
entering the last phase of its evolution, namely very, very large scale inte-
gration (V2LSI), also called ultra-large scale integration (ULSI) or wafer scale
integration (WSI). The ULSI circuits will contain over 1,000,000 functional
elements on a wafer having submicron feature size. To accomplish this pre-
dicted growth in integrated circuit complexity, current progress in processing
technology will continue. In this framework, further development is also
predicted, to a greater or lesser extent, in all areas of CVD films. Thus, the
CVD films will maintain their chief role in the future technology of solid-
state devices.
Several possible developments in the field of CVD films are as follows
[5364-5366, 5368, 5370].
520
P R E S E N T A N D F U T U R E I M P O R T A N C E OF CVD FILMS
As in the last period, preparation techniques will see the highest growth.
Preparation by means of APCVD of new materials in the epitaxial, polycrys-
talline, or amorphous state possessing desirable properties (e.g. various lesser-
known compound semiconductors, etc.) is expected.
Improvement in the properties and applicability of the known materials
by working out advanced CVD processes for their preparation, such as
MOCVD, LPCVD, PECVD, UVCD, LCVD, and EBCVD, will occur. MOCVD,
which has already been shown to be a rather powerful technique for the
preparation of a wide range of materials usable in high-performance devi-
ces, is expected to become a production technique, new applications would
especially include the development of semiconductor quaternary alloys.
The list of films prepared by using PECVD is also by no means complete;
a diversity of compounds, such as metal borides, carbides, nitrides, oxides,
suicides, sulphides, selenides, tellurides, oxycarbides, carbonitrides, etc.,
may certainly be prepared. Many additional compounds will be synthesized
by using UVCVD, LCVD, and EBCVD in the future. The modification of
CVD equipments, such as the development of automated, computerized or
even robotized reactors equipped with provisions for in-situ growth rate,
structural, and analytical measurements, will succeed.
Extended use will be made of silicon-on-Si02 substrates prepared by means
of laser recrystallization of polysilicon layers. Significant improvements may
be expected in homoepitaxial semiconductor films which will be required to
be more and more thin by applying low-pressure, low-temperature, selective
growth, and pyrolytic-reaction techniques. Heteroepitaxial semiconductor
films on insulating substrates will be further perfected by using substrates
of better quality and by acquiring a detailed fundamental understanding
of the heteroepit axial growth process.
Epitaxial semiconductor heterojunctions are expected to extend to a
variety of systems by utilization of the step compositional grading techni-
que. It is very likely that polycrystalline semiconductors will be more inten-
sively investigated, especially in conjunction with their utilization in the
fabrication of low-cost solar cells.
A variety of new amorphous semiconductors will be prepared in view
of their future important applications. The number cf dielectric films will
be enlarged and their quality will increase by using low-temperature or low-
pressure deposition techniques; as wafers become larger, their deposition
will be performed preferably in hot-wall tube reactors offering higher through-
put.
Materials required for metallization, such as Al, refractory metals, and
refractory metal silicides, will be obtained primarily by using LPCVD and
PECVD instead of evaporation and sputtering, since these methods provide
increased uniformity and purity.
The fundamentals of CVD processes are expected to be investigated more
intensively in the foregoing major directions. As a result of their increased
use, pyrolytic, organometallic, glow-discharge, and photochemical reactions
will be investigated in more detail. Using a variety of modern techniques, a
a more detailed knowledge of the thermodynamics, kinetics and mechanisms
of chemical processes will be obtained. Nucleation of CVD films will be inves-
tigated both experimentally and theoretically for a large number of film-
521
CONCLUSIONS
522
P R E S E N T AND F U T U R E I M P O R T A N C E OF CVD FILMS
it is hoped that the major aspects of the principles, techniques, and applica-
tions of CVD films have been covered. An attempt has been made to provide
a balanced approach including both scientific and engineering aspects. Most
of the information has been gleaned from the literature published up to 1988.
Extensive tables and a comprehensive bibliography have been presented to
facilitate the in-depth understanding of modern CVD thin-film techonology.
The interdisciplinary field of CVD films will undoubtedly expand in the
following quarter century. Both fundamental and applied research will result
in either new or improved films with extended applicability in future solid-
state technology.
References
525
HEFERENCES
526
REFERENCES
47 J. BLOEM and L. J. GILING, in "Current Topics in Materials Science" (E. Kaldis, ed.),
Vol. 1, p . 147, North-Holland, Amsterdam, 1978.
48 T. ARIZUMI, in uCunent Topics in Materials Science^ (E. Kaldis, ed.), Vol. 1, p . 343,
North-Holland, Amsterdam, 1978.
49 J. B. SCHROEDER, ed., "Metallurgy of Semiconductor Materials", Interscience, New
York, 1962.
50 E. G. S C H N E I D E R and V. R U T H , "Advances in Epitaxy and Endotaxy", VEB Deutcher
Verlag für Grundstoffindustrie, Leipzig, 1981.
51 M. H. FRANCOMBE and J. E. JOHNSON, Phys. Thin Films, 5, 143 (1969).
52 B. E. WATTS, Thin Solid Films, 18, 1 (1973).
53 B. E. BARRY, Thin Solid Films, 39, 35 (1976).
54 L. HOLLAN, J. P. HALLAIS, and J. C. BRICE, in "Current Topics in Materials
Science" (E. Kaldis, ed.), Vol. 5, p . 1, North-Holland, Amsterdam, 1930.
55 Semiconductor Silicon 1969 (R. R. Haberecht and E. L. Kern, eds.), Electrochem. S o c ,
New York, 1969.
56 Semiconductor Silicon 1973 (H. R. Huff and R. R. Burgess, eds.), Electrochem. Soc.
Princeton, N J , 1973.
57 Semiconductor Silicon 1977 (H. R. Huff and E. Sirtl, eds.), Electrochem. Soc., Princeton,
N J , 1977
58 Semiconductor Silicon 1981 (H. R. Huff, R. J. Kriegler, and Y. Takeishi, eds.), Electro-
chem. S o c , Princeton, N J , 1981.
59 Semiconductor Silicon 1986 (H. R. Huff, T. Abe, and B. Kolbesen, eds.), Electrochem.
S o c , Pennington, N J , 1986.
60 P r o c 1st Int. Conf. on Crystal Growth and Epitaxy from the Vapour Phase, Zurich,
1970 (E. Kaldis and M. Schieber, eds.); / . Cryst. Growth, 9 (1971).
61 Proc. 2nd Int. Conf. on Vapour Growth and Epitaxy, Jerusalem, 1972 (G. W. Cullen,
E. Kaldis, R. L. Parker, and M. Schieber, eds.); / . Cryst Growth, 17 (1972).
62 P r o c 3rd Int. Conf. on Vapour Growth and Epitaxy, Amsterdam, 1975 (G. W. Cullen,
E. Kaldis, and R. L. Parker, eds.); J. Cryst. Growth, 31 (1975).
63 P r c c 4th Int. Conf. on Vapour Growth and Epitaxy, Nagoya, Japan, 1978 (K. Taka-
hashi, T. Arizumi, G. M. Blom, and E. Kaldis, eds.); / . Cryst. Growth, 45 (1978).
64 P r o c 5th Int. Conf. on Vapour Growth and Epitaxy, Coronado, CA, 1981 (M.Schieber,
G. M. Blom, and E. Kaldis, eds.); / . Cryst. Growth, 56 (2) (1982).
65 P r o c 6th Int. Conf. on Vapour Growth and Epitaxy, Atlantic City, N J , 1984 (M. Schie-
ber, E. Kaldis, D. W. Shaw, G. B. Stringfellow and L. Van den Berg, eds.); / . Cryst.
Growth, 70 (1/2) (1984).
66 Proc. 1st Int. Conf. on MOVPE, Ajaccio, France, 1981 (J.-F. Bonfils, S. J C. Irvine,
and J. B. Mullin, eds.); J. Cryst. Growth, 55 (1) (1981).
67 Proc. 2nd Int. Conf. on MOVPE, Sheffield, UK, 1984 (J. B. Mullin, S. J. C Irvine, R. H .
Moss, P. N. Robson, and D. R. Wight, eds.); / . Cryst. Growth, 68 (1) (1984).
68 P r c c 3rd Int. Conf. on MOVPE, Universal City, CA, 1986 (G. B. Stringfellow, ed.);
/ . Cryst. Growth, 77 (1986).
69 P r o c 1st. Int. Symp. on Gallium Arsenide, Reading, 1966; Inst. Phys. Conf. Ser. 3,
Inst. Phys., London, 1967.
70 Proc. 2nd Int. Symp. on GaAs and Related Compounds, Dallas, 1968; Inst. Phys.
Conf. Ser. 7, Inst. Phys., London, 1969.
71 Proc. 3rd Int. Symp. on GaAs and Related Compounds, Aachen, 1970; Inst. Phys.
Conf. Ser. 9, Inst. Phys., London, 1971.
527
REFERENCES
72 Proc. 4th Int. Symp., on GaAs and Related Compounds, Boulder, CO, 1972; Inst.
Phys. Conf. Ser. 17, Inst. Phys., London, 1973.
73 Proc. 5th Int. Symp. on GaAs and Related Compounds, Deauville, 1974; Inst. P h y s .
Conf. Ser. 24, Inst, Phys., London, 1975.
74 Proc. 6th Int. Symp. on GaAs and Related Compounds, Edinburgh, 1976 (C. Hilsum,
ed.); St. Louis, 1976 (L. F . Eastman, ed.); Inst. Phys. Conf. Ser. 33a and 33b, Inst.
Phys., London, 1977.
75 Proc. 7th Int. Symp. on GaAs and Related Compounds, St. Louis, 1978 (C. M. Wolfe,
ed.), Inst. Phys. Conf. Ser. 45, Inst. Phys., London, 1979.
76 Proc. 8th Int. Symp. on GaAs and Related Compounds, Vienna, 1980 (H. W . T h i m , '
ed.); Inst. Phys. Conf. Ser. 56, Inst. Phys., London, 1981.
77 Proc. 9th Int. Symp. on GaAs and Related Compounds, Oiso, 1981 (T. Sugano, ed.);
Inst. Phys. Conf. Ser. 63, Inst. Phys., London, 1982.
78 Proc. 10th Int. Symp. on GaAs and Related Compounds, Albuquerque, 1982 (G. E.
Stillman, ed.); Inst. Phys. Conf. Ser. 65, Inst. Phys., London, 1983.
79 Proc. 11th Int. Symp. on GaAs and Related Compounds, Biarritz, France 1984 (B. de
Cremoux, ed.); Inst. Phys. Conf. Ser. 74, Inst. Phys., London, 1985.
80 Proc. 12th Int. Symp. on GaAs and Related Compounds, Karuizawa, 1985 (M. Fujimoto,
ed.); Inst. Phys. Conf. Ser. 79, Inst. Phys., London, 1986.
81 Proc. Int. Conf. I I - V I Compounds 1982, Durham, UK, 1982 (S. J. C. Irvine, B. Lunn,
J. B . Mullin, and J. Woods, eds.); / . Cryst. Growth, 59 (1/2) (1982).
82 Proc. Int. Conf. I I — V I Compounds 1985, Aussois, France, 1985 (Y. Marfaing, R. Tribou-
let, B. Lunn, and J. B . Mullin, eds.); / . Cryst. Growth, 72 (1/2) (1985).
83 E. G r ü n b a u m , ref. [4], p . 611.
84 J. T. MILEK, "Silicon Nitride for Microelectronic Applications"', P a r t s 1 and 2 ("Handbook
of Electronic Materials", Vols. 3 and 6), IFI/Plenum, New York, 1971 and 1972.
85 F . VRATNY, ed., "Thin Film Dielectrics", Electrochem. S o c , New York, 1969.
86 Special Issues, RCA Review, 29 (4) (1968); 31 (4) (1970).
87 K. H . Z A I N I N G E R and C. C. WANG, Proc. IEEE, 57, 1564 (1969).
88 L. V. GREGOR, Proc. IEEE, 59, 1390 (1971).
89 C. E. MOROSANU, Thin Solid Films, 65, 171 (1980).
90 W. K E R N , Semicond. Int., 5 (3), 89 (1982); 8 (7), 121 (1985).
91 G. L. SCHNÄBLE, W. K E R N , and R. B. COMIZZOLI, J. Electrochem. S o c , 122,
1092 (1975).
92 J. A. AMICK and W. K E R N , ref. [24], p . 551.
93 C. E. MOROSANU, Microelectron Reliab., 20, 357 (1980).
94 W. E. S A W Y E R and A. MAN, U.S. P a t . 229,335 (1880).
95 J. W. AYLSWORTH, U . S . P a t . 553,296 (1896).
96 A. DeLODYGUINE, U.S. P a t s . 575,002 and 575,668 (1897).
97 L. MOND, U.S. P a t . 445,230 (1881).
98 S. P . K E L L E R , ed., "Materials, Properties and Preparation", in "Handbook on Semi-
conductors" (T. S. Moss, ed.), Vol. 3, North-Holland, Amsterdam, 1980.
99 D. M. MATTOX, Thin Solid Films, 84, 361 (1981).
100 J. A. AMICK, G. L. SCHNÄBLE, and J. L. VOSSEN, / . Vac. Sei. TechnoL, 14,
1053 (1977).
101 N. SCHWARTZ and R. W . B E R R Y , Phys. Thin Films, 2, 363 (1964).
102 D . S . CAMPBELL, Thin Solid Films, 32, 3 (1976).
103 K. R. LAWLESS, Phys. Thin Films, 4, 191 (1967).
104 N . F E L D S T E I N and T. S. LANCSEK, RCA Rev., 3 1 , 439 (1970).
528
REFERENCES
529
REFERENCES
530
REFERENCES
531
REFERENCES
532
REFERENCES
533
REFERENCES
534
REFERENCES
535
REFERENCES
536
REFERENCES
537
REFERENCES
538
REFERENCES
539
REFERENCES
540
REFERENCES
541
REFERENCES
542
REFERENCES
543
REFERENCES
544
REFERENCES
545
REFERENCES
724 R. T H . K E R S T E N , Opt. Commun., 9, All (1973); Opt. Ada, 22, 503 (1975).
725 A. C. ADAMS, D. P . SCHINKE, and C. D. CAPIO, / . Electrochem. Soc, 126, 1539
(1979).
726 R. ULRICH and R. T O R G E , Appl. Opt., 12, 2901 (1973); R. ULRICH, / . Opt. Soc.
Am., 60, 1337 (1970).
727 P . A . B A R N E S and D. P . SCHINKE, Appl. Phys. Lett., 30, 26 (1977).
728 M. O L I V I E R and J. C. P E U Z I N , Appl. Phys. Lett., 32, 386 (1978).
729 P . J. SEVERIN, F . C. E V E R S T E Y N , and F . HUIZINGA, Phys. Stat. Sol., A28*
205 (1975).
730 G. DiGIACOMO, Thin Solid Films, 38, 35 (1976).
731 G. RIGA and B. H O R B L I T , / . Electrochem. Soc, 131, 1379 (1984).
732 J. P . H I R T H and K. L. MOAZED, Phys. Thin Films, 4, 97 (1967).
733 J. A. VENABLES and G. L. P R I C E , ref. [4], P a r t B, Ch. 4, p . 381.
734 J. P . H I R T H and G. M. POUND, "Condensation and Evaporation — Nucleation and Growth
Processes", Pergamon Press, Oxford, 1963.
735 D. R O B E R T S O N and G. M. POUND, CRC Crit. Rev. Sol. St. Mat. Sei., 4, 163 (1974).
736 J. NISHIZAWA, in "Crystal Growth — Theory and Techniques", (C. H . L. Goodman*
ed.), Vol. 2, p . 57, Plenum Press, New York, 1978.
737 J. P . H I R T H , ref. [11], p . 126.
738 R. D. GRETZ, C. M. JACKSON, and J. P . H I R T H , Surf. Sei., 6, 171 (1967).
739 C. M. JACKSON and J. P . H I R T H , in "Advances in Epitaxy and Endotaxy — Selected
Chemical Problems" (H. C. Schneider, V. Ruth, and T. Kormany, eds.), p . 79, Elsevier*
Amsterdam (1976).
740 D. WALTON, / . Chem. Phys., 37, 2182 (1962).
741 B. L E W I S and D. S. CAMPBELL, / . Vac. Sei. Technol., 4, 209 (1967).
742 B. L E W I S , Surf Sei., 21, 273, 289 (1970); B. L E W I S and J . C. ANDERSON, "Nuclea-
tion and Growth of Thin Films", Academic Press, New York, 1978.
743 M. J. STOWELL, Philos. Mag., 26, 361 (1972); Thin Solid Films, 21, 91 (1974).
744 G. ZINSMEISTER, Thin Solid Films, 7, 51 (1971).
745 K. J. R O U T L E D G E and M. J. STOWELL, Thin Solid Films, 6, 407 (1970).
746 M. J. STOWELL and T. E. HUTCHINSON, Thin Solid Films, 8, 41, 411 (1971).
747 J. A. VENABLES, Philos. Mag., 27, 697 (1973).
748 J. BLOEM, / . Crystal Growth, 38, 364 (1977).
749 W. A. P . CLAASSEN and J. BLOEM, / . Electrochem. Soc, 127, 194 (1980).
750 W . A. P . CLAASSEN and J. BLOEM, / . Electrochem. Soc, 127, 1836 (1980).
751 W . A. P . CLAASSEN and J. BLOEM, / . Electrochem. Soc, 128, 1353 (1981).
752 J. BLOEM, W. A. P . CLAASSEN, and W. G. J. N. V A L K E N B U R G , / . Cryst. Growth,
57, 177 (1982).
753 B. A. JOYCE, J. H . NEAVE, and B . E. WATTS, Sutf. Sei., 15, 1 (1969).
754 J . M . C H A R I G and D. J. S K I N N E R , Surf. Sei., 15, 277 (1969).
755 M. S. ABRAHAMS, C. J. BUIOCCHI, R. T. SMITH, J. F . CORBOY J R . , J. BLANC*
and G. W. CULLEN, / . Appl. Phys., 47, 5139 (1976).
756 J . M . BLANK and V. A. R U S S E L , Trans. Met. Soc. AIME, 236, 291 (1966).
757 J. MERCIER, / . Electrochem., Soc, 118, 962 (1971).
758 R. W . BICKNELL, B. A. JOYCE, J. H . NEAVE and G. V. SMITH, Philos: Mag
14, 31 (1966).
759 G. W. CULLEN, J. F . CORBOY, and J. T. McGINN, ref. [57], p . 235.
760 C. H . J. VAN D E N B R E K E L , / . Cryst. Growth, 9, 132 (1971); 23, 259 (1974).
761 D.IOSIF and G. NIAC , Rev. Roum. Chim., 24, 1261 (1979).
546
REFERENCES
547
REFEBENCES
548
REFERENCES
549
REFERENCES
550
REFERENCES
899 A. W. CZANDERNA, ed., "Methods of Surface Analysis', Elsevier, Amsterdam, 1st ed.
1975; 3rd ed., 1983.
900 J. A. BUONO, A. W. W I S N I E W S K I , and W. S. A N D R U S , Solid State TechnoL, 25 (2),
95 (1982).
901 P. H . HOLLO WAY and G. E. McGUIRE, Appl. Surf. Sei., 4, 410 (1980).
902 P. H . S I N G E R , Semicond. Int., 9 (7), 46 (1986); 9 (8), 110 (1986).
903 J. F . SMITH and D. C HINSON, Solid State TechnoL, 29 (11), 135 fl986).
904 L. C. FELDMAN and J. W. MAYER, eds., "Fundamentals of Surface and Thin Film
Analysis", North-Holland, Amsterdam, 1986.
905 S. I. R A I D E R , L. V. G R E G O R , and R. FLITSCH, / . Electrochem. Soc, 120, 425
(1973).
906 B. Y U R A S H and B . E. DEAL, / . Electrochem. Soc, 115, 1191 (1968).
907 J. E. B A R R Y , H . M. DONEGA, and T. E. B U R G E S S , / . Electrochem. Soc, 116,
257 (1969).
908 W. R. K N O L L E and T. F . R E T A J C Z Y K , JR., / . Electrochem. Soc.,- 120, 1106 (1973).
909 W. R. KNOLLE, / . Electrochem. Soc, 120, 987 (1983).
910 A. E. W I D M E R and M. L. HITCHMAN, / . Electrochem. Soc, 125, 1723 '(1978).
911 G. B. L A R R A B E E and J. A. K E E N A N , / . Electrochem. Soc, 118, 1351 (1971).
912 L. E. KATZ, P . F . SCHMIDT, and C W. P E A R C E , / . Electrochem. Soc, 128, 630,
(1981).
913 S. P . MURARKA and C. J. MOGAB, / . Electron. Mater., 8, 763 (1969).
914 P. F. SCHMIDT and C W. PEARCE, / . Electrochem. Soc, 128, 630 (1981).
915 G. L U N D E , Solid State TechnoL, 11 (1), 32 (1968).
916 C C. CHANG, B . SCHWARTZ, and S. P. MURARKA, / . Electrochem. Soc, 124,
922 (1977).
917 K. CHOW and L. G. GARRISON, / . Electrochem. Soc, 124, 1133 (1977). _
918 W. K E R N , RCA Rev? 31, 207, 234 (1970); 32, 64 (1971); Solid State TechnoL, 15 (1),
34 (1972); 15 (2), 39 (1972).
919 T. M. BUCK, F . G. ALLEN, J. V. DALTON, and J. D. S T R U T H E R S , / . Electro-
chem. Soc, 114, 862 (1967).
920 I. F R A N Z and W. L A N G H E I N R I C H , Solid-State Electron., 12, 63, 145 (1969).
921 S. NAKANUMA, / . Electrochem. Soc, 111, 1199 (1964).
922 E. P . B E R T I N , "Principles and Practice of X-ray Spectrometric Analysis", 2nd ed.,
Plenum Press, New York, N Y (1975).
923 Technical Bulletin - "Model 7078 XRF Spectrometer', Kevex Corp., Foster City, CA,
1981.
924 C. G R I L E T T O , Solid State TechnoL, 2a (2), 27 (1977).
925 P. S. B U R G G R A A F , Semicond. Int., 5 (3), 40 (1982).
926 R. T U R N E R , Europ. Semicond. Design & Production, 6 (3), 28 (1985).
927 K. S I E G B A H N , C NORDLING, A. FAHLMAN, R. N O R D B E R G , K. HAMRIN»
J . HEDMAN, G. JOHANSSON, T. B E R G M A R K , S. E. KARLSSON, I. L I N D G R E N ,
a,nd B . L I N D B E R G , "ESCA — Atomic, Molecular and Solid State Structure Studied by
Means of Electron Spectroscopy"', Almqvist and Wiksels Boktryckeri AB, Uppsala, 1967.
928 C. C. CHANG, / . Vac Set. TechnoL, 18, 276 (1981).
929 T. A. CARLSON, Physics Today, 25 (1), 30 (1972).
930 S. H . H E R C U L E S and D. M. H E R C U L E S , ref. [897], p . 307.
931 M. A. K E L L Y and C. E. T Y L E R , Hewlett-Packard J., 24 (7), 2 (1973).
932 J. H . THOMAS, I I I a n d A. M. GOODMAN, / . Electrochem. Soc, 126, 1766 (1979).
933 J . H . THOMAS, I I I and D. E. CARLSON, / . Electrochem. Soc, 128, 415 (1981).
551
REFERENCES
934 B. VON R O E D E R N , L. LEY, and M. CARDONA, Phys. Rev. Lett., 39, 1576(1977).
935 W.-Y. L E E , / . Appl. Phys., 51, 3365 (1980).
936 T. N. W I T T B E R G , J. R. HOENIGMAN, W. E. MODDEMAN, C. R. COTHERN, and
M. R. GULETT, / . Vac. Sei. Technol., 15, 348 (1978).
937 R. P . VASQUEZ, M. H . H E C H T , F . J. GRUNTHANER, and M. L. HAIMAN, Appl.
Phys. Lett., 44, 969 (1984).
938 G. P. SCHWARTZ, B. SCHWARTZ, J. E. G R I F F I T H S , and T. SUGANO, / . Electro-
chem. Soc, 127, 2269 (1980).
939 S. I. R A I D E R , R. FLITSCH, J. A. ABOAF, and W. A. P L I S K I N , / . Electrochem.
Soc, 123, 560 (1976).
940 J. A. WURZBACH and F . J. GRUNTHANER, / . Electrochem. Soc, 130, 691 (1983).
941 U. K Ö N I G and E. SASSE, / . Electrochem. Soc, 130, 950 (1983).
942 R. K. WILLARDSON, ref. [1032], p . 58.
943 C. FELDMAN and F . G. SATKIEWICZ, / . Electrochem. Soc, 120, 1111 (1973).
944 J . H . McCREA, ref. [897], Ch. 21.
945 T. YOSHIMI, H . SAKAI and K. TANAKA, / . Electrochem. Soc, 127, 1853 (1980).
946 J. W. COLBY, Acv. X-Ray Anal., 11, 287 (1968).
947 L. S. B I R K S , "Electron Probe Microanalysis", 2nd ed., Wiley, New York, N Y (1971).
948 C. A. A N D E R S E N , ed., "Microprobe Analysis", Wiley, New York, N Y (1973).
949 G. A. HUTCHINS, ref. [897], Ch. 18.
950 W. R E U T E R , Surface Set., 25, 80 (1971).
951 B. SWAROOP, / . Electrochem. Soc, 118, 913 (1971).
952 P . W. PALMBERG, Anal. Chem., 45, 549 A (1973); / . Vac Sei. Technol., 9, 160 (1972).
953 J . M . MORABITO, Thin Solid Films, 19, 21 (1973).
954 P. W. PALMBERG, G. E. RIACH, R. E. W E B E R , and N. C. MacDONALD, "Hand-
book of Auger Electron Spectroscopy", Physical Electronics Industries, Edina, MN (1972).
955 R. E. W E B E R , Research!Development, 23 (10), 22 (1972).
956 C. C. CHANG, ref. [897], p . 509; Surface Sei., 25, 53 (1971).
957 P . M . H A L L and J . M . MORABITO, CRC Crit. Rev. Sol. St. Mat. Set., 8, 53 (1979).
958 J. S. JOHANNESSEN, W. E. SPICER, and Y. E. STRAUSSER, Thin Solid Films,
32, 311 (1976).
959 H . H . MADDEN, / . Electrochem. Soc, 128, 625 (1981); / . Vac. Sei. Technol., 18,
677 (1981).
960 R. H E Z E L and N. L I E S K E , / . Appl. Phys., 51, 2566.(1980).
961 N. L I E S K E and R. H E Z E L , Thin Solid Films, 85, 7 (1971).
962 K. V. VAIDYANATHAN, M. J. H E L I X , D. J. W O L F O R D , B. G. STREETMAN,
R. J. BLATTNER, and C. A. EVANS,* JR., / . Electrochem. Soc, 124, 1781 (1977).
963 P. H. HOLLOWAY and H. J. STEIN, / . Electrochem. Soc, 123, 723 (1976).
964 H. G. MAGUIRE and P. D. AUGUSTUS, / . Electrochem. Soc, 119, 791 (1972).
965 S.THOMAS and R. J. MATTOX, / . Electrochem. Soc, 124, 1942 (1977).
966 C. C. CHANG, R. P. H . CHANG, and S. P. MURARKA, / . Electrochem. Soc, 125,
481 (1978).
967 I. SHIOTA, N. MIYAMOTO, and J. NISHIZAWA, / . Electrochem. Soc, 124, 1405
(1977).
968 T. ODA and T. SUGANO, Jpn. J. Appl. Phys., 15, 1317 (1976).
969 R. BISARO, G. LAURENCIN, A. F R I E D E R I C H , and M. R A Z E G H I , Appl. Phys.,
Lett., 40, 978. (1982).
970 C. C. CHANG, T. T. SHENG, and T. A. SHANKOFF, / . Electrochem. Soc, 130, 1168
(1983).
552
REFERENCES
553
REFERENCES
554
REFERENCES
555
REFERENCES
556
REFERENCES
1112 D. P . VU, A. CHANTRE, H . MINGAM, and G. VINCENT, / . Appl. Phys., 56, 1682
(1984).
1113 G. K. CELLER, McD. ROBINSON, and D. J. L I S C H N E R , Appl. Phys. Lett., 38,
365 (1981).
1114 O. P. VU, M. HAOND, D. B E N S A H E L and, M. DUPUY, / . Appl. Phys., 54, 437
(1983).
1115 G. K. CELLER, McD. ROBINSON, L. E. T R I M B L E , and D. J. L I S C H N E R , / .
Electrochem. Soc, 132, 211 (1985).
1116 L. O. W I L S O N and G. K. C E L L E R , / . Electrochem. Soc, 132, 2748 (1985).
1117 J. SAKURAI, / . Electrochem. Soc, 133, 1485 (1986).
1118 M. W. GEIS, A . C . F L A N D E R S , and H . I. SMITH, Appl. Phys. Lett., 35, 71 (1979).
1119 M. W. GEIS, D. A. ANTONIADIS, D. J. SILVERSMITH, R. W. MOUNTAIN, and
H . I. SMITH, Appl. Phys. Lett., 37, 454 (1980); / . Vac. Sei. Technol., 18, 229 (1981).
1120 J. C. C. FAN, M. W. G E I S , and B.-Y. TSAUR, Appl. Phys. Lett., 38, 365 (1981).
1121 B. Y. TSAUR, J. C. C. FAN, M. W. GEIS, D. SILVERMAN, and R. W. MOUNTAIN,
Appl. Phys. Lett., 39, 5611 (1981).
1122 M. W. GEIS, H . I. SMITH, B.-Y. TSAUR, J. C. C. FAN, D. J. SILVERSMITH,
and R. W. MOUNTAIN, / . Electrochem. Soc, 129, 2812 (1982).
1123 H . I . SMITH, C. V. THOMPSON, M. W. G E I S , R. A. LEMONS, and M. A. BOSCH,
/ . Electrochem. Soc, 130, 2050 (1983).
1124 K. TAKEBAYASHI, T. YOKOYAMA, M. YOSHIDA, and M. INOUE, / . Electro-
chem. Soc, 130, 2271 (1983).
1125 C. K. CHEN, M. W. GEIS, B.-Y. TSAUR, R. L. CHAPMAN, and J. C. C. FAN,
/ . Electrochem. Soc, 131, 1707 (1984).
1126 Y. KOBAYASHI, A. FUKAMI, and T. SUZUKI, J. Electrochem. Soc, 131, 1188
(1984).
1127 H . J. LEAMY and C. J. D O H E R T Y , Appl. Phys. Lett, 37, 1028 (1980).
1128 M. TAMURA, H . TAMURA, and T. TOKUYAMA, Jpn. J. Appl. Phys., 19, L23
(1980).
1129 R. R E I F and J. E. KNOTT, Electron Lett., 17, 586 (1981).
1130 N. T. QUACH and R. R E I F , Mater. Lett., 2, 362 (1984); Appl. Phis Lett., 45, 910
(1984).
1131 C. P. WU, G. L. SCHNÄBLE, B . W . L E S , and R. STRICKER, / . Electrochem. Soc,
131, 216 (1984).
1132 S. K. B A N E R J E E , B. L E E , J. E. B A K E R , D. A. R E E D , and B. G. STREETMAN,
Thin Solid Films, 115, 19 (1984).
1133 S. K. B A N E R J E E , R. Y. TONG, B. L E E , R. Y. D e J U L E , B. G. STREETMAN,
and H . W. Lam, / . Electrochem, Soc, 131, 1409 (1984).
1134 R. A. P O W E L L and R. CHOW, / . Electrochem. Soc, 132, 194 (1985).
1135 H . J. STEIN, / . Electrochem Soc, 132, 668 (1985).
1136 S. R. WILSON, R. B . GREGORY, W . M. PAULSON, S. J. K R A U S E , J. D. G R E S -
SETT, A. H . HAMDI, F . D. McDANIEL, and R. G. DOWNING, / . Electrochem.
Soc, 132, 922 (1985).
1137 R. KWOR, D. L. KWONG, C. C. HO, B. Y. TSAUR, and S. BAUMANN, / . Electro-
chem. Soc, 132, 1201 (1985).
1138 J. H U A N G and R. J. JACCODINE, / . Electrochem. Soc, 133, 993 (1986).
1139 O. S. H E A V E N S , "Optical Properties of Thin Solid Films", Dover Publications, New
York, 1965; Phys. Thin Films, 2, 193 (1964).
1140 A. E. L E W I S , / . Electrochem. Soc, 111, 1007 (1964).
557
REFERENCES
558
REFERENCES
55&
KEFERENCES
560
REFERENCES
1250 B. E. DEAL, ref. [57], p. 276; / . Electrochem. Soc ., 121, 188C (1974).
1251 R. R. RAZOUK and B . E. DEAL, / . Electrochem. Soc, 126, 1573 (1979).
1252 B. E. DEAL, IEEE Trans. Electron. Dev., 27, 606 (1980).
1253 L. A. KASPRZAK and A. K. GAIND, IBM J. Res. Dev., 24, 348 (1980).
1254 M. K U H N , Solid-State Electron., 13, 873 (1970).
1255 M. YAMIN, IEEE Trans. Electron. Dev., 13, 79 (1966).
1256 N. J. CHOU, / . Electrochem. Soc, 118, 601 (1971).
1257 E . H . SNOW and B. E. DEAL, / . Electrochem. Soc, 113, 263 (1966).
1258 L. KASPRZAK and A. H O R N U N G , IBM J. Res. Dev., 19, 127 (1975).
1259 J . M . E L D R I D G E and D. R. K E R R , / . Electrochem. Soc, 118, 986 (1971).
1260 L. H. KAPLAN and M. E. LOWE, / . Electrochem. Soc, 118, 1649 (1971).
1261 P. S. SCHAFFER and B. SWAROOP, Amer. Ceram. Soc. Bull., 49, 536 (1970).
1262 T. ITO, H. ARAKAWA, T. NOZAKI, and H . I S H I K A W A , / . Electrochem. Soc, 127,
2248 (1980).
1263 J . L E E , I.-C. CHEN, and C. H U , IEEE Electron. Dev. Lett., 7, 506(1986).
1264 G. R. POLLACK, ref. [1032] p . 222.
1265 R. B. COMIZZOLI, / . Electrochem. Soc, 123, 386 (1976); RCA Rev., 37, 473 (1976).
1266 D. J. DiMARIA, in "The Physics of MOS Interfaces" (G. Lucovsky, S. T. Pantelides,
and F. L. Galeener, eds.), p . 160, Pergamon, New York, 1980; in „The Physics of
Si02 and its Interfaces" (S. T. Pantelides, ed.), p . 160, Pergamon, New York, 1978; in
"Insulating Films on Semiconductors" (M. Schulz and G. Pensler, eds.), p . 88, Springer,
Berlin, 1981.
1267 R. A. GDULA and P . C . LI, / . Electrochem. Soc, 124, 1927 (1977).
1268 Special Issues, IEEE Trans. Nucl. Sei., 1 5 - 3 3 (6) (1968-1986).
1269 Special Issue, Proc. IEEE, 62 (9) (1974).
1270 A. G. HOLMES-SIEDLE, Proc IEEE, 62, 1196 (1974); K. F . GALLOWAY, Semicond.
Int., 2 (4), 65(1979).
1271 R. J. GUTMANN, J . M . B O R R E G O , and S. K. GHANDHI, Proc. IEEE, 62, 1256
(1974).
1272 B. L. G R E G O R Y and C. W. GWYN, Proc IEEE, 62, 1264 (1974).
1273 R. A. GDULA, IEEE Trans. Electron. Dev., 26, 644 1(979).
1274 A. REISMAN, J. M. A I T K E N , A. K. RAY, M. B E R K E N B L I T , C. J. MERZ, and
R. P. H A V R E L U K , / . Electrochem. Soc, 128, 1616 (1981).
1275 R. A. COLCLASER, H . D. SOUTHWARD, and J. P. BACA, Proc. IEEE, 62, 1232
(1974).
1276 J . M . B O R R E G O and R. J. GUTMANN, AppL Phys. Lett., 28, 280 (1976).
1277 A. GUPTA, Y. M. CHI, J. B. VALDEZ, G. L. OLSON, and L. D. H E S S , IEEE Trans.
Nucl. Sei., 28, 4080 (1980).
1278 G. E. DA V I E S , H . L. H U G H E S , and I. I. KAMINS, IEEE Trans. Nucl. Sci.t 29,
1685 (1982).
1279 C. P . CHANG, IEEE Trans. Nucl. Sei., 29, 1702 (1982).
1280 T. R. OLDHAM and J . M . McGARRITY, IEEE Trans. Nucl. Sei., 28, 3975(1981).
1281 S. S H A R E and J. W A S I L I K , IEEE Trans. Nucl. Sei., 26, 4802 (1979).
1282 C. W. P E R K I N S , K. G. AUBUCHON, and H . G. DILL, AppL Phys. Lett., 12, 835
(1968).
1283 P. A. NEWMAN and H . A. R. W E G E N E R , IEEE Trans. Nucl. Sei., 14 (6), 293 (1967).
1284 R. E. ANDERSON, IEEE Trans. Nucl. Sei., 25, 1459 (1978); 26, 5180 (1979).
1285 T. P . MA, B . N. YUN, D. J. DiMARIA, and G. A. SCOGGAN, / . AppL Phys., 47,
1599 (1976).
661
REFERENCES
1286 F . N. COPPAGE and E. D. GRAHAM, JR., IEEE Trans. Nucl. Sei., 19, 320 (1972).
1287 J. D. A S H N E R , IEEE Trans. Electron. Dev., 18, 384 (1971).
1288 S. C. CHEN and J. R. SROUR, IEEE Trans. Nucl. Sei., 26, 4824 (1979).
1289 K. H. Z A I N I N G E R and A. S. WAXMAN, IEEE Trans. Electron. Dev., 16, 333 (1969).
1290 K. M. SCHLESIER, J. M. SHAW, and C. W. BENYON, JR., RCA Rev., 37, 358 (1976).
1291 G. W. H U G H E S and G. J. BRUCKER, Solid State Technol., 22 (7), 70 (1979).
1292 P . J. VAIL, IEEE Trans. Nucl. Sei., 25, 1196 (1978).
1293 D . M . LONG, IEEE Trans. Nucl. Sei., 27, 1674 (1980).
1294 H . L. H U G H E S , IEEE Trans. Nucl. Sei., 26, 5053 (1979).
1295 J. E. SCHROEDER, R. L. LICHTEL, and B. L. G I N G E R I C H , IEEE Trans. Nucl.
. Set., 28, 4033 (1981).
1296 R. K. SMELTZER, IEEE Trans. Nucl. Sei., 27, 1745 (1980).
1297 K. S T E E P L E S , I. J. SAUNDERS, and J. G. SMITH, IEEE Electron. Dev. Lett., 1,
72 (1980).
1298 A. T. MACRANDER, B. SCHWARTZ, and M. W. FOCHT, / . Appl. Phys., 55, 3595
(1984).
1299 A. HOLMES-SIEDLE and L. ADAMS, IEEE Trans. Nucl. Sei., 29, 1975 (1982).
1300 R. G. FRAASS and R. W. TALLON, IEEE Trans. Nucl. Sei., 25, 1613 (1978)
1301 H . A . H E R R M A N N and H . H E R Z E R , / . Electrochem. Soc, 122, 1568 (1975).
1302 A. H . BOBECK and H. E. D. SCOVIL, Sei. Am., 224 (6), 78 (1971).
1303 W. K E R N and C. A. D E C K E R T , ref. [2], Ch. V-l, p . 401.
1304 H. C. GATOS, ed., "The Surface Chemistry of Metals and Semiconductors", Wiley, New
York, 1960.
1305 P. J. HOMES, ed., "The Electrochemistry of Semiconductors", Academic Press, New
York, 1962.
1306 S. K. GHANDHI, ref. [4826], Ch. 7.
1307 R. GLANG and L. V. GREGOR, ref. [1], Ch. 7.
1308 A. K. V I J H , "Electrochemistry of Metals and Semiconductors", Dekker, New York, 197X
1309 W. R. RUNYAN, ref. [801], Chs. 1, 2, 7 and 9.
1310 H . G. H U G H E S and M. J. RAND, eds., "Etching for Pattern Definition", Electrochem.
S o c , Princeton, N J , 1976.
1311 M. J. P R Y O R and R. W. STAEHLE, in "Treatise on Solid State Chemistry" (N. B .
HANNAY, ed.), Vol. 4, Ch. 9, Plenum, New York, 1976.
1312 R. J. RYAN, E. B. DAVIDSON, and H. O. HOOK in "Handbook of Materials and
Processes for Electronics" (C. A. Harper, ed.), Ch. 14, McGraw-Hill, New York, 1970.
1313 W. T E G E R T , "The Electrolytic and Chemical Polishing of Metals", 2nd ed., Pergamon
Press, Oxford, 1959.
1314 H . C. GATOS and M. C. LA VINE, Prog. Semicond., 9, 1 (1965).
1315 T. C. HARMAN and I. MELNGAILIS, Appl. Solid State Sei., 4, 1 (1974).
1316 B . TUCK, / . Mater. Sei., 10, 321 (1975).
1317 D. J. STIRLAND and B. W. STRAUGHAN, Thin Solid Films, 3 1 , 139 (1976).
1318 W\ K E R N , RCA Rev., 39, 278 (1978).
1319 A. H . AGAJANIAN, Solid State Technol., 16 (12), 73 (1973); 18 (4), 61 (1975); 20 (1),
36 (1977).
1320 P. BURGGRAAF, Semicond. Int., 6 (2), 48 (1983).
1321 C . W . WILMSEN and D. SZPAK, Thin Solid Films, 47, 17 (1977).
1322 P. VAN D E R P U T T E , L. J. GILLING, and J. BLOEM, / . Cryst. Growth, 4 1 , 133
(1977); P. VAN D E R P U T T E , W. J. P. VAN ENCKEVORT, L. J. GILING, and
J. BLOEM, Thin Solid Films, 43, 659 (1978).
562
REFERENCES
563
REFERENCES
564
.REFERENCES
1402 L. V. GREGOR, P. BALK, and F . J. CAMPAGNA, IBM J. Res. Dev., 9, 327 (1965).
1403 E. R. L E V I N , J. P. D I S M U K E S , and M. D. COUTTS, / . Electrochem. Soc, 118,
1171 (1971).
1404 J. P. D I S M U K E S and R. ULMER, / . Electrochem. Soc, 118, 634 (1971).
1405 P. R A I - C H O U D H U R Y and A. J. N O R E I K A , / . Electrochem. Soc, 116, 539 (1969);
118, 634 (1971).
1406 T, L. CHU, G. A. GRUBER, and R. STICKLER, / . Electrochem. Soc, 113, 156 (1966).
1407 W. G. OLDHAM and R. HOLMSTROM, / . Electrochem. Soc, 114, 381 (1967).
1408 M. CHEN, V. J. MINKIEWICZ, and K. L E E , / . Electrochem. Soc, 126, 1946 (1979).
1409 D. F . W E S T O N and R. J. MATTOX, / . Vac Sei. Technol, 17, 466 (1980).
1410 H. F . W I N T E R S and J. W. COBURN, Appl. Phys. Lett., 34, 70 (1979).
1411 C. W. PEARCE, / . Electrochem. Soc, 128, 920 (1981).
1412 T. L. CHU and S. S. CHU, Appl. Phys., Lett., 48, 1783 (1986).
1413 M. F . EHMAN, J. W. FAUST, JR., and W. B. W H I T E , / . Electrochem. Soc, 118,
1443 (1971).
1414 P . R. CAMP, / . Electrochem. Soc. 102, 586 (1955).
1415 J . P . McKELVEY and R. L. LONGINI, / . Appl. Phys., 25, 634 (1954).
1416 B. W. BATTERMAN, / . Appl. Phys., 28, 1236 (1957).
1417 F . L. VOGEL, W. G. PFANN, H . E. COREY, and E. E. THOMAS, Phys. Rev., 90,
489 (1953).
1418 R. D. H E I D E N R E I C H , U.S. Pat. 2,619,414 (1952).
1419 B. SCHWARTZ, / . Electrochem. Soc, 114, 285 (1967).
1420 G. A. GEACH., B. A. IRVING, and R. P H I L L I P S , Research {London), 10, 411 (1957).
1421 S. KAWAGA, T. MIKAWA, and T. KANEDA, Jpn. J. Appl. Phys., 2 1 , 1616 (1982).
1422 G. R. B O O K E R and R. STICKLER, / . Electrochem. Soc, 109, 1167 (1962).
1423 J. A. AMICK, E. A. ROTH, and H . G O S S E N B E R G E R , RCA Rev., 24, 473 (1963).
1424 A. R E I S M A N and M. B E R K E N B L I T , / . Electrochem. Soc, 112, 812 (1965).
1425 T. L. CHU and R. W. KELM, / . Electrochem. Soc, 116, 1261 (1969).
1426 M. INOUE, Jpn. J. Appl. Phys., 11, 1147 (1972).
1427 E. ÖILLIG, Proc Roy. Soc. London, A235, 37 (1956).
1428 R. H. W Y N N and C. GOLDBERG, / . Metals, 5, 436 (1955).
1429 W. C. ERDMAN and P. F . SCHMIDT, U.S. Pat. 3,738, 881 (1973).
1430 J . W . FAUST, JR., in "Silicon Carbide" (J.R.O'Connor and J. Smiltens, eds.) p. 403,
Pergamon Press, Oxford, 1960.
1431 J . M . H A R R I S , H . C. GATOS, and A. F . W I T T , / . Electrochem. Soc, 116, 380 (1969).
1432 T. L. CHU and D. R. CAMPBELL, / . Electrochem. Soc, 112, 955 (1965).
1433 R. W. B A R T L E T T and M. BARLOW, / . Electrochem. Soc, 117, 1436 (1970).
1434 J . M . H A R R I S , H. C. GATOS, and A. F . W I T T , / . Electrochem. Soc, 116, 679(1969).
1435 J. A. EDMOND, J. W. PALMOUR, and R. F . DAVIS, / . Electrochem. Soc, 133,
650 (1983).
1436 M. TAKIGAWA, T. SATOH, and K. SHOHNO, / . Electrochem. Soc, 122, 324(1975).
1437 T. L. CHU, M. GILL, and S. S. CHU, / . Electrochem. Soc. 123, 259 (1976).
1438 T. MIZUTANI, H . ASANO, T. NISHINAGA, and S. UCHIYAMA, Jpn. J. Appl.
Phys., 16, 1629 (1977).
1439 H. C. GATOS and M. C. LAVINE, / . Electrochem. Soc, 107, 427 (1960).
1440 J . I . PANKOVE, / . Electrochem. Soc, 119, 1118 (1972).
1441 A. SHINTANI and S. MINAGAWA, / . Electrochem. Soc, 123, 706 (1976).
1442 Y. MOROMOTO, / . Electrochem. Soc, 121, 1383 (1974).
565
REFERENCES
566
REFERENCES
567
REFERENCES
568
REFERENCES
569
REFEIRENCES
570
REFERENCES
571
REFERENCES
572
REFERENCES
573
REFERENCES
1757 V. J. MINKIEWICZ and B. N. CHAPMAN, Appl. Phys. Lett, 34, 192 (1979).
1758 T. M. MAYER and J. H. McCONVILLE, / . Electron, Mater., 9, 513 (1980).
1759 H . TOYODA, H . KOMIYA, and H . ITAKURA, / . Electron. Mater., 9, 569 (1980).
1760 S. MATSUO, / . Vac. Sei. TechnoL, YJ9 587 (1980).
1761 T. YAMAZAKI, Y. SUZUKI, and H. NAKATA, / . Vac. Sei. TechnoL, 17, 1348 (1980).
1762 F . H . M . SANDERS, J. DIELEMAN, H. J. B . P E T E R S , a n d J. A. M. S A N D E R S ,
J. Electrochem. Soc, 129, 2559 (1982).
1763 V. M. DONNELLY, D. L. FLAMM, W. C. DAUTREMONT-SMITH, and D. J.
W E R D E R , / . Appl. Phys., 55, 242 (1984).
1764 R. N. CASTELLANO, Solid State TechnoL, 27 (5), 135 (1984).
1 7 6 5 ' p . H . S I N G E R , Semicond. Int., 9 ( 5 ) , 98 (1986).
1766 A . D . W E I S S , Semicond. Int., 6 (2), 56 (1983).
1767 H, ABE, Y. SONOBiE, and T. ENOMOTO, Jpn. J. Appl. Phys., 12, 154 (1973).
1768 H. ABE, / . Jap. Soc. Appl. Phys., Suppl., 44, 287 (1975).
1769 R. KUMAR, C. LADAS, and G. HUDSON, Solid State TechnoL, 19 (10). 54 (1976).
1770 R. L. MADDOX and H . L. P A R K E R , Solid State TechnoL, 21 (4), 107 (1978).
1771 K. J I N N O , H . K I N O S H I T A , and Y. MATSUMOTO, / . Electrochem. Soc, 124, 1258
(1977).
1772 C. J. MOGAB and H . J. L E V I N S T E I N , / . Vac. Sei. TechnoL, 17, 721 (1980).
1773 J. H A Y E S and T. PANDHUMSOPORN, Solid State Technot., 23 (11), 71 (1980).
1774 A . C . ADAMS and C. D. CAPIO, / . Electrochem. Soc, 128, 366 (1981); A . C . ADAMS,
Solid State TechnoL, 24 (4), 178 (1981).
1775 W. BEINVOGL, H . R. D E P P E , R. STOKAN, and B. H A S L E R , IEEE Trans. Electron.
Dev., 28, 1332 (1981).
1776 S. F . B E R G E R O N and B. F . DUNCAN, Solid State TechnoL, 25 (8), 98 (1982).
1777 M. W. J E N K I N S , M. T. MOCELLA, K. D. ALLEN, and H . H . SAWIN, Solid State
TechnoL, 28 175 (1985).
1778 K. D. ALLEN, H . H . SAWIN, M. T. MOCELLA, and M. W. J E N K I N S , / . Electro-
chem. Soc, ^133, 2315 (1986).
1779 K. D. ALLEN and H . H . SAWIN, / . Electrochem. Soc, 133, 2326 (1986).
1780 K. D. ALLEN, H. H . SAWIN, and A. YOKOZEKI, / . Electrochem. Soc, 133, 2331
(1986)..
1781 C. S. KORMAN, T. P. CHOW, and D. H . BOWER, Solid State TechnoL, 26 (1), 115
(1983).
1782 C. B. ZAROWIN, / . Electrochem. Soc, 130, 1144 (1983).
1783 B. E. THOMPSON and H. H. SAWIN, / . Electrochem. Soc, 133, 1887 (1986).
1784 R. W. L I G H T and H. B. BELL, / . Electrochem. Soc, 130, 1567 (1983).
1785 S. E. BERNACKI and B. B. KOSICKI, / . Electrochem. Soc, 131, 1926 (1984).
1786 M. NGUYEN, Semicond. Int., 9 (5), 110 (1986).
1787 P. C. CHANG and S. HSIA, Solid State TechnoL, 27 (4), 225 (1984).
1788 A. S. B E R G E N D A H L , D. L. HARMON, and N . T. PASCOE, Solid Slate TechnoL,
27 (11), 107 (1984).
1789 J. W. PALMOUR, R. F . DAVIS, T. M. WALLETT, and K. B. BHASIN, / . Vac
Sei. TechnoL, A4, 590 (1986).
1790 G. SMOLINSKY, R. P. CHANG, and T. M. MAYER, / . Vac. Set. TechnoL, 18, 12
(1981).
1791 D . E . OBBOTSON, D. L. FLAMM, and V. M. DONNELLY, / . Appl. Phys., 54, 5974
(1983).
1792 R. H . BURTON and G. SMOLINSKY, / . Electrochem. Soc, 129, 1599 (1982).
574
REFERENCES
575
REFERENCES
576
REFERENCES
577
REFERENCES
578
KEFERENCES
579
REFEKENCES
580
REFERENCES
581
REFERENCES
582
REFEBENCES
583
REFERENCES
584
REFERENCES
585
REFERENCES
586
REFERENCES
587
REFERENCES
588
REFERENCES
2285 W. B A K E R and A. L. MOSSMAN, "Matheson Gas Data Book", 5th Ed., Matheson Gas
Products, Lyndhurst, N J , 1971.
2286 "Gases and Systems for the Electronic Industry", Matheson Gas Products, East Rutherford,
N J , 1970.
2287 A. L. ARMIROTTO, Solid State Technol., 11(10), 43(1968).
2288 W. B A K E R , A. L. MOSSMAN, and D. S I E G E L , "Effects of Exposure to Toxic Gases —
First Aid and Medical Treatment", Matheson Gas Products, Lyndhurst, N J , 1971.
2289 J. L. VOSSEN, D. M. HOFFMAN, H. L. PINCH, M. BARON, and L. F . D A H L S -
T E D T , "Pumping Hazardous Gases", American Vacuum Society, New York, 1980.
2290 P. BACHMANN and P. H. B E R G E S , Solid State Technol., 28(7), 83 (1985).
2291 "Organometallics for Vapour Phase Epitaxy", in "Alfa Catalog-Research Chemicals and
Materials", p. P-163, Ventron Alfa Produkte, Karlsruhe, West Germany (1986).
2292 R. BROWN, ref. [1], Ch. 6.
2293 A . D . W E I S S , Semicond. Int., 6(6), 66 (1983).
2294 A. H. AGAJANIAN, Solid State Technol., 16 (11), 73(1973).
2295 R. B. H E R R I N G , Solid State Technol., 19 (5), 37 (1976).
2296 C. W. PEARCE, ref. [10], Ch. 1, p. 9.
2297 J. A. K E E N A N and G. B. L A R R A B E E , ref. [5353], Vol. 6 (1983), Ch. 1, p. 1.
2298 H . H . W I E D E R , / . Vac. Sei. Technol., 18, 827 (1981).
2299 Technical Bulletins — "Data Sheets on GaP, GaAs, GaSb, InP, InAs, and InSb Slices", MCP
Electronic Materials, Ltd., Alperton, Wembley, England.
2300 G. A. K E I G , Solid State Technol., 15 (9), 53 (1972).
2301 Technical Bulletin — "7059 Glass Substrates", Corning Glass Works, Corning, NY.
2302 Technical Bulletin ~"AlSi Base Ceramic Substrates", American Lava Corporation, Chat-
tanooga, TN, 1971.
2303 J. D. PARSONS, R. F. B U N S H A H , and O. M. STAFSUDD, Solid State Technol.,
28(11) 133 (1985).
2304 N. I W A S E , K. ANZAI, and K. SHINOZAKI, Solid State Technol., 29 (10), 135 (1986).
2305 J. L. SCHMIT, / . Vac. Sei. Technol., A4, 2141 (1986).
2306 D . M . MATTOX, Thin Solid Films, 53, 81 (1978).
2307 J. A. AMICK, Solid State Technol., 19 (11), 47(1976).
2308 R. C. H E N D E R S O N , / . Electrochem. Soc, 119, 772 (1972).
2309 F. P I N T C H O V S K I , J. B. P R I C E , P. J. TOBIN, J. P E A V E Y , and K. KOBOLD,
/ . Electrochem. Soc, 126, 1428 (1979).
2310 D. R. OSWALD, / . Electrochem. Soc, 123, 531 (1976).
2311 W. K E R N and D. A. P U O T I N E N , RCA Rev., 3 1 , 187 (1970).
2312 W. K E R N , RCA Rev., 3 1 , 207, 234 (1970); 32, 64 (1971); Solid State Technol., 15 (1),
34 (1972); 15 (2), 39(1972).
2313 D . E . A S P N E S and A . A . STUDNA, Appl. Phys. Lett., 39, 316 (1981).
2314 G. G O L D F I N G E R , ed., "Clean Surfaces: Their Preparation and Characterization for
Interfacial Studies", Dekker, New York, 1970.
2315 R. C. SNOGREN, "Handbook of Surface Preparation", Palmerton, New York, 1974.
2316 H. KOELMANS, Thin Solid Films, 8, 19 (1971).
2317 R. L. P E T R I T Z , Proc IRE, 5 1 , 1025 (1962).
2318 M. H. FRANCOMBE and H. SATO, eds., "Single-Crystal Films", Pergamon Press, New
York, 1964.
2319 B. SCHWARTZ, ref. [11], p. 612.
2320 W. R. RUNYAN, "Silicon Semiconductor Technology", McGraw-Hill, New York, 1965.
2321 C. H. LI, Phys. Stat. Sol., 15, 419 (1966).
589
REFEKENCES
590
REFERENCES
591
REFERENCES
592
REFERENCES
593
REFERENCES
594
REFERENCES
595
REFERENCES
596
REFERENCES
597
REFERENCES
598
REFERENCES
599
REFERENCES
600
REFERENCES
601
REFERENCES
602
REFERENCES
603
REFERENCES
2825 C. KIM and K. SHOHNO, Jpn. J. Appl. Phys., 20, 190 (1981).
2826 K. SHOHNO and C. J. KIM, / . Cryst. Growth, 56, 511 (1982).
2827 T. T A K E N A K A and K. SHOHNO, Jpn. J. Appl. Phys., 13, 1211 (1974).
2828 M. TAKIGAWA, M. HIRAYAMA, and K. SHOHNO , Jpn. J. Appl. Phys., 12, 1504
(1973).
2829 M. T A K I G A W A and K. SHOHNO, Jpn. J. Appl. Phys., 16, 637 (1977).
2830 T. L. CHU and A. E. HYSLOP, / . Electrochem. Soc, 121, 412 (1974).
2831 M. HIRAYAMA and K. SHOHNO, Jpn. J. Appl. Phys., 12, 1960 (1973).
2832 T. L. CHU, D . W . ING, and A. J. N O R E I K A , Solid-State Electron., 10, 1023 (1967).
2833 A. J. N O R E I K A and D . W . ING, / . Appl. Phys., 39, 5578 (1968).
2834 D . W . L E W I S , / . Electrochem. Soc, 117, 978 (1970).
2835 T. L. CHU and R. W. KELM, JR., / . Electrochem. Soc, 122, 995 (1975).
2836 K. TSUBOUCHI, K. SUGAI, and N. MIKOSHIBA, Jpn. J. Appl. Phys., 19, L751
(1980).
2837 M. MORITA, S. ISOGAI, K. TSUBOUCHI, and N. MIKOSHIBA, Appl. Phys. Lett.,
38, 50 (1981).
2838 M. MORITA, S. ISOGAI, N. SHIMIZU, K. TSUBOUCHI, and N. MIKOSHIBA,
jpn. J. Appl. Phys., 20, L173 (1981).
2839 M. MORITA, K. TSUBOUCI, and N. MIKOSHIBA, Jpn. Appl. Phys., 2 1 , 728,
1102 (1982)
2840 Y. CHUBACHI, K. SATO, and K. KOJIMA, Thin Solid Films, 122, 259 (1984).
2841 Y. PAULEAU, A. BOUTEVILLE, J. J. H A N T Z P E R G U E , J. C. REMY, and A.
CACHARD, / . Electrochem. Soc, 129, 1045 (1982).
2842 J. PASTRNAK and L. SOUCKOVA, Phys. Stat. Sol., 3, K 7 1 (1963).
2843 G. A. COX, D. O. CUMMINS, K. KAW T ABE, and R. H. TREDGOLD, / . Phys. Chem.
Solids, 28, 543 (1967).
2844 S. OKAMURA, H . N I S H I , T. INADA, and H. HASHIMOTO, Appl. Phys. Lett,
40, 689 (1982).
2845 D. RICHMAN, / . Electrochem. Sec, 115, 945 (1968).
2846 H. T. MINDEN, Appl. Phys. Lett., 17, 359 (1970).
2847 W. M. YIM, / . Appl. Phys., 42, 2854 (1971).
2848 M. E T T E N B E R G , A. G. SIGAI, A. D R E E B E N , and S. L. GILBERT, / . Electrochem.
Soc, 118, 1355 (1971).
2849 A. G. SIGAI, M. S. ABRAHAMS, and J. BLANC, / . Electrochem. Soc, 119, 952 (1972).
2850 W. D. JOHNSTON, JR., and W . M . C A L L A H A N , / . Electrochem. Soc, 123, 1524 (1976);
W. D. JOHNSTON, JR., IEEE Trans. Electron. Dev., 24, 135 (1977).
2851 R. D R U I L H E , / . Cryst. Growth, 54, 330 (1981).
2852 M. LEROUX, A. TR£)MSON-CARLI, P. GIBART, C. V E R I E , C. B E R N A R D , and
M. C. SCHOULER, / . Cryst. Growth, 47, 367 (1980).
2853 A. TROMSON-CARLI, P. GIBART, and C. BERNARD, / . Cryst. Growth, 5 1 , 125,
(1981).
2854 H. P. MARUSKA, and J. J. T I E T J E N , Appl. Phys. Lett., 15, 327 (1969).
2855 A. SHINTANI and S. MINAGAWA, / . Cryst. Growth, 22, 1 (1974); / . Electrochem.
Soc, 123, 1575, 1725 (1976); 125, 2076 (1978).
2856 M. SANO and A. AOKI, Jpn. J. Appl. Phys., 15, 1943 (1976).
2857 G. JACOB, M. BOULOU, and M. FURTADO, / . Cryst. Growth, 42, 136 (1977).
2858 G. JACOB, Ada Electron., 21, 159 (1978).
2859 S. S. L I U and D. A. STEVENSON, / . Electrochem. Soc, 125, 1161 (1978).
2860 B. MONEMAR and O. LAGERSTEDT, / . Appl. Phys., 50, 6480 (1979).
604
REFERENCES
605
REFERENCES
606
REFERENCES
607
REFERENCES
2957 B. J. BALIGA and S. K. GHANDHI, / . Electrochem. Soc, 121, 1642, 1646 (1974).
2958 G. ZIEGLER, Solid-State Electron., 6, 680 (1963).
2959 P. K. CHIANG and S. M. BEDAIR, / . Electrochem. Soc, 131, 2422 (1984).
2960 P. K. CHIANG and S. M. BEDAIR, Appl. Phys. Lett., 46, 383 (1985).
2961 T. MANABE, T. G E J Y O , and H. S E K I , Jpn. J. Appl. Phys., 10, 1466 (1971).
2962 H. S E K I , S. TAKEUCHI, Y. WAKASHIMA, and T. MANABE, Jpn. J. Appl. Phys.,
11, 1070 (1972).
2963 W. F . FINCH and E. W. MEHAL, / . Electrochem. Soc, 111, 814 (1964).
2964 T. KOTANI , O. UEDA, K. ARITA, Y. N I S H I T A N I , T. K U S U N O K I , and O. R Y U -
ZAN, / . Cryst. Growth, 38, 85 (1977).
2965 R. D. D U P U I S and P. D. DAPKUS, Appl. Phys. Lett., 3 1 , 466 (1977); IEEE J.
Quantum Electron., 15, 128 (1979).
2966 A. J. S P R I N G T H O R P E , F . D. K I N G , and A. BECKE, / . Electron. Mater., 4, 101
(1975).
2967 G. B. S T R I N G F E L L O W and H. T. HALL, JR., / . Cryst. Growth, 43, 47 (1978).
2968 G. B. S T R I N G F E L L O W and H. T. HALL, JR., / . Electron. Mater., 8, 201 (1979).
2969 G. B. S T R I N G F E L L O W and G. HOM, Appl. Phys. Lett., 34, 794 (1979).
2970 G. B. S T R I N G F E L L O W , / . Cryst. Growth, 55, 42 (1981).
2971 R. R. SAXENA, C. B. COOPER, I I I , M. J. L U D O W I S E , S. H I K I D O , V. M.
S A R D I , and P . G. BORDEN, / . Cryst. Growth, 55, 58 (1981).
2972 M. E. KIM, C. S. HONG, D. KASEMSET, and R. A. MILANO, IEEE Electron.
Dev. Lett., 5, (1984).
2973 R. R. B R A D L E Y , / . Cryst. Growth, 55, 223 (1981).
2974 J. P. ANDRE, M. BOULOU, and A. MIRCEA-ROUSSEL, / . Cryst. Growth, 55, 192,
(1981); J. P . A N D R E , A. B R I E R E , M. ROCCHI, and M. R I E T , / . Cryst. Growth,
68, 445 (1984).
2975 G. W. T'HOOFT, C. VAN OPDORP, H. V E E N V L I E T , and A. T. VINK, / . Cryst.
Growth, 55, 173 (1981).
2976 R. AZOULAY, N. BOUDMA, J. C. BOULEY, and L. DUGRAND, / . Cryst. Growth,
55, 229 (1981).
2977 J. H A L L A I S , J, P. A N D R E , A. MIRCEA-ROUSSEL, M. MAHIEU, J. VARON,
M. C. BOISSEY, and A. T. VINK, / . Electron. Mater. 10, 665 (1981).
2978 J. J. YANG, W. I. SIMPSON, and L. A. MOUDY, / . Appl. Phys., 53, 771 (1982).
2979 D. W. K I S K E R , J. N. MILLER, and G. B. STRINGFELLOW, Appl. Phys. Lett.,
40, 641 (1982).
2980 T. MATSUMOTO, P. K. BKATTACHARYA, and M. J. L U D O W I S E , Appl. Phys.
Lett., 4 1 , 662 (1982).
2981 R. H . WU, D. ALLSOPP, and A. R. P E A K E R , Electron. Lett., 18, 75 (1982).
2982 S. D. H E R S E E , M. A. Di FORTE-POISSON, M. A. BALDY, and J. P. DUCHEMIN,
Electron. Lett., 18, 870 (1982).
2983 Y. TAKAHASHI, T. SOGA, S. SAKAI, M. UMENO, and S. H A T T O R I , Jpn. J. Appl.
Phys., 22, 1357 (1983).
2984 K. MOHAMMED, J. MERZ, and D. KASEMSET, Mater. Lett., 2, 35 (1983).
2985 J. R. SHEALY, V. G. K R E I S M A N I S , D. K. W A G N E R , and J. M. WOODALL, Appl.
Phys. Lett., 42, 83 (1983).
2986 M. J. TSAI, M. M. TASHIMA, and R. L. MOON, / . Electron. Mater. 13, 437 (1984).
2987 P. K. BAHTTACHARYA, S. SUBRAMANIAN, and M. J. LUDOWISE, / . Appl.
Phys., 55, 3664 (1984).
2988 H . T E R A O and H. SUNAKAWA, / . Cryst. Growth, 68, 157 (1984).
608
REFERENCES
609
REFERENCES
610
REFERENCES
611
REFERENCES
612
REFERENCES.
613
REFERENCES
614
REFERENCES
615
REFERENCES
616
REFERENCES
617
REFERENCES
618
REFERENCES
619
REFERENCES
620
REFERENCES
621
REFERENCES
622
REFERENCES
623
REFERENCES
624
REFERENCES
625
REFERENCES
626
REFERENCES
627
REFERENCES
628
REFERENCES
629
REFERENCES
630
REFERENCES
3718 Y. AMEMIYA, T. ONO, and K. ΚΑΤΟ, IEEE Trans. Electron. Dev.t 26 1738 (1979).
3719 M. TANIMOTO, J. MUROTA, Y. OHMORI, and N. TEDA, IEEE Trans. Electron.
Dev., 27, 517 (1980).
3720 J. E. MAHAN, Appl. Phys. Lett., 41, 479 (1982); J. E. MAHAN, D. S. NEWMAN, and
M. R. GULETT, IEEE Trans Electron. Dev., 30, 45 (1983).
3721 H . C. De GRAAFF and M. T. M. H U Y B E R S , / . Appl. Phys., 54, 2504 (1983).
3722 Y. SATO, K. MURASE, and H. HARADA, / . Electrochem. Soc. 129, 1635 (1982).
3723 C. Y. LU., N. C. C. LU, and C. C. SHIN, / . Electrochem. Soc, 132, 1193 (1985).
3724 S. D. S. MALHI, P. K. C H A T T E R J E E , R. F . PINIZZOTTO, H . W. LAM, C. E. C.
CHEN, H . S H I C H I J O , R. R. SHAN, and D. W. BELLAVANCE, IEEE Electron.
Dev. Lett., 4, 369 (1983).
3725 K. MAEDA, K. S H I R A I , and J. NAKANO, Jpn. J. Appl. Phys., 13, 1173 (1974).
3726 C. H . FA and T. T. J E W , IEEE Trans. Electron. Dev., 13, 290 (1966).
3727 T. I. KAMINS, Solid-State Electron., 15, 789 (1972).
3728 Z. L I E B L I C H and A. BAR-LEV, IEEE Trans. Electron. Dev., 24, 1025 (1977).
3729 J. MANOLIU and T. I. KAMINS, Solid-State Electron., 15, 1103 (1972).
3730 E. MUNOZ-MERINO, Phys. Stat. Sol., A15, K167 (1973).
3731 D. K. B R O W N and C. A. B A R I L E , / . Electrochem. Soc, 130, 1579 (1983).
3732 H . J. LEAMY, R. C. F U J E , K. K. NG, G. K. C E L L E R , E. I. POVILONIS, and
S. M. SZE, Appl. Phys. Lett., 40, 598 (1982).
3733 K. OKADA, K. AOMURA, T. NAKAMURA, and H . SHIBA, IEEETrans. Electron.
Dev., 26, 385 (1979).
3734 J. P . MONICO-GARCIA and E. MUNOZ-MERINO, Solid-State Electron., 16, 1513
(1973).
3735 S. ONGA, Y. MIZUTANI, Y. TANIGUCHI, M. K A S H I W A G I , K. SHIBATA, and
S. KOHYAMA, jpn. J. Appl. Phys., 2 1 , 1472 (1982).
3736 K. L. KAVANAGH, J. W. MAYER, C. W. MAGEE, J. S H E E T S , J. TONG, P. D.
K I R C H N E R , J. M. WOOD ALL, and I. H A L L E R, J. Electrochem. Soc, 133, 1176
(1986).
3737 C. A. CHANG and W. H . S I E K H A N O , / Appl. Phys., 46, 3402 (1975).
3738 T. L. CHU, H . C. MOLLENKOPF, and S. S. CHU, / . Electrochem. Soc, 122, 1681
1975; 123, 106 (1976).
3739 K. OKUDA, H. OKAMOTO, and Y. HAMAKAWA, Jpn. J. Appl. Phys., 22, L603
(1983).
3740 A. B. M E I N E L and M. P. M E I N E L , Physics Today, 25 (2), 44 (1972).
3741 V. J. KAPOOR, IEEE Electron. Dev. Lett., 2, 92 (1981).
3742 S. N I S H I D A , M. KONAGAI, and K. T A K A H A S H I , Thin Solid Films, 112, 7 (1984).
3743 M. E. LUNNON and D. W. GREVE, / . Appl. Phys., 54, 3278 (1983).
3744 T. MATSUSHITA, T. AOKI, T. OHTSU, H . YAMOTO, H. H A Y A S H I , M. OKAYAMA,
and Y. KAWANA, IEEE Trans. Electron. Dev., 23, 826 (1976); Jpn. J. Appl. Phys.,
Suppl., 15, .35 (1976).
3745 T. MATSUSHITA, N. OH-UCHI, H. H A Y A S H I , and H . YAMATO, Appl. Phys.
Lett., 35, 549 (1979).
3746 N. ZOMMER, IEEE Trans. Electron. Dev., 27, 2056 (1980).
3747 J. N I a n d E. ARNOLD, Appl. Phys. Lett., 39, 554 (1981).
3748 D. I O S I F , V. SOLTUZ, and G. DINOIU, Thin Solid Films, 75, 125 (1981).
3749 Y. K W A R K and R. M. SWANSON, / . Electrochem. Soc, 129, 197 (1982).
3750 A. E. W I D M E R , G. H A R B E K E , L. K R A U S B A U E R , and E. F . S T E I G M E I E R , /.
Electrochem. Soc, 133, 1880 (1986).
631
REFERENCES
3751 W. R. KNOLLE and Η. R. MAXWELL, JR., / . Eledrochem. Soc, 127, 2254 (1980);
Η . R. MAXWELL, J R . and W. R. KNOLLE, / . Eledrochem. Soc, 128, 576 (1981).
3752 E. YABLONOVITCH and T. GMITTER, IEEE Electron. Dev. Lett., 6, 597 (1985).
3753 M. HAMASAKI, T. ADACHI, S. WAKAYAMA, and M. K I K U C H I , / . Appl. Phys.,
49, 3987 (1978).
3754 T. ADACHI and C. R. HELMS, / . Eledrochem. Soc, 127, 1617 (1980).
3755 J . T . McGINN and A . M . GOODMAN, Appl. Phys. Lett., 34, 601 (1979).
3756 M. L. HITCHMAN and A. E. W I D M E R , / . Cryst. Growth, 55, 501 (1982).
3757 J. WONG, D. A. J E F F E R S O N , T. G. SPARROW, J.M. THOMAS, R. H . MILNE,
A. H O W I E , and E. F . KOCH, Appl. Phys. Lett., 48, 65 (1986).
3758 T. L. CHU, S. S. CHU, K. Y. D U H , and H . C. MOLLENKOPF, / . Appl.. Phys.,
48, 3576 (1977).
3759 T. WARABISAKO and T. SAITOH, IEEE Trans. Electron. Dev., 24, 446 (1977).
3760 P. H, ROBINSON and R. V. D'AIELLO, Appl. Phys. Lett., 39, 63 (1981).
3761 C. LANZA and H. J. HOVEL, IEEE Trans. Electron., Dev., 24, 392 (1977).
3762 T. L. CHU, S. S. CHU, and E. D. STOKES, Solar Energy Mater., 2, 265(1979/1980).
3763 W. J. SCHINS, J. BEZEMER, H . HOLTROP, and S. RADELAAR, / . Eledrochem.
Soc, 127, 1193 (1980).
3764 K. R. S H A R M A a n d M. J. RICE, JR., / . Cryst. Growth, 56, 313 (1982).
3765 S. S. CHU, T. L. CHU, and M. GILL, Thin Solid Films, 46, L I (1977).
3766 H . K R O G E R , D. W. J I L L I E , L. N., SMITH, L. E. P H A N E U F , C. N. P O T T E R ,
D. M. SHAW, E. J. CUKANSAS, and M. N I S E N O F F , Appl. Phys. Lett., 44, 562
(1984).
3767 G. A. STEVENSON, B. TUCK, and S. J . T . OWEN, / . Mater. Sei., 6, 413 (1971).
3768 S. S. CHU, T. L. CHU, H . T. YANG, and K. H. HONG, / . Eledrochem. Soc, 125,
1668 (1978).
3769 S. S. CHU, T. L. CHU, and Y. T. L E E , IEEE Trans. Electron. Dev., 27, 640 (1980).
3770 S. M. VERNON, A. E. B L A K E S L E E , and M. J. HOVEL, / . Eledrochem. Soc, 126,
703 (1979).
3771 A. E. B L A K E S L E E and S. M. VERNON, IBM. J. Res. Dev., 22, 346 (1978).
3772 K. P. PANDE, Y.-S. H S U , J. M. B O R R E G O , and S. K. GHANDHI, Appl. Phys.
Lett., 33, 717 (1978).
3773 S. K. GHANDHI, J. M. B O R R E G O , D. R E E P , Y.-S. H S U , and K. P. P A N D E ,
Appl. Phys. Lett., 344, 699 (1979).
3774 K. P A N D E , D. R E E P , A. SRIVASTAVA, S. T I W A R I , J. M. B O R R E G O , and S. K.
G H A N D H I , / . Eledrochem. Soc, 126, 300 (1979).
3775 K. P. PANDE, D. H . R E E P , S. K. SHASTRY, A. S. W E I N E R , J. M. B O R R E G O ,
and S. K. GHANDHI, IEEE Trans. Electron. Dev., 27, 635 (1980).
3776 S. K. GHANDHI, S. K. SHASTRY, and J. M. B O R R E G O , Appl. Phys. Lett., 38,
25 (1981).
3777 S. K. SHASTRY and S. K. G H A N D H I , / . Cryst. Growth, 6 1 , 458 (1983).
3778 S. K. G H A N D H I and D. H. R E E P , / . Eledrochem. Soc, 129, 2778 (1982).
3779 J. J. J. YANG, P. D. D A P K U S , R. D. D U P U I S , and R. D. YINGLING, / . Appl.
Phys., 51, 3794 (1980).
3780 W. D. JOHNSTON, JR., / . Cryst. Growth, 39, 117 (1977).
3781 W. D. JOHNSTON, J R . and W. M. CALLAHAN, / . Eledrochem. Soc, 125, 977 (1978).
3782 K. J. BACHMANN, E. B U E H L E R , J. L. SHAY, S. W A G N E R , and M. B E T T I N I ,
/ . Eledrochem. Soc, 123, 1509 (1976).
632
REFERENCES
633
REFERENCES
3814 Proc. 8th Int. Conf. on Amorphous and Liquid Semicond., Cambridge, MA, 1979 (W.
Paul and M. Kastner, eds.), / . Non-Cryst. Solids, 35/36 (1980).
3815 Proc. 9th Conf. on Amorphous and Liquid Semicond., Grenoble, 1981 (B. K. Chakra-
verty and D. Kaplan, eds.), / . Fhys. (Paris), Colloq. C4, 42 (1981).
3816 Proc. .10th Int. Conf. on Amorphoys and Liquid Semicond., Tokyo, 1983 (K. Tanaka
and T. Shimizu, ed.), / . Kon. Cry st. Solids, 59/60 (1983).
3817 Proc. 11th Int. Conf. on Amorphous and Liquid Semicond., Rome 1985, (F. Evanghe-
listi and J. Stuke, eds.), / . Non-Cryst. Solids. 77/78 (1986).
3818 D. CARLSON, ref. [3805], p . 175.
3819 M. H . BRODSKY, Thin Solid Films, 50, 57 (1978).
3820 H . F R I T S C H E , Solar Energy Mater., 3, 447 (1980).
3821 A. H. MAHAN and J. L. STONE, eds., "Amorphous Silicon Bibliography ( 1 9 6 5 - 1980)",
Solar Cells, 4 ( 3 - 4 ) (1981); "Amorphous Silicon Bibliography 1981 & 1982" Solar Cells,
7 (4) ( 1 9 8 2 - 1 9 8 3 ) ; J. L. CRWLEY, ed., "Amorphous Silicon Bibliography 1983,
1984 & 1985", Solar Cells, 13 (4) 1985; 14 (4) (1985); 17 (4) 1986.
3822 D. CARLSON, Solar Energy Mater., 3, 503 (1980).
3823 J. C. K N I G H T S and G. LUCOVSKY, CRC Crit. Rev. Sol. St. Mat. Sei., 9, 210 (1980).
3824 W. PAUL and D. A. ANDERSON, Solar Energy Mater., 5, 229 (1981).
3825 J. G E I G E R , Thin Solid Films, 126, 1 (1985).
3826 H . FRITZSCHE, C. C. TSAI, and P. P E R S A N S , Solid State Technol., 21 (1), 55(1978).
3827 W. E. SPEAR, Advan. Phys., 26, 811 (1977).
3828 W. E. SPEAR, P . G. LeCOMBER, A. J. SNELL, and R. A. GIBSON, Thin Solid
Films, 90, 359 (1982).
3829 R. C. CHITTICK, J. H . A L E X A N D E R , and H . F . S T E R L I N G , / . Electrochem. Soc,
116, 77 (1969).
3830 W. E. S P E A R and P . G. LeCOMBER, Solid State Commun., 17, 1193 (1975); Philos.
Mag., 33, 935 (1976).
3831 M. H . B R O D S K Y , M. A. F R I S C H , J. F . Z I E G L E R , and W. A. LANFORD, Appl.
Phys. Lett., 30, 561 (1977).
3832 M. H . BRODSKY, M. CARDONA, and J. J. CUOMO, Phys. Rev., B16, 3556 (1977).
3833 D. E. CARLSON and C. R. W R O N S K I , Appl. Phys. Lett., 28, 671 (1976).
3834 C. R. W R O N S K I , IEEE Trans. Electron. Dev., 24, 351 (1977).
3835 D. E. CARSLON, IEEE Trans Electron. Dev., 24, 449 (1977).
3836 J. C. K N I G H T S , Philos. Mag., 34, 663 (1976).
3837 J. C. K N I G H T S , R. A. L U J A N , M. P. A L E X A N D E R , R. A. S T R E E T , and D. K.
B I E G E L S E N , Appl. Phys. Lett., 38, 331 (1981).
3838 H . OKAMOTO, Y. NITTA, T. ADACHI, and Y. HAMAKAWA, Surf. Sei., 86, 444
(1979).
3839 B . A. SCOTT, M. H . BRODSKY, D. C. G R E E N , P . B. K I R B Y , R. M. P L E C E N I K ,
and E. E. SIMONYI, Appl. Phys. Lett., 37, 725 (1980).
3840 T. HAMASAKI, M. UEDA, A. CHAYAHARA, M. H I R O S E , and Y. OSAKA, Appl.
Phys. Lett., 44, 600 (1984).
3841 Y. OHASHI, J. K E N N E , M. KO GAN AI, and K. T A K A H A S H I , Appl. Phys. Lett.,
42, 1028 (1983).
3842 P . N. D I X I T , R. BHATTACHARYA, O. S. P A N W A R , and V. V. SHAH, Appl.
Phys. Lett., 44, 991 (1984).
3843 O. S. PANWAR, P. N. D I X I T , R. BHATTACHARYA, and V. V. S H A H , / . Appl.
Phys., 59, 1578 (1986).
3844 L. J. DIMMEY, P . L. J O N E S , and F . H . COCKS, Thin Solid Films, 6 7 , L 1 3 (1980).
634
REFERENCES
635
REFERENCES
636
REFERENCES
637
REFERENCE'S
638
REFERENCES
639
REFERENCES
640
REFERENCES
641
REFERENCES
642
REFERENCES
643
REFERENCES
644
REFERENCES
4175 T. ABE, K. SATO, M. KONAKA, and A. MIYAZAKI, Jpn. J. AppL Phys., Suppl.,
39, 88 (1970).
4176 F. C. E V E R S T E I J N , Philips Res. Rep., 21, 379 (1966).
4177 D. P E T E R S O N , IEEE Trans. Comp. Parts, 10, 119 (1963).
4178 Y. KOGA, M. MATSUSHITA, M. KOBAYASHI, Y. NAKAIDO, and S. TOYOSHIMA,
ref. [85], p . 355.
4179 Y. NAKAIDO and S. TOYOSHIMA, / . Ehctrochem. Soc, 115, 1094 (1968).
4180 S. K. T U N G and R. E. CAFFREY, / . Ehctrochem. Soc, 117, 91 (1970).
4181 P.-C. L I and P. J. TSANG, / . Ehctrochem. Soc, 129, 165 (1982).
4182 W. K E R N and G. L. SCHNÄBLE, RCA Rev., 43, 423 (1982); W. K E R N , W. A.
K U R Y L O and C. J. TINO, RCA Rev., 46, 117 (1985).
4183 W. K E R N and R. K. SMELTZER, Solid State Technol., 28 (6), 171 (1985).
4184 M. SUSA, Y. HIROSHIMA, K. SENDA, and T. TAKAMURA, / . Ehctrochem. Soc,
133, 1517 (1986).
4185 T. FOSTER, G. H O E Y E , and J. GOLDMAN, / . Ehctrochem. Soc, 132, 505 (1985).
4186 F . S. BECKER, D. PAWLIK, H. SCHÄFER, and G. STANDIGL, / . Vac Sei.
Technol., B4, 732 (1986).
4187 J. E. TONG, K. S C H E R T E N L E I B , . a n d R. A. CARPIO, Solid State Technol., 27,
(1), 161(1984); Y. AVIGAL, Solid State Technol., 26 (10), 217 (1983); 27 (2) 139 (1984).
4188 M. SUSA, Y. HIROSHIMA, K. SENDA, T. KURIYAMA, S. MATSUMOTO, S. TERA-
KAWA, and T. TAKAMURA, / . AppL Phys., 58, 3880 (1985).
4189 T. MATSUO, Jpn. J. AppL Phys., 12, 1862 (1973).
4190 P. J. TSANG, R. M. ANDERSON, and S. CRIKEVICH, / . Ehctrochem. Soc, 123,
57 (1976).
4191 N. HASHIMOTO, Y. KOGA, and E. YAMADA, ref. [85], p. 327.
4192 D. R. M E S S I E R and P. WONG, / . Ehctrochem. Sec, 118, 7772 (1971).
4193 F . L. G I T T L E R and R. A. P O R T E R , / . Ehctrochem. Soc, 117, 1551 (1970).
4194 W. VON MUENCH, Solid-State Electron., 9, 619 (1966).
4195 C. F . GIBBON and D. R. KETCHOW, / . Ehctrochem. Soc, 118, 975 (1971),
4196 M. HUFFMAN, A. NAVROTSKY, and F . S. PINTCHOVSKI, / . Ehctrochem. Soc,
133 431 (1986).
4197 H . NAMIKAWA, K. AR AI, K. KUMATA, Y. I S H I I , and H. TANAKA, Jpn. J.AppL
Phys., 21, L360 (1982).
4198 H. NAMIKAWA, Y. I S H I I , K. KUMATA, K. AR AI, and I. IIDA, Jpn. J. AppL
Phys., 23, L409 (1984).
4199 K. ARAI, H. NAMIKAWA, K. KUMATA, Y. ISHII, H. TANAKA, and I. IIDA,
Jpn. J. AppL Phys., 22, L397 (1983).
4200 G. M. DiGIACOMO, / . Ehctrochem. Soc, 116, 313 (1969).
4201 J. P. D I S M U K E S , J. KANE, B. B I N G G E L I , and H. P. SCHWEIZER, ref. [26],
p. 275.
4202 S. K. TUNG and R. E. CAFFREY, / . Ehctrochem. Soc, 114, 275C (1967).
4203 V. Y. DOO and P. J. TSANG, / . Ehctrochem. Soc, 116, 116C (1969).
4204 T. V. MITCHELL, M. KAMOSHIDA, and -J. W. MAYER, / . AppL Phys., 42, 4378
(1971).
4205 M. KAMOSHIDA, I. V. MITCHELL, and J. W. MAYER, Appl . Phys. Lett., 18,
292'(1971).
4206 T. T S U J I D E , S. NAKANUMA, and Y. IKUSHTMA, / . Ehctrochem. Soc, 117, 703
(1970)
4207 P. WONG and McD. ROBBINSON, / . Am. Ccram. Soc, 53, 617 (1970).
645
REFERENCES
4208 K. M. SCHLESIER, J. M. SHAW, and C. W. BENYON, JR., RCA Rev., 37, 358
(1976).
4209 D. R. M E S S E I R and P . WONG, / . Electrochem. Soc. 118, 772 (1971).
4210 V. J. S I L V E S T R I , C M . OSBURN, and D. W. ORMOND, / . Electrochem. Soc, 126,
902 (1978).
4211 F . B. MICHELETTI, P. E. N O R R I S , K. M. SCHLESIER, and D. M. SHAW, Solid
State Technol., 14 (12), 37 (1971).
4212 C. S. P A R K , J. G. KIM, and J. s! CHUN, / . Electrochem. Soc, 130, 1607 (1983).
4213 J. V. K E R R I G A N , / . Appl. Phys., 34, 3408 (1963).
4214 P. BALK and F . STEPHANY, / . Electrochem. Soc./ 118, 1634 (1971).
4215 J. A. ABOAF, / . Electrochem. Soc, 114, 948 (1967).
4216 M. T. D U F F Y and W. K E R N , RCA Rev., 31, 754 (1970).
4217 M. MUTOH, Y. MIZOKAMI, H. MATSUI, S. HAGIWARA, and N. INO, / . Electro-
chem. Soc, 122, 987 (1975).
4218 C. DHANAVANTRI, R. N. K A R E K A R , and V. J. RAO, Thin Solid Films, 127, 85
(1985).
4219 L. A. RYABOVA and Y. S. SAVITSKAYA, / . Vac. Sei. Technol., 6, 934 (1969).
4220 V. F . KORZO, N. S. IBRAIMOV, and B. D. H A L K I N , / . Appl. Chem., 42, 989
(1969).
4221 L. H A L L and B.' R O B I N E T T E , / . Electrochem. Soc, 118, 1624 (1971).
4222 J. SARAIE, J. K W O N , and Y. YODOGAWA, / . Electrochem. Soc, 132, 890 (1985).
4223 R. L. H O U G H , ref. [25], p . 232.
4224 H . KATTO and Y. KOGA, / . Electrochem. Soc, 118, 1619 (1971).
4225 K. P . PANDE, V. K. R. NAIR, and D. G U T I E R E Z , / . Appl. Phys., 54, 5436 (1983).
4226 M. T. D U F F Y and A. G. REVESZ, / . . Electrochem. Soc, 117, 372 (1970).
4227 C. A. T. SALAMA, / . Electrochem. Soc, 118, 1993 (1971).
4228 K. OHTA and K. HAMANO, Jpn. J. Appl. Phys., 11, 546 (1972).
4229 K. IIDA, Jpn. J. Appl. Phys., 11, 288 (1972).
4230 T. T S U J I D E , Jpn. J. Appl. Phys., 11, 62 (1972).
4231 T. T S U J I D E and K. IIDA, Jpn. J. Appl. Phys., 11, 600, 1599 (1972).
4232 K. IIDA and T. T S U J I D E , Jpn. J. Appl. Phys., 11, 840 (1972).
4233 D. A. MEHTA, S. R. B U T L E R , and F . J. F E I G L , / . Electrochem. Soc, 120, 1707
(1973).
4234 A. P. GNADINGER and W. ROSENZWEIG, / . Electrochem. Soc, 121, 700 (1974).
4235 S. S I N G H and K. A. AN AND, Thin Solid Films, 37, 453 (1976).
4236 K. TANÄKA, H . TAKAHASHI, S. K U N I Y O S H I , and H . O H K I , Solid-State Electron.,
17, 751 (1974).
4237 T. ITO and Y. SAKAI, Solid-State Electron., 17, 751 (1974).
4238 Y. SHINODA and T. KOBAYASHI, Jpn. J. Appl. Phys., 19, L299 (1980).
4239 M. OKAMURA and T. KOBAYASHI, Jpn. J. Appl. Phys., 19, 2151 (1980).
4240 T. KOBAYASHI, M. OKAMURA, E. YAMAGUCHI, Y. SHINODA, and Y. HIRATO,
/ . Appl. Phys., 52, 6434 (1981).
4241 Y. SHINODA, M. OKAMURA, Έ . Y. YAMAGUCHI, and T. KOBAYASHI, Jpn.
J. Appl. Phys., 19, 2301 (1980).
4242 Y. SHINODA and T. KOBAYASHI, / . Appl. Phys., 52, 6386 (1984).
4243 S. K R O N G E L B , / . Electrochem. Soc, 116, 1583 (1969).
4244 R. N. GHOSHTAGORE and A. J. N O R E I K A , / . Electrochem. Soc, 117, 1310 (1970).
4245 R. N. GHOSHTAGORE, / . Electrochem. Soc, 117, 529 (1970).
646
REFERENCES
647
REFERENCES
648
REFERENCES
649
REFERENCES
650
REFERENCES
4385 M. J. POWELL, B. C. EASTON, and O. F . H I L L , Appl. Phys. Lett., 38, 794 (1981);
M. J. POWELL, Appl. Phys. Lett., 43, 597 (1983).
4386 K. I S H I B A S H I and M. MATSUMURA, Appl. Phys. Lett., 4 1 , 454 (1982).
4387 V. SMID, N. M. DUNG, L. STOURAC, and K. J U R E K , / . Non-Cryst. Solids, 70,
1 (1985).
4388 R. A. S T R E E T and C. C. TSAI, Appl. Phys. Lett., 48, 1672 (1986).
4389 W. STUTINS and W. S T R E I F E R , Appl. Opt., 16, 3218 (1977).
4390 W. K E R N and C. E. TRACY, U. S. Pat. 4,097,889 (1978).
4391 W. N. L E W I S , U. S . P a t . 4,091,406 (1978).
4392 B. BOURDON, G. S I F R E , and I. SOLOMON, ref. [28], p . 220.
4393 F . W. SEXTON, Solar Energy Mat., 7, 1 (1982); F . W. SEXTON, / . Electrochem.
Soc, 11, 2624 (1982.).
4394 G. W. T U R N E R , J. C. C. FAN, and J. J. H S I E H , Appl. Phys. Lett., 37, 400 (1980).
4395 T. INADA, T. OHKUBO, S. SAWADA, T. HARA, and M. NAKAJIMA, / . Electro-
chem. Soc, 125, 1525 (1978).
4396 M. J. H E L I X , K. V. VAIDYANATHAN, and B. G. STREETMAN, IEEE J. Solid-
State Circ, 13, 426 (1978).
4397 T. ONUMA, T. H I R A O , and T. SUGAWA, / . Electrochem. Soc, 129, 837 (1982).
4398 V. B. RAO and R. Y. KOYAMA, / . Electrochem. Soc, 131, 1674 (1984).
4399 P . M . CAMPBELL and B. J. BALIGA, / . Electrochem. Soc, 132, 186 (1985).
4400 J. M. F R A R Y and P. S E E S E , Semicond. Int., 4 (12), 72 (1981).
4401 P . M . P E T R O F F , G. A. ROZGONYI, and T. T. SHENG, / . Electrochem. Soc, 123,
565 (1976); G. A. ROZGONYI and R. A. K U S H N E R , / . Electrochem. Soc, 123, 570
(1976).
4402 Y. MADA, Jpn. J. Appl. Phys. Lett., 2 1 , L863 (1982).
4403 M. MIHAILA and K. AMBERIADIS, Solid-State Electron., 26, 109 (1983).
4404 J. W. MEDERNACH, V. A. W E L L S , and L. W I T H E R S P O O N , / . Electrochem. Soc,
133, 1272 (1986).
4405 T. OTSUBO, I. ASADA, and F . S H I N O K I , Nucl. Instrum. Methods, 124, 325 (1975).
4406 A. I. STOLLER, W. C. SCHILP. J R . , and J. B E N B E N E K , RCA Rev., 31, 443 (1790).
4407 J. L. VOSSEN and W. K E R N , Physics Today, 33 (5), 26 (1980).
4408 M. T. D U F F Y , S. BERKMAN, G. W. CULLEN, R. V. D'AIELLO, and H. I. MOSS,
/ . Cryst. Growth, 50, 347 (1980).
4409 T. L. CHU, J. R. SZEDON, and C. H. L E E , / . Electrochem. Soc, 115, 318 (1968).
4410 D. M. BROWN, P. V. GRAY, F . K. HEUMANN, H. R. P H I L L I P P , and E. A. TAFT,
/ . Electrochem. Soc, 115, 311 (1968).
4411 M. J. RAND and J. F. R O B E R T S , / . Electrochem. Soc, 120, 446 (1973).
4412 N. C. TOMBS, F . A. SEWELL, JR., and J. J. COMER, / . Electrochem. Soc, 116,
862 (1969).
4413 W. L A N G H E I N R I C H and R. P R I S T L , Metallober., 25, 168 (1971).
4414 A. K. GAIND, G. K. ACKERMANN, V . J . LUCARINI, and R. L. B R A T T E R , / .
Electrochem. Soc, 124, 599 (1977).
4415 A. K. GAIND and E. W. H E A R N , / . Electrochem. Soc, 125, 139 (1978).
4416 A. E. T. K U I P E R , S. W. KOO, F . H . P. M. H A B R A K E N , and T. TAMMINGA, / . Vac.
Sei. Technol., B l , 62 (1983).
4417 F . H . P. M. H A B R A K E N , R. H . G. T I J H A A R , H. F . VAN DER W E G , A. E. T. K U I -
P E R , and M. F . C. W I L L E M S E N , / . Appl. Phys., 59, 442 (1986).
4418 W. A. P. CLAASSEN, H . A. J. T. v. d. POL, A. H . GOEMANS, and A. E. T. K U I P E R ,
/ . Electrochem. Soc, 133, 145S (1986).
651
REFERENCES
652
REFERENCES
4456 H. CARCHANO and M. VALENTIN, Thin Solid Films, 30, 351 (1975).
4457 Y. OKADA, Thin Solid Films, 74, 69 (1980).
4458 J. CASTONGUAY and A. T H E O R E T , Thin Solid Films, 69, 85 (1980).
4459 J . M . MAISONNEUVE, Y. S E G U I , and A. BUI, Thin Solid Films, 33, 35 (1976);
4460 Y. SEGUI and A. BUI, Thin Solid Films, 50, 321 (1978).
4461 M. A K T I K , Y. S E O U I , and A. BUI, / . Appl. Phys., 5 1 , 5055 (1980).
4462 Y. SEGUI and A. BUI, / . Appl. Polym. Sei., 20, 1611 (1976).
4463 H. CARCHANO, / . Chem. Phys., 61, 3634 (1974).
4464 D. BROSSET, A. BUI, and Y. S E G U I , Appl. Phys. Lett., 33, 87 (1978).
4465 K. G. SACHDEV and H. S. SACHDEV, Thin Solid Films, 107, 245 (1983).
4466 Y. SEGUI, D. MONTALAN, and N. MORET, Thin Solid Films, 120, 37 (1984).
4467 M. R. W E R T H E I M E R , J. E. K L E M B E R G - S A P I E H A , and H. P . S C H R E I B E R , Thin
Solid Films, 115, 109 (1984).
4468 V. S. NGUYEN, J. U N D E R H I L L , S. F R I D M A N N , and P. PAN, / . Electrochem. Soc,
132, 1925 (1985).
4469 R. K. SADHIR, W. J. JAMES, and R. A. AUERBACH, Thin Solid Films, 97, 17
(1982).
4470 R. K. SADHIR and H. E. SAUNDERS, / . Vac. Sei. Technol., A3, 2093 (1985).
4471 R. K. SADHIR and W. J. JAMES, in "Polymer in Electronics" (T. Davidson, ed.),
ACS Symposium Series no. 242, p . 533, American Chemical Society, Washigton,DC, 1984.
4472 E. KNY, L. L. LEVENSON, W. J. JAMES, and R. A. AUERBACH, Thin Solid
Films, 64, 395 (1979); 85, 23 (1981); / . Phys. Chem.,U9 1635 (1980); / . Vac. Sei.
Technol., 16, 359 (1979).
4473 E.· K A Y and A. D I L K S , / . Vac. Sei. Technol., 18, 1 (1981); Thin Solid Films, 78,
309 (1931).
4474 E. KAY, A. D I L K S , and D SEYBOLD, / . Appl. Phys., 5 1 , 5678 (1980).
4475 D. S H U T T L E W O R T H , / . Phys Chem., 84, 1629 (1980).
4476 N. MOROSOFF, R. H A Q U E , S. D. CLYMER, and A. L. CRUMBLISS, / . Vac. Sei.
Technol., A3, 2089 (1985).
4477 R. L I E P I N S , M. CAMPBELL, J. S. CLEMENTS, J. HAMMOND, and R. J. F R I E S ,
/ . Vac. Sei. Technol., 18, 1218 (1981).
4478 Y. OSADA and A. MIZUMOTO. / , Appl. Phys., 59, 1776 (1986).
4479 R. H BUCK, Microelectron Reliab., 6, 231 (1967).
4480 C. F . POWELL, ref. [11], p . 277.
4481 J. H. OXLEY, ref. [11], p . 452.
4482 C. F . POWELL, I. E. CAMPBELL, and B. W. GONSER, "Vapour Plating", Wiley,
New York (1955).
4483 G. A. RAZUVAEV, B. G. GRIBOV, G. A. DOMRACHEV, and B . A. SALAMATIN,
"Organometallies in Electronics", Nauka, Moscow, 1972.
4484 A. K. SINHA, Thin Solid Films, 90, 271 (1982).
4485 P. B. GHATE, Thin Solid Films, 93, 359 (1982).
4486 D. P E T E R S O N , "Non-Vacuum Deposition Techniques for Use in Fabricating Thin Film
Circuits", No. NObsr 91336, Final Rept. (1967).
4487 P. S. HO, Semicond. Int., 8 (8), 128 (1985); S. J. R H O D E S , Semicond. Int., 4 (3), 65
(1981); J. L. VOSSEN, Semicond. Int., 4 (9), 91 (1981).
4488 H . O. P I E R S O N , Thin Solid Films, 45, 257 (1977).
4489 D. FATU, M. MUSCALU, and C. E. MOROSANU, Mater. Chem., 5, 19 (1980).
4490 A. MALAZGIRT and J. W. EVANS, Metall. Trans., 11B, 225 (1980).
653
REFERENCES
654
REFERENCES
655
REFERENCES
656
REFERENCES
657
REFEBENCES
658
REFERENCES
659
REFERENCES
660
REFERENCES
661
REFERENCES
662
REFERENCES;
663
REFERENCES
664
REFERENCES
4880 T. SUZUKI, M. URA, and T. OGAWA, IEEE Trans. Electron. Dev., 13, 982 (1976).
4881 A . H . BERMAN, Solid State Technol., 19 (3), 29 (1976).
4882 R. D E N N I N G and J. P. W H I T E , Solid State Technol., 23 (3), 98 (1980); R. D E N N I N G
and D. MOE, IEEE Trans. Electron. Dev., 17, 711 (1970).
4883 J . A . A P P E L S , M. G. COLLET, P. A . H . H A R T , H. M. J. VAES, and J. F . C. M.
V E R H O E V E N , Philips J. Res., 35, 1 (1980).
4884 K. F . L E E , J. F . GIBBONS, K. C. SARASWAT, and T. I. KAMINS, Appl. Phys.
Lett., 35, 173 (1979).
4885 T. I. KAMINS, K. F . L E E , J. F . GIBBONS, and K. C. SARASWAT, IEEE Trans.
Electron. Dev., 27, 290 (1980).
4886 F . MAHOMMADI, K. C. SARASWAT, and J. D. MEINDL, IEEE Trans. Electron.
Dev., 27, 293 (1980).
4887 B.-Y. TSAUR, J. C. C. FAN, G. W. T U R N E R , M. W. GEIS, D. J. S I L V E R S M I T H ,
and R. W. MOUNTAIN, / . Appl. Phys., 54, 1151 (1983).
4888 T. UNAGAMI and B. TSUJIYAMA, IEEE Electron. Dev. Lett., 3, 167 (1982).
4889 R. P E N N E L L , R. CATERO and S. LOVELIS, / . Electrochem. Soc, 133, 2358 (1968).
4890 W. G. H A W K I N S , IEEE Trans. Electron. Dev., 33, 477 (1986).
4891 Y. NARA, Y. KUDOU, and M. MATSUMURA, Jpn. J. Appl. Phys., 22, L370 (1983);
H. HAYAMA and M. MATSUMURA, Appl. Phys. Lett., 36, 754 (1980); M. MATSU-
MURA and Y. NARA, / . Appl. Phys., 5 1 , 6443 (1980); Y. NARA and M. MATSUMURA,
IEEE Trans. Electron. Dev., 29, 1646 (1982).
4892 P. G. LeCOMBER, W. E. SPEAR, and A. GHAITH, Electron. Lett., 15, 179 (1979).
4893 N. B. GOODMAN, » . OZAKI, and H. F R I T Z S C H E , / . Non-Cryst. Solids, 35/36, 599
(1980).
4894 T. P. BRODY, IEEE Trans. Electron. Dev., 3 1 , 1614 (1984); M. J. THOMPSON, N. M.
JOHNSON, M. D. MOYER, and R. L U J A N , IEEE Trans. Electron. Dev., 29, 1643 (1982),
4895 B. J. BALIGA, IEEE Trans. Electron. Dev., 30, 1832 (1983).
4896 D. R. McGLYNN, "Microprocessors", Wiley, New York, 1976.
4897 R. L. VAN TUYL, C. A. L I E C H T I , R. E. L E E , and E. GOWEN, IEEE Solid State
Circ, 12, 485 (1977); R. L. VAN TUYL, V. KUMAR, D. C. D'AVANZO, T. W. TAYLOR
V. E. P E T E R S O N , D. P. H O R N B U C K L E , R. A. F I S C H E R , and D. B. E S T R E I C H
IEEE Trans. Electron. Dev., 29, 103 (1982).
4898 R. C. E D E N , B. M. W E L C H , R. ZUCCA, and S. I. LONG, IEEE Trans. Electron.
Dev., 26, 299 (1979).
4899 B. M. WELCH, Solid State Technol., 23 (2), 95 (1980).
4900 B. M. WELCH, Y. D. SHEN, R ZUCCA, R. C. E D E N , and S. I. LONG, IEEE
Trans. Electron. Dev., 27, 1116 (1980).
4901 T. MIMURA and M. F U K U T A , IEEE Trans. Electron. Dev., 27, 1147 (1980).
4902 N. YOKOYAMA, T. MIMURA, and M. FUKUTA, IEEE Trans. Electron. Dev., 27,
1124 (1980).
4903 S. I. LONG, B. M. WELCH, R. ZUCCA, and R. C. EDEN, / . Vac. Sä. Technol., 19,
531 (1981).
4904 A. G. R O D E and J. G. R O P E R , Solid State Technol., 28 (2), 209 (1985).
4905 T. ANDRADE, Solid State Technol., 28 (2), 199 (1985).
4906 H . H . W I E D E R , / . Vac. Sei. Technol., A2, 97 (1984).
4907 R. P. MANDAL, Solid State Technol., 25 (1), 94 (1982).
4908 R. ROOP, Solid State Technol., 27 (5), 147 (1984).
665
REFERENCES
4909 B. J. BALIGA, IEEE Trans. Electron. Dev., 33, 1936 (1986); M. S. ADLER, K. W.
OWYANG, B. J. BALIGA, and R. A. KOKOSA, IEEE Trans. Electron. Dev., 31
1570 (1984).
4910 C. L. HOGAN, Interface Age, 2 (3), 24 (1977).
4911 J. S. KILBY, IEEE Trans. Electron. Dev., 23, 648 (1976).
4912 J. LOHSTROH, Proc. IEEE, 69, 812 (1981).
4913 R. N. NOYCE, U.S. Pat. 2,981,877 (1961).
4914 K. LEHOVEC, U.S. Pat. 3,029,366 (1962).
4915 J. S. KILBY, U.S. Pat. 3,138,743 (1964).
4916 B. H O E N E I S E N and C. A. MEAD, Solid-State Electron., 15, 891 (1972).
4917 N. C. De TROYE, IEEE J. Solid State Circ, 9, 206 (1974).
4918 R. M. W A R N E R , JR., IEEE Spectrum, 13, 42 (1976).
4919 E. A. T O R R E R O , IEEE Spectrum, 14, 29 (1977).
4920 J. L. STONE and J. C. P L U N K E T T , Solid State Electron. Dev., 1, 179 (1977).
4921 A. H. AGAJANIAN, Solid State Technol., 18 (4), 61 (1975).
4922 M. DARWISCH and R. TAUBENEST, / . Electrochem. Soc, 121, 1119 (1974).
4923 B. T. MURPHY, V. J. GLINSKI, P. A. GARY, and R. A. P E D E R S E N , Proc. IEEE,
57, 1523 (1969).
4924 L. S. SENHOUSE, D. L. K U S H L E R , and B T. M U R P H Y , IEEE Trans. Electron.
Dev., 18, 355 (1971).
4925 K. DEMIZU, / . Electrochem. Soc, 118, 1627 (1971).
4926 K. E. BEAN and W. R. RUNYAN, / . Electrochem. Soc, 124, 5C (1977).
4927 T. J. SANDERS and W. R. MORCOM, Electronics, 46 (8), 117 (1973).
4928 T. FUKUSHIMA, K. UENO, and K. TANEKA, IEEE Trans. Electron. Dev., 30,
1785 (1983).
4929 D. P E L T Z E R and W. H E R N D O N , Electronics, 44(5), 53 (1971).
4930 Y. WATANABE, Y. ARITA, T. YOKOYAMA, and Y. IGARASHI, / . Electrochem. Soc,
122, 1351 (1975).
4931 T. C. TENG, / . Electrochem. Soc, 126, 870 (1979).
4932 M. WATANABE, ref. [57], p . 992.
4933 K. IMAI and Y. YORIUME, Jpn. J. Appl. Phys., 18, 281 (1978).
4934 T. I. KAMINS, Proc IEEE, 60, 915 (1972).
4935 W. M. P E N N Y and L. LAU, eds., "MOS Integrated Circuits", Van Nostrand-Reinhold,
New York, 1972.
4936 R.S. MÜLLER and T.I. KAMINS, "Device Electronics for Integrated Circuits", W i l e y ,
New York, 1977.
4937 B. H O E N E I S E N and C. A. MEAD, Solid State Electron 15, 819 (1972).
4938 H . F . STORM, IEEE Trans. Electron. Dev., 14, 710 (1967); 16, 957 (1969).
4939 A. H. AGAJANIAN, "MOSFET Technologies - A Complete Bibliography", IFI/Ple-
num, New York, 1980.
4940 A. H . AGAJANIAN, IEEE Trans. Electron. Dev., 20, 757 (1973).
4941 M. H. W H I T E and J. R. CRICCHI, Solid-State Electron., 9, 991 (1966); R. L. MAD-
DOX, Solid State Technol., 24 (2), 128 (1981).
4942 H . SUNAMI, M. KOYANAGI, and N. HASHIMOTO, / . Electrochem. Soc, 127,
2499 (1980).
4943 J. J. BARNES, J. M. DeBLASI, and B. E. DEAL, / . Electrochem. Soc, 126, 1779
(1979).
4944 T. P. CAUGE, J. KOCSIS, H. J. SIGG, and G. D. VENDELIN, Electronics, 44 (4),
99 (1971).
666
HEFKRENCES
4945 Y. HAGASHI, T. SEKIGAWA, and Y. T A R U I , Jpn. J. Appl. Phys., 16, 163 (1977).
4946 T. J. R O G E R S and J. D. MEINDL, IEEE Trans. Electron. Dev., 20, 226 (1973);
IEEE J. Solid State Circ, 9, 239 (1974).
4947 C. A. T. SALAMA and J. G. OAKES, IEEE Trans. Electron. Dev., 25, 1222 (1978).
4948 W. A. LANE and C. A. T. SALAMA, IEEE Trans. Electron. Dev., 27, 349 (1980).
4949 H. A. R. W E G E N E R , U.S. Pat. 3,590,337 (1971).
4950 D. FROHMAN-BENTCHKOWSKY and M. L E N Z L I N G E R , / . Appl. Phys., 40,
3307 (1969).
4951 J. J. CHANG, Proc. IEEE, 64, 1039 (1976); IEEE Trans. Electron. Dev., 24, 511 (1977).
4952 Y. N I S H I and H. IIZUKA, Jpn. J. Appl. Phys., 16, 191 (1977).
4953 A . M . GOODMAN, E. C. ROSS, and M. T. D U F F Y , RCA Rev., 3 1 , 342 (1970).
4954 J. T. KENDALL, Microelectron. Reliab., 13, 413 (1974).
4955 J. T. CLEMENS, E. F . LABUDA, and C. N B E R G L U N D , Bell Syst. Techn. J.,
54, 687 (1975).
4956 D. KAHNG, W. J. SUNDBURG, D. M. BOULIN, and J. R. LIGENZA, Bell Syst.
Techn. J. C, 53, 1723 (1974).
4957 H. YOSHINO, K. K I U C H I , and T. YASHIRO, Jpn. J. Appl. Phys., 16, 441, 867 (1977).
4958 D. FROHMAN-BENTCHKOWSKY, Appl. Phys. Lett., 18, 332 (1971); Solid-State
Electron., 17, 517 (1974).
4959 W, M. GOSNEY, IEEE Trans. Electron. Dev., 24, 594 (1977).
4960 C. A. N E U G E B A U E R , J. F . B U R G E S S , and L. STEIN, IEEE Trans. Electron. Dev.
24, 613 (1977).
4961 D . M . BROWN, W. E. E N G E L E R , M. G A R F I N K E L , and P . V. GRAY, Solid-
State Electron., 11, 1105 (1968).
4962 A . N . SAXENA and D. PRAMANIK, Solid State Technol. 27, (12), 93 (1984).
4967 J. Y.CHEN and L. B. R O T H , Solid State Technol., 27 (8), 145 (1984).
9643 S. P. MURARKA, / . Vac. Sei. Technol., 17, 775 (1980).
4965 Y. PAULEAU, Solid State Technol., 30 (2), 61 (1987).
4966 M. FUKUMOTO, A. SHINOHARA, S. OKADA, and K. KUGIMIYA, IEEE Trans.
Electron. Dev., 3 1 , 1432 (1984).
4967 Y. PAULEAU, Solid State Technol., 30 (4), 155 (1987).
^968 Y. PAULEAU, Solid State Technol., 30 (6), 101 (1987).
4969 C. H. S E Q U I N a n d M. F . TOMPSETT, "Charge Transfer Devices", Academic Press
New York, 1975.
4970 D. F . BARBE, ed., "Charge-Compled Devices", Springer, New York, 1980.
4971 R. M. BARSAN, "Charge-Transfer Devices and Integrated Circuits" (in Romanian),
Technical Publishing House, Bucharest, 1981.
4972 A. H . AGAJANIAN, Solid State Technol., 19, (5), 48 (1976).
4973 W. S. BOYLE and G. E. SMITH, Bell Syst. Techn. J., 49, 487 (1970).
4974 W. F . KOSONOCKY and J. E. CARNES, RCA Rev., 34, 164 (1973); 36, 566 (1976).
4975 J. E. CARNES and Wr. F . KOSONOCKY, Solid State Technol., 17, (4), 67 (1974).
4976 D. D. W^EN, C. K. KIM, and G. F . AMELIO, Solid State Technol., 19 (9), 83 (1976).
4977 C. H. S E Q U I N , F . J. MORRIS, T. A. S H A N K O F F , M. F . TOMPSETT, and E. J.
ZIMANY, IEEE Trans. Electron. Dev., 21, 712 (1947).
4978 G. J. DECLERCK, K. M. De M E Y E R , E. J. J A N S S E N S , E. E. LAES, a n d j . VAN
DER S P I E G E L , IEEE Trans. Electron. Dev., 23, 297 (1976).
4979 R. M. BARSAN, Solid-State Electron., 19, 1015 (1976); Proc. IEEE, 123, 505 (1976);
124, 103 (1977); Rev. Roum. Phys., 21,239, 815 (1976); 22, 57, 125 (1977); 24, 471 (1979);
Stud. Cere. Fiz., 29, 159 (1977); Rev. Phys. Appl., 13, 697 (1978); Electron. Lett., 13,
667
REFERENCES
475 (1977); 14, 176 (1978); 15, 389 (1979); Int. J. Electron, 44, 97, 177 (1978); 45, 1,
17 (1978); 46, 167 (1978); 48, 149 (1980); IEEE Trans. Electron. Dev.} 25, 1109 (1978);
26, 123 (1979); 27, 1809 (1980); 28, 523 (1981); Rom. Pats. 67,176; 67,177; and 67,
178 (1978); R. M. B \ R S A N and A. DELIBALTOV, Appl. Phys. Lett., 36, 329 (1980).
4980 M. MATSUMURA and H. HAYAMA, Proc. IEEE, 68, 1349 (1980).
4981 K. UCHIDA, S. K I S H I D A , and M. MATSUMURA, Electron. Lett., 20, 422 (1984).
4982 R. H . K I N G S T O N , B. E. B U R K E , K. B. NICHOLS, and F . J. L E O N B E R G E R ,
Appl. Phys. Lett., 41, 413 (1982).
4983 A. CAPELL, D. KNOBLOCK, L. MATHER, and L. LOPP, Electronics, 50 (11),
99 (1977).
4984 A. C. I P R I , J. C. SOKOLOSKI, and D. W. FLATLEY, ΙΕ,ΕΕ Trans. Electron. Dev.,
27, 1275 (1980).
4985 N. MATSUKAWA, H. NOZAWA, J. MATSUNAGA, and S. KOHYAMA, IEEE Trans.
Electron. Dev., 29, 561 (1982).
4986 D. KAHNG, T. A. S H A N K O F F , T. T. SHENG, and S. E. H A Z K O , / . Electrochem.
Soc, 127, 2468 (1980).
4987 K. Y. CHIU, J. L. MOLL, and J. MANOLIU, IEEE Trans. Electron. Dev., 29, 536
(1982).
4988 K. Y. CHIU, Hewlett-Packard J., 33 (8), 31 (1982).
4989 K. Y. CHIU, J. L. MOLL, K. M. CHAM, J. LIN, C. LAGE, S. ANGELOS, and
R. L. TILLMAN, IEEE Trans. Electron. Dev., 30, 1506 (1983).
4990 R . C . Y. FANG, K. Y. CHIU, and J. L. MOLL, / . Electrochem. Soc, 130, 190 (1983).
4991 C. W. TENG, G. POLLACK, and W. R. H U N T E R , IEEE Trans. Electron. Dev., 32,
124 (1985).
4992 Y. TAMAKI, T. K U R E , T. SHIBA, and H. H I G U C H I , Jpn. J. Appl. Phys., Suppl.,
2 1 - 1 , 37 (1982).
4993 S. CHIANG, Hewlett-Packard J., 33 (8), 33 (1982).
4994 D. CHIN, S. H. DHONG and G. J. LONG, / . Electrochem. Soc, 132, 1705 (1985).
4995 V. J. SILVESTRI, / . Electrochem. Soc, 133, 2374 (1968).
4996 H. P. VYAS, R. S. L. LUTZE, and J. S. T. HUANG, IEEE Trans. Electron. Dev.,
32, 926 (1985).
4997 S. SUYAMA, T. YACHI, and T. SERIKAWA, IEEE Trans. Electron. Dev., 33, 1672
(1986).
4998 O. SHIUCHI and J. SAKURAI, IEEE Trans. Electron. Dev., 23, 1190 (1976).
4999 N. ENDO, K. TANNO, A. ISHITANI, Y. K U R O G I , and H. TSUYA, IEEE Trans.
Electron. Dev., 31, 1283 (1984).
5000 A. C. I P R I , L. J A S T R Z E B S K I , J. F . CORBOY, and R. METZL, IEEE Trans.
Electron. Dev., 31, 1741 (1984).
5001 J. O. BORLAND and T. DEACON, Solid State Technol., 27 (8), 123 (1984).
5002 J. O. BORLAND and C. I. DROWLEY, Solid State Technol., 28 (8), 141 (1985).
5003 N. ENDO, N. KASAI, A. ISHITANI, H. K I T A J I M A , and Y. K U R O G I , IEEE Trans.
Electron. Dev., 33, 1659 (1986).
5004 S. NAGAO, K. H I G A S H I T A N I , Y. AKASAKA, and H. NAKATA, IEEE Trans.
Electron. Dev., 33, 1738 (1986).
5005 L. J A S T R Z E B S K I , RCA Rev., 44, 250 (1983); / . Cryst. Growth, 70, 253 (1984); L.
JASTRZEBSKI, A. C. I P R I , and J. F. CORBOY, IEEE Electron. Dev. Lett., 4, 32 (1983).
5006 H. W. LAM, ref. [2339], Ch. 5.
5007 G. W. CULLEN, ed., "Single Crystal Silicon on Non-Single-Crystal Insulators", / . Cryst.
Growth, 63 (3) (1983).
668
REFERENCES
5008 K. IZUMI, Y. OMURA, and T. SAKAI, / . Electron. Mater., 12, 845 (1983).
5009 K. DAS, G. P. S H O R T H O U S E , and J. B. BUTCHER, Electron. Lett., 19, 139 (1983).
5010 K. DAS, S. McCLELLAND, and J. B. BUTCHER, Electron. Lett., 20, 526, (1984).
5011 G. ZIMMER and H . VOGT, IEEE Trans. Electron. Dev., 30, 1515 (1983).
5012 W. SKORUPA, U. K R E I S S I G , and E. H E N S E L , Electron. Lett., 20, 426 (1984).
5013 B. Y. TSAUR, J. C. C. FAN, and M. W. GEIS, Appl. Phys. Lett, 41, 83 (1982).
5014 M. E. ROULET, P. SCHWOLL, I. GOLECKI, and M. NICOLET, Electron. Lett.t
15, 527 (1979).
5015 K. IMAI and H. UNNO, IEEE Trans. Electron. Dev., 31, 297 (1984).
5016 J. C. H U I , T.-Y. CHIU, S. S. WONG, and W. G. OLDHAM, IEEE Electron. Dev.
Lett., 2, 244 (1981); IEEE Trans. Electron. Dev., 29, 554 (1982).
5017 K. L. WANG, S. A. SALLER, W. R. H U N T E R , P. K. C H A T T E R J E E , and P.
YANG, IEEE Trans. Electron. Dev., 29, 541 (1982).
5018 E. W. MEHAL and R. W. W A L K E R , IEEE Trans. Electron. Dev., 15, 513 (1968).
5019 D. W. SHAW, / . Electron. Mater., 2, 255 (1973).
5020 H. A. WATSON, ''Microwave Semiconductor Devices and Their Circuit Applications",
McGraw-Hill, New York, 1969.
5021 L. YOUNG and H. SOBOL, eds., "Advances in Microwaves", Academic Press, New
York, 1974.
5022 M. J. H O W E S and D. V. MORGAN, eds., "Microwave Devices", Wiley, New York,
1976.
5023 H. SOBOL, ref. [5022], p . 1; H. SOBOL and M. CAULTON, ref. [5022], p . 12.
5024 H. SOBOL, Solid State Technol., 13 (2), 49 (1970).
5025 J. B. GUNN, Solid State Commun, 1, 88 (1963); IEEE Trans. Electron. Dev., 23, 705
(1976).
5026 D. DASCALU, "Electronic Processes in Unipolar Solid State Devices", Editura Academiei,
Bucharest, 1977.
5027 S. J. ANDERSON and G. Y. ROBINSON, IEEE Trans. Electron. Dev., 21, 377 (1974).
5028 Special Issues, Ada Electron., 15 ( 1 - 3 ) (1972).
5029 S. NAKANUMA, IEEE T%ans. Electron. Dev., 13, 578 (1956).
5030 A. ROSEN, G. A. SWARTZ, F . C. DUIGON, and A. M. GOMBAR, / . Electrochem.
Soc, 125, 680 (1978).
5031 G. A. SWARTZ. A. ROSEN, P. T. HO, and A. SCHWARZMANN, IEEE Trans. Elec-
tron. Dev., 25, 1297 (1978).
5032 W. T. READ, Bell Syst. Techn. J., 33, 799 (1954).
5033 R. L. JOHNSON, B . C . DeLOACH JR., and B . G . C O H E N , Bell Syst. Tech. J.,
44, 369 (1965).
5034 G. SALMER, J. P R I B E T I C H , A. F A R R A Y R E , and B. KRAVER, / . Appl. Phys.,
44, 314 (1973).
5035 G. A. SWARTZ, Y. S. CHIANG, C. P. W E N , and A. GONZALEZ, IEEE Trans.
Electron. Dev., 21, 165 (1974).
5036 J. V. DiLORENZO, Microwave J., 21 (2), 39 (1978).
5037 H. M. COX and J. V. DILORENZO, ref. [74], p . 11.
5038 C. L. GHOSH and R. L. LAYMAN, IEEE Electron. Dev. Lett., 5, 3 (1984).
5039 M. FENG., V. K. EU, T. Z I E L I N S K I , H. K A N B E R , and W. B. H E N D E R S O N ,
IEEE Electron. Dev. Lett., 5, 18 (1984).
5040 M. OGURA, K. INOUE, Y. BAN, T. UNO, M. MORTSAKI, and N . H A S E , Jpn. J.
Appl. Phys., 21, L548 (1982).
5041 M. W A L D N E R and I. D. R O U S E , Proc. IEEE, 57, 2066 (1969).
669
REFERENCES
670
REFERENCES
671
REFERENCES
672
REFERENCES
673
REFERENCES
674
REFERENCES
5203 O. P. A G N I H O T R I and B. K. GUPTA, "Solar Selective Surfaces", Wiley, New York, 1981.
5204 D . M . MATTOX and R. R. SOWELL, / . Phys. (Paris), Colloq. C l , 42, 19 (1981).
5205 B. O. S E R A P H I N , Thin Solid Films, 90, 395 (1982).
5206 D. D. ALLRED, M. J. JACOBSON, and E. E. CHAIN, Solar Energy Mater., 12,
87 (1985).
5207 E. E R B E N , R. B E R T I N G E R , A. MÜHLRATZER, B. T I H A N Y I , and B. CORNILS
Solar Energy Mater., 12, 239 (1985).
5208 G. E. CARVER, H. S. GUREV, and B. O. S E R A P H I N , / . Electrochem. Soc, 125,
1138 (1978); G. E. CARVER, and B. O. S E R A P H I N , Appl. Phys. Lett., 34, 279,
(1979); G. E. CARVER, Solar Energy Mater., 1, 357 (1979); Thin Solid Films, 63,
169 (1979).
5209 K. A. GESHEVA, E. E. CHAIN, and B. O. S E R A P H I N , Solar Energy Mater., 3,
415 (1980).
5210 E. E. CHAIN, G. E. CARVER, and B. O. S E R A P H I N , Thin Solid Films, 72, 59,
(1980).
5211 E. E. CHAIN, K. SESHAN, and B. O. S E R A P H I N , / . Appl. Phys., 52, 1356 (1981).
5212 K. A. GESHEVA, K. SESHAN and B. O. S E R A P H I N , Thin Solid Films, 79, 39
(1981).
5213 E. E. CHAIN, K. A. GESHEVA and B. O. S E R A P H I N , Thin Solid Films, 83, 387
(1981).
5214 D. C. BOOTH, D . D . ALLRED, and B. O. S E R A P H I N , Solar Energy Mater., 2,
107 (1980).
5215 N. OKUYAMA, K. SAJI, T. ADACHI, H. OKAMOTO, and Y. HAMAKAWA, Solar
Energy Mater., 3, 405 (1980).
5216 B. O. S E R A P H I N , Thin Solid Films, 39, 87 (L976); 57, 293 (1979).
5217 C M . LAMPERT, Solar Energy Mater. 6, 1 (1981).
5218 J. E. SUNDGREN and H. T. G. H E N T Z E L L , / . Vac. Sei. Technol., A4, 2259 (1986).
5219 R. F U N K , H . SCHANCHNER, C. T R I Q U E T , M. KORNMANN, and B. LUX, / .
Electrochem. Soc, 123, 285 (1976).
5220 V. K. SARIN and J. N. LINDSTROM, / . Electrochem. Soc, 126, 1281 (1979); R. C.
ROSSI and K. K. SCHUEGRAF, Semicond. Int., 4 (10), 99 (1981).
5221 P. J. S E V E R I N and H. LYDTIN, / . Electrochem. Soc, 124, 140 (1977).
5222 P. J. S E V E R I N , / . Cryst. Growth, 46, 630 (1979).
5223 J. H. K E N N E D Y , Thin Solid Films, 43, 41 (1977).
5224 N. HOLONYAK, J R . , and K. HESS,' in "Synthetic Modulated Structures" (L. L. Chang
and B. C. Giessen. eds.), p. 257, Academic Press, Orlando, FL, 1985.
5225 R. D. D U P U I S , L. A. MOUDY, and P. D. DAPKUS, ref. [75], p . 1.
5226 J. J. COLEMAN, P. D. DAPKUS, D. E. THOMPSON, and R. D. CLARKE, / . Cryst.
Growth, 55, 207 (1981).
5227 R. D. D U P U I S , P. D. DAPKUS, N. HOLONYAK, JR., E. A. R E Z E K , and R. CHIN,
Appl. Phys. Lett., 32, 295 (1978).
5228 N. HOLONYAK, JR., R. M. KOLBAS, R. D. D U P U I S , and P. D. DAPKUS, Appl.
Phys. Lett., 33, 73 (1978).
5229 N. HOLONYAK, JR., R. M. KOLBAS, W. D. LAIDIG, B. A. VOJAK, R. D. DU-
P U I S , and P . D . DAPKUS, Appl. Phys. Lett., 33, 737 (1978).
5230 N. HOLONYAK, JR., R. M. KOLBAS, E. A. R E Z E K , R. CHIN, R. D. D U P U I S ,
and P . D . D A P K U S , / . Appl. Phys., 49, 5392 (1978).
5231 R. D. D U P U I S , P. D. DAPKUS, R. M. KOLBAS, N. HOLONYAK, JR., and H. S H I -
CHI JO, Appl. Phys. Lett., 33, 596 (1978).
675
REFERENCES
676
REFERENCES
677
REFERENCES
678
REFERENCES
679
REFERENCES
680
REFERENCES
682
ACRONYM INDEX
683
AUTHOR INDEX
Author Index*
Numbers in Roman type show the pages 4939, 4940 465, 491, 666; 4972 465,
on which an author's work is referred to. 470, 667
If the author's name is not cited in the Agnihotri OP 4674 447, 449, 658; 5203
text, the reference number is also given 466, 473, 474, 507, 675
in italics. Aharoni H 3527, 3529, 3535, 3537 410, 625
Abe H 26; 1767, 1768 314, 324, 574 Akimoto K 4526 81, 306, 440, 655; 4750
Abe T 714 145, 154, 545; 2485, 2486 380, 85, 454, 455, 661
594; 4175 428, 645 Aktik M 1798 314, 319, 324, 575; 4461
Abeles B 5330 470, 507, 512, 679; 5334 85, 437, 653
470, 507, 512, 680 Aleksandrov L 9 19, 31, 525
Aboaf JA 1057 228, 555; 2161 338, 339, Allen CC 652 142, 143, 544; 1172 2 4 1 -
585; 4215 276, 429, 646; 4302 140, 432,
243, 558; 2514 380, 467, 595
648; 4642 447, 448, 658
Abrahams MS 25, 26; 1460 270, 279, 290, Allen HA 3162 397, 614; 3164 397, 615;
292, 566; 755 111, 176, 406, 546; 884 Allen K D 1778-1780 314, 324, 317, 574
197, 550; 3422 404, 406, 622; 3620, 3621 Allen SD 396 59, 8 7 - 8 9 , 440, 537; 443
412, 628 59, 87, 89, 442, 538; 645 138, 543
Adachi S 1475 270, 279, 292, 566; 1492 Allenson MB 3044 395, 468, 610; 5149
270, 279, 293, 567; 1524 270, 279, 295, 466, 468, 505, 673
568; 1527-1530 270, 279, 296, 568 Amano J 126, 127 34, 55, 529; 3430 404,
Adams AC 161; 304 58, 79, 80, 140, 534; 406, 622
327 58, 83, 84, 534; 725 146, 160, 161, Ambridge T 1201, 1202, 1204 241, 244,
546; 1774 314, 317, 324, 574; 2165 338, 245, 255, 559; 1503 270, 276, 279, 293,
339, 585; 2804 80, 391, 603; 2806 391, 567
603; 3653 414, 423, 629; 4081 84, 425, Amick J A 20, 2 3 - 2 5 ; 92 58, 423, 5 2 8 ;
426, 432, 642; 4146 427, 644; 4158 427,
100 20, 31, 55, 528; 1423 270, 277,
471, 644
279, 287, 565; 2307 345, 370, 589; 2666
Aebi V 2743 247, 384, 385, 467, 6 0 1 ; 3031
69, 384, 385, 599; 3598 411, 627
247, 249, 395, 468, 610
Andersen CA 948 213, 552; 982 216, 553
Agajanian AH 20; 41 19, 20, 526; 1319
Anderson DA 4006 84, 420, 421, 640; 5281
269, 562; 2047 336, 582; 2294 360, 589;
4034 20, 425, 641; 4921 465, 487,488, 666; 507, 512, 678
Anderson G W 2 6 ; 1024 221, 554
* Microcomputer-derived index prepared by Lucia C.
C. E.
E. Morosanu (CC—MT, Bucharest).
684
AUTHOR INDEX
Author Index*
Numbers in Roman type show the pages 4939, 4940 465, 491, 666; 4972 465,
on which an author's work is referred to. 470, 667
If the author's name is not cited in the Agnihotri OP 4674 447, 449, 658; 5203
text, the reference number is also given 466, 473, 474, 507, 675
in italics. Aharoni H 3527, 3529, 3535, 3537 410, 625
Abe H 26; 1767, 1768 314, 324, 574 Akimoto K 4526 81, 306, 440, 655; 4750
Abe T 714 145, 154, 545; 2485, 2486 380, 85, 454, 455, 661
594; 4175 428, 645 Aktik M 1798 314, 319, 324, 575; 4461
Abeles B 5330 470, 507, 512, 679; 5334 85, 437, 653
470, 507, 512, 680 Aleksandrov L 9 19, 31, 525
Aboaf JA 1057 228, 555; 2161 338, 339, Allen CC 652 142, 143, 544; 1172 2 4 1 -
585; 4215 276, 429, 646; 4302 140, 432,
243, 558; 2514 380, 467, 595
648; 4642 447, 448, 658
Abrahams MS 25, 26; 1460 270, 279, 290, Allen HA 3162 397, 614; 3164 397, 615;
292, 566; 755 111, 176, 406, 546; 884 Allen K D 1778-1780 314, 324, 317, 574
197, 550; 3422 404, 406, 622; 3620, 3621 Allen SD 396 59, 8 7 - 8 9 , 440, 537; 443
412, 628 59, 87, 89, 442, 538; 645 138, 543
Adachi S 1475 270, 279, 292, 566; 1492 Allenson MB 3044 395, 468, 610; 5149
270, 279, 293, 567; 1524 270, 279, 295, 466, 468, 505, 673
568; 1527-1530 270, 279, 296, 568 Amano J 126, 127 34, 55, 529; 3430 404,
Adams AC 161; 304 58, 79, 80, 140, 534; 406, 622
327 58, 83, 84, 534; 725 146, 160, 161, Ambridge T 1201, 1202, 1204 241, 244,
546; 1774 314, 317, 324, 574; 2165 338, 245, 255, 559; 1503 270, 276, 279, 293,
339, 585; 2804 80, 391, 603; 2806 391, 567
603; 3653 414, 423, 629; 4081 84, 425, Amick J A 20, 2 3 - 2 5 ; 92 58, 423, 5 2 8 ;
426, 432, 642; 4146 427, 644; 4158 427,
100 20, 31, 55, 528; 1423 270, 277,
471, 644
279, 287, 565; 2307 345, 370, 589; 2666
Aebi V 2743 247, 384, 385, 467, 6 0 1 ; 3031
69, 384, 385, 599; 3598 411, 627
247, 249, 395, 468, 610
Andersen CA 948 213, 552; 982 216, 553
Agajanian AH 20; 41 19, 20, 526; 1319
Anderson DA 4006 84, 420, 421, 640; 5281
269, 562; 2047 336, 582; 2294 360, 589;
4034 20, 425, 641; 4921 465, 487,488, 666; 507, 512, 678
Anderson G W 2 6 ; 1024 221, 554
* Microcomputer-derived index prepared by Lucia C.
C. E.
E. Morosanu (CC—MT, Bucharest).
684
AUTHOR INDEX
Anderson R L 2522 380, 595; 3541 68, 410, 135, 113, 543; 2259 345, 346, 348, 377,
625 588
Anderson RM 878 195, 550; 3677 414, 629; Banerjee SK 1132, 1133 231, 232, 415,
Anderson WA 5115 466, 502, 672; 5121 557
466, 502, 505, 672 Barbe D F 4096 425, 426, 471, 642; 4970
Ando H 5137 466, 505, 673; 5141 466, 468, 465, 470, 667; 5360 519, 680
505, 673 Barry ML 26, 32; 2011, 2012 336, 5 8 1 ; 4062
Andre J P 2728 384, 385, 467, 6 0 1 ; 2974 425, 641
395, 608; 3560 253, 411, 626; 3606 Barsan RM 4971 465, 470, 667; 4979 465,
411, 627 667
Andrews J E 2867 392, 605; 3649 253, 402, Bass S J 2721 247, 384, 385, 467, 6 0 1 ;
412, 469, 629 2928, 2929 249, 393, 607; 5285 507,
Antreasyan A 3089, 3090 396, 468, 612 512, 678
Aoki T 2 4 ; 2605 384, 385, 597; 2619 384, Bassous E 490; 1330 270, 271, 275, 563;
385, 598 1364 270, 279, 283, 472, 489, 490, 563;
Aoyagi Y 384 59, 87, 88, 139, 536; 418, 1365 270, 279, 283, 564
419 59, 87, 88, 537 Bauerle D 378 59, 87, 88, 139, 414, 536
Appels J A 27, 478, 485; 4832 465, 471, 472, Baum T H 432 ,433 59, 87, 88, 442, 538
477, 478, 485, 663; 4833 465, 411, 472, Bean K E 23, 27, 490, 509; 1329 270, 271,
477, 663; 4883 465, 467, 483, 665 275, 563; 2335 375, 590; 2402 272, 285,
Aranovich J A 189 46, 48 58, 5 3 1 ; 5104 377, 470, 471, 592; 2547 381, 596; 3693
466, 469, 502, 504, 672 414, 415, 630; 4286 122, 140, 424, 432,
Arizumi T 20, 2 5 ; 48 19, 20, 58, 68, 69, 648; 4824 465, 467, 470, 471, 474, 509,
176, 373, 527; 245 58, 65, 69, 532; 471 663; 4926 465, 467, 470, 471, 487, 488,
487 95, 100, 539; 1169 241, 242, 558; 490, 666
2897 253, 392, 393, 606 Bedair SM 514; 420 59, 87, 88, 537; 3139
Ashby C I H 1829, 1830 314, 324, 576; 1951 249, 396, 614*5321, 5324 469, 507, 512,
314, 331, 579 679; 5342 501, 514, 680
Ashida Y 3881, 3882 418, 419, 636 Beers AM 3876 139, 418, 419, 636; 3877-
Atalla MM 2 6 ; 2081 338, 583 3879 418, 419, 636
Azoulay R 2976 395, 608; 3041 395, 468, Beinvogl W 1775 314, 317, 324, 574; 1893
610 314, 325, 328, 577
Belanger D 4658 4 4 7 - 4 4 9 , 658; 4670 447, 658
Bachern K - H 2616 384, 385, 598; 2677 384, Bell EC 1088 231, 556; 4359 432, 472, 650
385, 599 Ben-Dor L 3245 399, 617; 4715, 4716 452,
Bachmann K J 3782 253, 416, 417, 470, 453, 660; 4818 456, 462, 475, 663; 5175
504, 632; 3786 416, 417, 470, 633; 5071 466, 474, 507, 674
466, 501, 671 Benninghoven A 955, 987 111, 553
Bachmann P 2290 359, 360, 589; 5056 466, Benzing WC 23, 351, 394; 2266 345, 3 4 6 -
502, 668 348, 351, 588; 2280 346, 588; 2369 376,
Baker AG 228 53, 59, 89, 90, 532; 4587 591;
90, 443, 656 Berkenblit M 2536, 2537 69, 381, 595
Baker W 2285, 2288 359, 361, 589 Bernard C 25; 453 91, 538; 465 95, 539
Baliga B J 146 51, 529; 890 198, 550; 1614 Berry R W 3 19, 31, 142, 146, 161, 525,
270, 277, 310, 314, 570; 2003 335, 471, Bersin R L 26; 1572 270, 301, 312, 569;
580; 2035, 2036 336, 581; 2339 375 1656 314, 316, 571
590; 2957 249, 393, 608; 3109 249, Bertoti J 3523 410, 625; 3625 412, 628
396, 613; 3110 396, 613; 4063 425, 6 4 1 ; Besmann TM 501 99, 100, 540; 4685 140,
4154 427, 644; 4895 465, 467, 486, 665; 450, 659
4909 465, 486, 666; 4646 140, 447, 448, Besomi P 3281 139, 253, 399, 618; 5109
658 399, 466, 469, 502, 672
Balk P 367 58, 86, 81, 536; 2590 383, 384, Besser P 26; 369 193, 550; 4790 456, 460,
597; 4150 301, 427, 471, 644; 4214 429, 662
472, 646; 4381 432, 472, 650 Bettini M 3314 400, 619; 3788 416, 417,
Balog M 2549 381, 382, 474, 596; 4246 470, 633; 5106, 5110 466, 469, 502, 672
301, 302, 430, 431, 647; 4257 430, 647; Beuchet G 3094 396, 612; 3197 80, 397,
4259 302, 431, 647 468, 616
Ban VS 23, 25, 113, 137, 377; 473, 474 B h a t I 3334 400, 620; 3344 139, 251, 400,
95, 100, 113, 539; 541-545 109, 113, 620
5 4 1 ; 546 69, 109, 113, 5 4 1 ; 547 109, Bhat R 1494 270, 272, 279, 293, 567; 1495
113, 137, 5 4 1 ; 548 109, 113, 5 4 1 ; 623 2 7 0 , 2 7 2 , 2 7 7 , 2 7 9 , 2 9 3 , 567; 1639 277,570
685
AUTHOR INDEX
Bhattacharya P K 2987 395, 608; 2989 395, 459, 6 6 1 ; 4797 456, 460, 461, 662; 4801
609 456, 460, 662
Bhola SR 2 3 ; 2359 247', 376, 467, 590 Breiland WG 558, 559 109, 114, 541
Bicknell R W 2 5 ; 758 171, 176, 546; 3391 Brewer P D 1941, 1952, 1954 314, 331, 579
185, 404, 405, 621 Broadbent E K 4518 81, 440, 654; 4534
Biefeld RM 2594 383, 384, 597; 2890 247, 81, 440, 655
392, 605; 5325 469, 507, 512, 679 Brodsky M H 2 4 ; 1012 220, 554; 3806
Bisaro R 969 215, 552; 3900 80, 418, 419, 418, 633; 3819 418, 634; 3831, 3832
636 84, 418, 634; 3919 4 1 8 - 4 2 0 , 637;
Blaauw C 1050 226, 555; 4323 84, 432, Brors DL 24; 4744 81, 454, 455, 660
649 Brown D K 2173 338, 339, 415, 585; 3731
Black J F 1219 241, 244, 560; 3612 412, 416, 470, 631
627 Brown DM 24, 2 6 ; 1604 270, 306, 314, 570;
Blakeslee AE 2631 384, 385, 598; 3771 1927 314, 329, 330, 578; 2019 336, 428,
416, 417, 632 471, 5 8 1 ; 4160, 4164 428, 644; 4351
Blanc J 2 6 ; 1038 226, 554; 2098 338, 583 432, 472, 649; 4410 424, 432, 434, 6 5 1 ;
Blewer R S 4528 81, 440, 473, 655; 4550 4548, 4549 441, 473, 655; 4668 447,
81, 440, 655 658; 4961 465, 473, 492, 667
Blocher, Jr., JM 186 46, 58, 5 3 1 ; 802 177, Brown R 1037 225, 554; 2292 345, 360,
548 589
Bloem J 20, 25, 164; 47 19, 20, 5%, 163, Brownson J 26, 242; 1170 2 4 1 - 2 4 3 , 5 5 8 ;
164, 170, 373, 527; 562 115, 173, 176, 3528 410, 625
5 4 1 ; 574, 585 120, 542; 596, 597 127, Bruce R H 1747 314, 324, 573; 1748 314,
542; 748 167, 546; 752 167, 168, 1 7 0 - 317, 324, 573; 1804 314, 319, 324, 575
172, 176, 546; 797 176, 548; 1595 270, Bruno G 3868-3870 84, 418, 419, 635
303, 312, 313, 569; 2341 375, 590; 2353 Bryant WA 20; 19 19, 20, 58, 163, 173,
376, 590; 2367, 2377 376, 5 9 1 ; 2412 345, 525; 594 127, 138, 139, 542; 1034
377, 592; 2480 380, 594; 3700 414, 415, 224, 554; 4497, 4449 440, 654; 4511
630; 3880 418, 419, 636; 140, 440, 654; 4618 445, 657
Bobeck AH 27, 267; 1302 267, 562; 5155 Bube R H 3320 69, 400, 619; 5108 399,
466, 507, 673; 466, 469, 502, 672
Bollen L J M 20; 581 120, 138, 377, 542 Buch F 273 58, 65, 68, 469, 505, 533; 274
2329 20, 375, 381, 590 58, 65, 68, 533
Bollinger D 1852 314, 325, 326, 576; 1912 Bunshah R F 7 19, 31, 519, 525
314, 325, 578; Burd J W 24, 394; 3046 394, 395, 610
Bollinger LD 1910 314, 325, 578; 1925 Burggraaf P S 718 145, 158, 545; 925 2 1 1 ,
314, 329, 578 221, 5 5 1 ; 1320 269, 562; 2049 336, 582;
Bondur JA 1650, 1658 314, 571 2274 345, 588; 2284 361, 588; 2336 375,
Bonnet M 2729 384, 385, 467, 601 590; 2594 383, 384, 597; 4530 81, 440,
Booker GR 2 5 ; 673 144, 149, 544; 789 176, 473, 475, 655; 4728 453, 474, 475, 660
547; 805 111, 548; 826 184, 281, 287, Burkhardt P J 1073 229, 555; 2163 338,
548; 887 198, 550; 1422 270, 276, 279, 339, 585
287, 565; 2460 379, 593 Burmeister, Jr., RA 3050 395, 611; 3614
Booth DC 4005 84, 420, 421, 640; 5214 412, 628
466, 470, 507, 675 Burnham R D 3022 395, 468, 610; 5242, 5249
Borland J O 5001, 5002 465, 467, 472, 493, 395, 466, 469, 507, 512, 676; 5266, 5270
668 395, 469, 507, 512, 677
Boss DW 2 3 ; 2497 380, 594 Burton R H 1792 314, 319, 324, 574; 1794,
Boucher A 2648 139, 384, 385, 598; 2678 1796 314, 319, 324, 575
384, 385, 599 Busta H H 1723 314, 320, 323, 573; 4523
Bougnot G 3283 399, 618 81, 440, 654; 4536 81, 440, 655
Boyd I W 174-177 39, 42, 57, 530
Boyer P K 387 59, 87, 88, 426, 536; 425 Callaghan MP 2540 381, 382, 467, 596; 3475
59, 87, 88, 426, 538 408, 624
Boyle WS 2 7 ; 4973 465, 470, 667 Campbell DS 2 0 ; 16 19, 20, 58, 423, 525;
102 31, 528; 1040 226, 554
Bradley R R 2 3 ; 2973 395, 608
Camras MD 5253, 5254 395, 469, 507, 512,
Bradshaw SE 2 3 ; 571 120, 542 676; 5326 507, 512, 679
Braginski AI 4751 4 5 6 - 4 5 8 , 6 6 1 ; 4757, Cantagrel M 1914, 1915 314, 329, 578
4762 456-459, 6 6 1 ; 4766 456, Carchano H 4456 85, 436, 653; 4463 85,
457, 459, 475, 6 6 1 ; 4770, 4775 456, 457, 437, 653
686
AUTHOR INDEX
Carey K W 3125 396, 613 Chinn J D 1933, 1937 314, 330, 579
Carlson D E 24, 26, 2 7 ; 989 218, 553; 3818 Chittick RC 2 2 ; 320 58, 83, 534; 3829 84,
418, 470, 474, 634; 3822 418, 470, 634; 418, 634; 3993 84, 420, 421, 639
3833, 3835 84, 418, 634; 3933 418, 420, Chiu K Y 495; 4987 465, 472. 493, 668;
470, 637; 4645 85, 447, 448, 658; 4696 4988 465, 472, 493, 495, 668; 4989 465,
451, 659; 5086 466, 470, 502, 6 7 1 ; 5087 472, 493, 668
466, 470, 502, 504, 6 7 1 ; 5088 466, 470, Chiu T Y 2052, 2053 336, 337, 582
502, 671 Cho AY 3 5 ; 128 34, 35, 56, 444, 529
Carnes J E 492; 860 191, 549; 4975 465, Choi S-Y 509; 5194 466, 472, 507, 509, 674
470, 492, 667 Chou N J 1256 257, 5 6 1 ; 4373 432, 472. 650
Catherine Y 4002, 4007, 4011 84, 420, 421, Chow T P 1810 314, 316, 320, 321, 324,
640; 4320 84, 432, 648; 3 2 6 - 3 2 8 , 575; 1811 314, 320, 324, 575;
Cauge T P 2 7 ; 4944 465, 491, 666 1812 314, 320, 321, 324, 575; 1861 314,
Cave E F 2 0 ; 2349 20, 247, 376, 590 325, 326, 557; 4731 320, 328, 453, 474,
Cech R E 2 4 ; 4816 456, 461, 462, 662 475, 660
Celler GK 1113, 1115 231, 232, 415, 557 Chu SNG 1054 228, 555; 1521 270, 279,
Chain E E 5210, 5211, 5213 466, 473, 507, 295, 567; 1526 270, 279, 296, 568; 2910
675 468, 393, 606; 2923 393, 606; 3096, 3099
Chane J P 2635, 2645 384, 385, 598; 2781 396, 612
384, 385, 387, 602 Chu SS 3765 253, 416, 470, 632; 3768 253,
Chang CA 618 134, 543; 3737 416, 470, 416, 417, 632; 3769 416, 417, 632; 5091
631 466, 467, 502, 6 7 1 ; 5092 466, 502, 6 7 1 ;
Chang CC 840 187, 549; 916, 928 211, 5 5 1 ; 5093 466, 468, 502, 671
956 214, 552; 966, 970 215, 552; 3405 Chu T L 20, 2 4 ; 20 19, 20, 58, 300, 423, 525 ;
404, 405, 622 21 19, 20, 58, 423, 526; 247 58, 65, 69,
Chang CY 2754 384, 385, 602; 2771 80, 70, 425, 532; 283 58, 65, 68, 69, 5 3 3 ;
384, 385, 387, 602; 2996 80, 395, 609; 1406 270, 277, 279, 565; 1412 270, 279,
3959 418, 420, 470, 635; 4020 84, 420, 286, 565; 1425 270, 277, 279, 287, 565;
421, 471, 640 1432 270, 279, 287, 565; 1437 270, 279,
Chang H L 1536 270, 279, *296, 568; 4267 288, 565; 2354 376, 590; 2362 376, 5 9 1 ;
85, 481, 647 2407, 2408, 2411 377, 592; 2451 319,
Chang J J 4378 432, 472, 650; 4951 465, 593; 2820 391, 603; 2830, 2832 391,
472, 492, 667 604; 2835 288, 391, 473, 604; 2863 289,
Chang L L 130 34, 56, 529; 3551 410, 626 392, 605; 2866 392, 605; 3306 399, 400,
Chang R P H 164 39, 42, 57, 530; 1752, 619; 3321 400, 417, 619; 3323 400, 619;
1753 314, 317, 319, 324, 573; 2247 338, 3738 416, 470, 6 3 1 ; 3758, 3762 416, 470,
341, 587 632; 3792 416, 417, 633; 3883-3885
Chapman B 1665 314, 571 418, 419, 636; 4056 425, 6 4 1 ; 5057 70,
Chappelow R E 1393 270, 279, 285, 564; 425, 6 4 1 ; 4272 140, 424, 432, 647; 4285
2037 336, 415, 470, 581 432, 648; 4312 70, 432, 648; 4409 424,
Charig JM 754 171, 546; 792 976, 547; 432, 434, 6 5 1 ; 5082 466, 470, 502, 504,
2379 138, 376, 5 9 1 ; 2443 371, 593 6 7 1 ; 5083, 5084 466, 470, 502, 671
Chase BD 1455 270, 276, 279, 290, 566; Chu W K 1004, 1005 219, 553; 1011 220,
1456 270, 279, 290, 566 554
Chen J Y 222 51, 58, 81, 426, 432, 434, 532; Claassen W A P 2 5 ; 336 58, 83, 84, 432, 535;
4732 453, 4 7 3 - 4 7 5 , 660; 4963 465, 474, 598, 599 127, 542; 749-751 167, 168
475, 492, 667 1 7 0 - 1 7 2 , 176, 546; 1066, 1067 228, 555;
Chen M 1408 270, 279, 286, 565; 2132 338, 3658, 3667 414, 629; 4418 84, 432, 434,
584 651;
Cherng M J 3140 249, 396, 614; 3141 396, Clarke RC 2901 247, 393, 606; 2903, 2904
614; 3223 398, 616 393, 606
Chernow F 3299 399, 619; 3630 412, 628 Clawson AR 1535 270, 279, 296, 568; 2950
Chevrier J 1533 270, 272, 279, 296, 568; 80, 393, 607
2902 393, 606; 3284, 3286 70, 399, 618 Clough R B 2895 253, 392, 605; 3135 349,
Chiang P K 2959 249, 393, 608; 2960 249, 396, 613
393, 608 Cobianu C 4068 138, 425, 6 4 1 ; 4072 425,
Chiang S 4 9 5 ; 4993 465, 470, 471, 493 642
495, 668; 2374 376, 5 9 1 ; 2415 139, Coburn J W 898 201, 550; 996-998 218,
377, 378, 592; 3411 404, 405, 622 553; 1026, 1027 222,· 554; 1646, 1652,
Chin R 3035 395, 368, 610; 5241 395, 466, 1664 314, 5 7 1 ; 1672-1676 314, 315,
469, 507, 512, 676 571
687
AUTHOR INDEX
Cochet G 25, 112; 538 109, 112, 113, 541 Degenkolb EO 1702 314, 323, 572; 1879
Colclaser RA 1275 263, 5 6 1 ; 4827 465, 663 314, 325, 326, 577; 1883 314, 325, 327,
Coleman J J 5 0 1 ; 3002 395, 468, 501, 609; 577
5226 466, 507, 512, 675; 5277 468, 507, DellOca CJ 32; 109 33, 55, 529; 7 i 7 145,
512, 677; 5297 185, 467, 468, 507, 512, 154, 545; 2236 32, 338, 340, 587; 2237
678; 5300 468, 507, 512, 678 338, 340, 587
Collins J H 3512 408, 625; 5159 466, 507, DeLong D J 2370 138, 247, 376, 5 9 1 ; 4304
673 432, 648
Collins R W 778-780, 782 175, 547; 2251 Demizu K 489; 4925 465, 467, 4 8 7 - 4 8 9 ,
338, 341, 588 666
Comizzoli R B 25, 191, 192; 861-862 191, Denisse CMM 4422, 4423 84, 432, 434, 652
549; 1265 260, 5 6 1 ; 4148 427, 471, 644 Denning R 4873 465, 472, 483, 664; 4882
Cooper, I I I , CB 2899 249, 392, 393, 606; 465, 467, 483, 665
3113 396, 468, 613; 3174 397, 615 Deutsch T F 395 59, 81, 89, 440, 537; 398
Corboy J F 2333 375, 590 59, 8 7 - 8 9 , 443, 537; 400 59, 87, 89,
Corl EA 153; 686 144, 145, 149, 152, 153, 444, 537; 2069, 2070, 2074 333, 337,
545; 702 145, 154, 545 582
Cowher ME 3687 253, 414, 415, 360; 4803 Di Forte-Poisson MA 2946 80, 393, 467,
456, 460, 461, 662 607; 2948 80, 393, 607; 2994 80, 395,
Craford MG 24, 26, 27, 237, 500; 1148 609; 3176 397, 615
231, 461, 468, 558; 2885 392, 605; 3062 Dieleman J 1654, 1662 314, 571
237, 395, 468, 6 1 1 ; 3622 412, 628; 5042, Dietze W T 3117 249, 396, 613; 3119 396,
5043 466, 499, 670; 5045 4 6 6 - 4 6 8 , 499, 398, 468, 505, 613
500, 670 DiLorenzo J V 2 6 ; 2601, 2602 247, 384,
Crisman E E 2180, 2202 338, 339, 586 385, 597; 2636, 2637, 2690 2691 384,
Cronin GR 2953, 2954 393, 607 385, 600; 5036 465, 467, 498, 669
Croset M 335; 977 216, 553; 2213 338, 340, DiMaria DJ 1266 255, 260, 561; 4118-4121,
586; 2224, 2234 338, 340, 587 4125 427, 471, 643
Cullen GW 20, 24, 349; 44 19, 30, 58, 373, Dischler B 3967 84, 420, 638; 3972 84,
526; 759 171, 176, 546; 2331 315, 590; 420, 639
3382 176, 339, 349, 368, 404, 406, 6 2 1 ; Dismukes J P 1404 270, 277, 279, 286, 377,
3404, 3412 404, 405, 622; 3414 404, 565; 3377 401, 402, 6 2 1 ; 3495 251, 408,
622; 3415 251, 404, 622; 3421 404-406, 624; 4201 429, 645
622; 3424, 2426 404, 406, 622; 3443 Donahue T J 377; 2394, 2396 84, 376, 591
404, 406, 623; 4753 456-458, 661; 5007 Donnelly VM 385 59, 8 7 - 8 9 , 393, 536;
465, 493, 668 422 59, 87, 88, 537; 1746 314, 319, 324,
Curran J E 114 38, 56, 529; 1655 314, 571 573; 1763 314, 324, 574; 1793, 1795
Curtis B J 1706, 1714 314, 323, 572; 3376 314, 324 575
401, 402, 417, 6 2 1 ; 3642 412, 628; 4725 Doo VY 20,' 2 4 ; 875 195, 550; 2000 335,
452, 453, 660 472, 580; 2254 20, 3 4 5 - 3 4 9 , 376, 588;
4203 301, 429, 645; 4284 140, 432, 648;
D'Agostino R 1737 314, 317, 314, 573; 1154 4287 432, 648
314 324 573 Drevillon B 755, 777 175, 547
D'Aiello RV 5079 466, 467, 502, 504, 671 Drum CM 888 198, 550; 1043, 1044 226,
D a l t o n J V 4362 432, 472, 650 555' 2403 377 592
Dapkus P D 2 2 - 2 4 , 26, 27, 5 0 1 ; 2593 383, Druminski M 25; 847 190, 549; 1401 116,
384, 597; 2731 384, 385, 601 270, 277, 279, 286, 378, 564; 3393 404,
D a s h WC 282; 669 144, 148, 544; 1356 622; 3401 4 0 4 - 4 0 6 , 622; 3423 404, 406,
270, 279, 281, 563 622
D a y HM 1583 270, 302, 312, 569; 1612 Drummond F E 4569 442, 444, 656; 4578,
270, 308, 314, 570 4588 443, 656; 4611 444, 657
De Graaff HC 3712 416, 470, 630; 3721 Ducarroir M 466 95, 539; 504 99, 100, 540;
416, 470, 631 505 99, 100, 451, 540; 507 99, 540
Deal BE 991 218, 553; 1248, 1249 256, Duchemin J P 300 58, 79, 80, 137, 534;
560; 1250, 1252 256, 561; 2082, 2090, 305 58, 79, 80, 247, 534; 306, 309 58,
2091, 2093 338, 583; 2346 376, 381, 590; 79, 80, 534; 307 58, 79, 80, 249, 534;
4276 432, 647; 4822 27, 465, 471, 472, 663 516 101, 109, 540; 619 134, 543; 2481
Deckert CA 26, 270; 1584 270, 302, 312, 380, 594; 2766 80, 384, 385, 387, 602;
569; 5172 466, 507, 674 2943 80, 249, 393, 607; 3132 80, 249,
Declerck G J 2133 337, 584; 4978 465, 470, 396, 468, 613
472, 667 Dudley R H 659 143, 147, 544; 2457379, 593
688
AUTHOR INDEX
Duffy M T 24, 27; 1873 314, 325, 577; Escobosa A 2732 384, 385, 6 0 1 ; 2737 384,
3444, 3445 404, 406, 623; 3478 251, 392, 385, 469, 601
408, 622; 3669 414, 629; 4216 276, 424, Etienne D 248 58, 65, 69, 532; 3265 10,
429, 430, 472, 646; 4226 429 430, 646; 399, 618; 3255 399, 618; 3365 401, 621
4260 302, 431, 647; 4269 431, 647; 4405 Evans, Jr., CA 216, 217; 975 216, 217, 553
432, 434, 472, 651; 5165 399, 408, 466 Eversteyn FC 25, 156; 537 109, 112, 113
467, 507, 673 173, 176, 5 4 1 ; 575, 576 120, 542; 614
Dumin D J 2 4 - 2 6 ; 519 108, 145, 157, 540 122, 134, 543; 655 142, 143, 156, 162,
2007 335, 5 8 1 ; 3405 404, 622; 3425 544; 3655 414, 629; 4176 428, 430, 645
251, 404, 406, 407, 622; 3429 339, 404,
406, 622; 3439 190, 404, 406, 623; 3440 Faggin F 2 4 ; 3713 416, 470, 630
3451, 3455, 3456 404, 406, 623; 3471 Fahrenbruch AL 3315 400, 619; 5074 466,
3472 407, 624; 3536 410, 625 501, 671
Dupuis R D 2 2 - 2 4 , 26, 27, 501, 513; 2592 Fair R B 2025 336, 5 8 1 ; 2057, 2055 338,
. 383, 384, 597; 2965 501, 395, 608; 2997 583; 4173 428, 644
2998 395, 468, 609; 2999, 3000 395 Faktor MM 1203 241, 244, 245, 255, 559;
468, 501, 609; 3001, 3006, 3007 395, 1634 277, 570
495, 468, 609; 5101 466, 468, 502, 504, F a n JCC 1120 '231, 232, 415, 557; 1616
6 7 1 ; 5225 466, 507, 512, 675; 5227 466, 270, 310, 314, 570
395, 469, 507, 512, 513, 675'; 5231 395, Fang Y K 4346 84, 432, 649; 4747 81, 454,
466, 469, 507, 512, 675; 5236-5238 455, 660
395, 466, 469, 507, 512, 676 Farrow RFC 129 34, 56, 529; 612, 615
D u r a n d JM 2622 384, 385, 598; 2656 384, 134, 543; 762 174, 176, 547
385, 599 Faust, Jr., J W 1352 270, 276, 279, 284,
Dyer L D 2410 311, 592; 2471 379, 594 564; 1430 270, 279, 287, 565
Dyment JC 1469 270, 275, 279, 291, 566 Federer J I 4621, 4622 445, 657
Feist WM 2 0 ; 14 19, 20, 58, 60, 69, 345,
Eckertova L 5 19, 31, 142, 525 381, 382, 407, 423, 438, 447, 452, 456,
Eifer D 2595 384, 385, 597 474, 525
E h m a n MF 1413 270, 271, 279, 286, 565; Feldman B J 191 46, 48, 58, 5 3 1 ; 197 46,
1591 270, 302, 303, 312, 313, 569 58, 417, 5 3 1 ; 3340 400, 620
Ehrlich D J 232, 234 52, 59, 532; 377 59, Feldstein N 104, 105 32, 55, 529
87, 414, 536; 392 59, 8 7 - 8 9 , 420, 439, Feng T 200 46, 48, 58,*531; 3790 416, 417,
443, 444, 537; 397 59, 8 7 - 8 9 , 440, 474, 505, 633
537; 1943-1945 314, 331, 579; 2072 Ferrante GA 1531 270, 279, 296, 5 6 8 ;
333, 337, 582 1559 270, 279, 300, 568
Einspruch NG 5352-5357 519, 680 Filby J D 3379, 3389 404, 6 2 1 ; 3400, 3407
El-Hoshy AH 4546 441, 655; 4547 441, 404, 405, 622
473, 655 Finne RM 2 6 ; 1346 270, 271, 275, 279,
Eldridge JM 1259 258, 5 6 1 ; 4144 301, 427, 281, 283, 563
644 Fischer AW 485; 2020 336, 427, 428, 4 7 1 ,
Elliott CR 2 6 ; 1635, 1636 277, 570 485, 5 8 1 ; 2021 336, 471, 5 8 1 ; 4163 428
Ellis, Jr., F B 3557, 3555 418, 419, 636 644
Emerson WA 2 3 ; 2261 3 4 5 - 3 4 9 , 588 Fischer H 4275 432, 647; 4309 140, 432
Endo N 495; 1557 314, 3 2 5 - 3 2 7 , 576; 648
4999 465, 467, 472, 493, 495, 668; 5003 Fitzer E 4591 443, 656; 4697 451, 659
465, 467, 472, 493, 668 Flamm DL 1663 3 1 4 - 3 1 9 , 5 7 1 ; 1679 314,
Enstrom R E 2 7 ; 2702, 2710, 2715 384, 315, 5 7 1 ; 1651 314, 315, 319, 5 7 1 ; 1652;
385, 467, 600; 2717 384, 385, 600; 3075 314, 315, 5 7 1 ; 1653 314, 315, 317, 572,
396, 612; 4754 70, 4 5 6 - 4 5 8 , 475, 6 6 1 ; 1684 314, 315, 319, 572; 1655 314, 315,
4755 4 5 6 - 4 5 8 , 661 572; 1731 314, 316, 324, 5 7 3 ; 1744 314
E p h r a t h LM 1643 314, 570; 1550 314, 325, 317, 324, 573
326, 576; 1868, 1872 314, 325, 326, 577 Flicker H 257 58, 65, 68, 533; 3576 411,
Epler J E 5255 395, 469, 507, 512, 676;
5257-5259 395, 469, 507, 512, 677; 626
5307 469, 507, 512, 679 Fonash S J 1648 314, 315, 5 7 1 ; 5072, 5073
Eriksson G 2 5 ; 462-464 95, 539 466, 501, 671
E r m a n M 773, 774 175, 547 Foster D W 2271, 2272 3 4 5 - 3 4 9 , 588
E r n s t E O 20, 2 3 ; 2257 3 4 5 - 3 4 9 , 588 Fraas LM 295-298 58, 81, 534
Erskine J O 509; 5155 466, 470, 507, 509, Francombe M H 20; 51 19, 20, 58, 373,
674 391, 527; 2315 375, 589
689
AUTHOR INDEX
Franz I 701 145, 154, 545; 920 210, 5 5 1 ; Ghezzo M 1998 335, 471, 580; 2022 336
2002 335, 472, 580; 2194 338, 339, 586 471, 581; 2026 336, 581; 4132 427, 6 4 3 ;
Frieser R G 2 2 ; 340 58, 86, 87, 138, 376, 4174 428, 644
378, 535; 1858 314, 325, 326, 576 Ghosh CL 2785 384, 385, 387, 6 0 2 ; 5038
Fripp AL 3679 414, 629; 3688 253, 414, 465, 467, 498, 669
415, 630; 3695 414, 415, 630 Ghoshtagore RN 1999 335, 471, 580; 2013
Fritz L L 2 6 ; 1837 314, 324, 576 336, 5 8 1 ; 4244 140, 430, 646; 4245 430,
Fritzsche H 2 4 ; 3826 418, 420, 634; 3917, 646; 4650 138, 140, 447, 448, 658
3926 4 1 8 - 4 2 0 , 637; 4027 420, 421, Gibart P 4<5i3 70, 456, 460, 461, 662; 4814
640 456, 460, 662
Frohman-Bentchkowsky D 2 6 ; 4950 465, Gibbon CF 2033 336, 5 8 1 ; 4195 429', 645
472, 492, 667; 4958 465, 470, 492, 667 Gibbons J F 137 36, 56, 529; 173 39, 42,
Frosch CJ 241 58, 65, 68, 392, 532; 249 57, 530; 1106 231, 232, 415, 556; 2375
58, 65, 70, 532; 3570 411, 626 376, 591; 2790 384, 385, 387, 603
Fujita S 881 195, 550; 3276 399, 618; Giling L J 20, 164; 629 109, 135, 136, 543
3291 80, 399, 618; 3368 401, 6 2 1 ; 4339, Gittler F L 2 3 ; 1971 333, 580; 2416 377,
4340 84, 432, 649; 5329 469, 507, 512, > 378, 592; 4193 429, 645
679 Glang R 20; 110 33, 55, 529; J041 226,
Fukui T 2911 247, 393, 606; 3170 397, 554; 1307 269, 3 0 2 - 3 0 5 , 308, 309, 562
615; 3222 398, 616; 5327 469, 507, 512, Goetzberger A 1241 255, 560; 1970 333,
679 580
Fuller CS 661 143, 147, 544; 1357 270, Goff R F 974, 975 216, 553
279, 281, 563; 1443 270, 275, 279, 2 8 9 - Goldsmith N 2 2 ; 700 145, 154, 545; 1965
291, 2 9 4 - 2 9 6 , 566 333, 580; 2373 376, 5 9 1 ; 2665 69, 384,
Furukawa Y 2640 284, 385, 598; 3572 411, 385, 599; 4058 425, 641
626 Goodman AM 26, 485; 2157 338, 339, 585;
Gaind AK 24; 4052, 4053 139, 425, 6 4 1 ; 4953 465, 472, 485, 492, 667
4414, 4415 432, 4 3 4 / 6 5 1 Gottlieb GE 256 58, 65, 68, 69, 139, 533;
Gaiseanu F 1969, 1976 333, 580 3427 4 0 4 - 4 0 6 , 622
Gale R P 2784 384, 385, 387, 602; 3578 Gralenski N 2 3 ; 4662 347, 447, 448, 658
411, 504, 627; 5094 466, 468, 502, 671 Green ML 4493 81, 439, 654; 4532 81, 440,
Galli G 3230 69, 251, 399, 617 655; 4601 444, 656
Gannon J J 1487 270, 275, 279, 293, 567 Green JE 115 38, 56, 529; 999, 1000, 1002
Gardner E E 243; 1159 240, 558; 1165 241, 218, 553
558; 1171, 1175 241, 243, 558; 1212 Gregor LV 24, 72; 88 58, 423, 528; 1237
241 244, 245, 559 255, 560; 1402 270, 272, 277, 279, 286,
Gatos HC 1304'269, 562; 1314 269, 290, 565; 4268 431, 647; 4442 72, 87, 90,
291, 297, 299, 300, 308, 562; 1439 270, 436, 652; 4443 436, 652
279, 288, 294, 565 Gregory P E 3032 395, 468, 505, 610; 5154
Gavaler J R 4759, 4769 456, 457, 459, 661 466, 468, 469, 505, 673
Gavrilovic P 5260, 5262 395, 469, 507, 512, Grieco MJ 4274 140, 432, 647
677; 5309 469, 507, 512, 679 Griletto C 2 6 ; 924 211, 551
Gdula RA 1267 260, 561; 1273 262, 5 6 1 ; Gronet CM 2376 376, 591; 2791 384, 385, 387,
4131 427, 643 603
Gebhardt J J 2807 391, 603; 4316 80, 432, Grove AS 149 39, 40, 57, 530; 1244 255,
472, 648 560; 2487 380, 594; 4861 465, 482, 664
Geis MW 1118, 1119, 1122 231, 232, 415, Groves WO 26; 3049 395, 611
557 Grunbaum E 20; 83 19, 20, 528
Gertner E R 3137 396, 614; 3357 400, 469 Gupta DC 2 0 ; 1176 241, 243, 558; 1185
620 241, 244, 559; 1220 241, 244, 560;
Gesheva KA 5209, 5212 466, 473, 507, 675 2324 20, 375, 590; 2326 20, 375, 381,
Ghandhi SK 338 58, 83, 85, 448, 535; 590; 2492, 2493 380, 594
1306 269, 562; 2029 336, 581; 2763 Gutierrez WA 3500 408, 624; 5150 466,
384, 385, 467, 602; 3248 399, 617; 3330 468, 505, 673
400, 620; 3332 249, 400, 620; 3354 400, Gyulai J 2 6 ; 1007 220, 554
620; 3361 400, 6 2 1 ; 3773 253, 416, 417,
470, 504, 632; 3776, 3778 416, 417, 632; Haacke G 187 46, 48, 58, 447, 449, 5 3 1 ;
3797 416, 417, 470, 633; 4826 465, 663; 4637 447, 657
5359 519, 680 Habraken F H P M 154 39, 40, 57, 530; 4417
Ghate P B 1059 228, 555; 4485 438, 653 80, 432, 434, 651
690
AUTHOR INDEX
Hafner W 4543 441, 655; 4565 442, 656, Herring R B 302 58, 79, 80, 534; 2295 367,
Hagen W 813 182, 548; 818, 819 183, 548 589
Haigh J 534 1 0 9 - 1 1 1 , 5 4 1 ; 644 138, 543 Herrmann HA 1301 264, 562
Hall L 1578 270, 301, 312, 56^; 4221 429, Hersee S 2982 395, 608; 2993 80, 395, 609;
430/646 5247 395, 466, 469, 507, 512, 676
Hall L H 613 122, 134, 543; 2529 139, 381, Hess D W 217 49, 58, 84, 85, 440, 441,
595; 3656 414, 629 455, 5 3 1 ; 1801, 1803 314, 324, 575;
Hallais J P 2 4 ; 2588- 383, 384, 597; 2774 2092 338, 583
384, 385, 387, 467, 602; 2977 395, 608; Hess K L 2735 247, 384, 385, 6 0 1 ; 2917
3163 249, 397, 614 393, 606
Hallas CE 2 5 ; 2441 379, 593 Heyen M 1499 270, 272, 277, 279, 293,
Haller I 1600 270, 303, 314, 569; 4450 567; 2681 384, 385, 599
436, 652 Heynes MSR 1978, 1982 333, 580
Ham W E 1160 240, 558; 3431 404, 406, Hezel R 960 215, 552; 1064 228, 555; 2051
622; 3447 404, 406, 623 336, 337, 582; 5120 466, 502, 505, 672
Hamakawa Y 3807 418, 633; 3935 418, Higashi GS 429, 430 59, 87, 88, 439, 538
420, 470, 637; 3936 418, 420, 470, 504, Hilibrand J 26; 1183 241, 244, 559
637; 3937 418, 420, 470, 638; 3939 418 Hino I 3207 249, 398, 616; 320S 80, 398,
420, 470, 504, 638; 5089 466, 470, 502 616; 3209 80, 398, 468, 616; 3211 SO,
671 249, 398, 616; 3213 80, 398, 616
Hammer JM 3231 69, 399, 468, 617; 3259 Hirata K 1869, 1891 314, 325, 327, 577
399, 468, 617; 3260, 3261 399, 468, 618 Hirayama M 2245, 2246 338, 341, 587;
Hammond ML 20, 2 3 ; 566 120, 542; 2255 2794 139, 288, 391, 603; 2810 391, 472,
20, 3 4 5 - 3 4 8 , 588; 2283 358, 361, 588; 603; 2831 391, 604
2330 375, 590; 4059 425, 641 Hirobe K 1707 314, 323, 572; 1862 314,
Hanabusa M 381, 382 59, 87, 88, 419, 536; 325, 326, 577
410 59, 87, 88, 537 Hirose M 1953 314, 331, 579; 3875 418,
Hanak J J 2 4 ; 4752 4 5 5 - 4 5 8 , 661; 4808 419, 636; 5331 470, 507, 512, 679
456, 460, 662 Hirota Y 427-59, 8 7 - S 9 , 538; 4437 435,
Haneta Y 2459 379, 593; 4049, 4054 425, 652
. 6 4 1 ; 4055 139, 425, 641 Hirth J P 732 163, 167, 170, 546; 734 163
Harper JME 120 34, 55, 529; 1926 314, 167, 546; 737 167, 546
329 330 578 Hirtz J P 2992 80, 249, 395, 609; 3130,
Harris ' j M 1431, 1434 270, 279, 287, 565; 3131 80, 396, 613; 3193, 3194 80, 397,
2538 247, 381, 595 468, 615
Harshbarger W R 1704, 1705 314, 323, 572 Hiscocks S E R 20; 3370 20, 401, 621
H a r t m a n n H 20; 3225 20, 70, 398, 617 Hitchman ML 2 5 ; 512, 513 101, 127, 540;
Hasegawa F 2689, 2692 384, 385, 600 514 101, 540; 565 120, 542' 630 137,
Hasegawa H 2220, 2223 338, 340, 587 543; 1728 314, 323, 573; 3756 416, 632
Hasegawa S 4336, 4338 84, 432, 649; 4347 Ho CP 2094, 2095 338, 583
432, 649 . Ho VO 165 39, 42, 57, 530; 2241 338, 340,
Hashimoto A 3611 412, 627; 4419 84, 432, 341, 587
434, 472, 652 Hobson WS 5123, 5124 466, 468, 505, 672
Hashimoto N 4191 221, 429, 645; 4508, Hoeneisen B 4916 465, 487, 666; 4937 465,
4509 440, 654 491, 666
Haskell R W 20; 17 19, 20, 58, 525 Hoerni J A 2 1 ; 4829 465, 475, 663
Hawkins DT 2 0 ; 42 19, 20, 526; 1907 314, Hofstein SR 3465 404, 407, 467, 623; 4850
325, 578 465, 664
Heath DR 239; 1157 238, 239, 558 Hoke W E 3333, 3336, 3351 400, 620; 3353
Heiman F P 26; 3457 404, 406, 623; 3463 251, 400, 620
404, 407, 467, 623; 4858 406, 465, 467, Hollahan J R 208 49, 58, 5 3 1 ; 211 49, 58,
480, 664 347, 531
Heimann PA 1715 314, 323, 572; 1725 314, Hollan L 2 0 ; 54 19, 20, 58, 373, 407, 467,
323 573 527; 1514 270, 279, 293, 567; 2587 20,
Heinecke R A H 26; 1755 314, 318, 319, 324, 383, 384, 597; 2613 247, 384, 385, 598;
573; 1799 314, 324, 575 2624, 2625 384, 385, 598; 2657, 2660
Helix MJ 4332 84, 347, 432, 649; 4396 384, 385, 599; 2694 384, 385, 600
432, 472, 651 Holland L 1660 314, 5 7 1 ; 3970 84, 420,
Henderson RC 795, 796 176, 547; 2308 639
372, 589 . Holloway P H 2 6 ; 901 201, 5 5 1 ; 963 215,
Herrick CS 493 99, 100, 539; 564 115, 541 552; 1621 270, 310, 311, 314, 570
691
AUTHOR INDEX
Holmes P J 1305 269, 275, 281, 286, 562; Iida S 1496 270, 272, 277, 279, 293, 567;
1341 270, 279, 281, 563; 1571 270, 301, 1510 270, 275, 279, 293, 567; 2531 381,
312, 569 595; 2782 384, 385, 387, 602
Holmes-Siedle AG 1270 262, 5 6 1 ; 1299 Ikeda M 3215 398, 616; 3216-3218 398,
264, 562 468, 616
Holonyak, Jr., N 20; 2577 20, 69, 383, Ilegems M 3482, 3488 408, 624
384, 597; 4823 383, 465, 467, 469, 663; lies P A 5075, 5076 466, 501, 671
5224 466, 507, 675; 5228-5230 395, Imai K 4933 465 472, 489, 666; 5015 465,
466, 469, 507, 512, 675; 5232, 5233 395, 493, 494, 669
466, 469, 507, 512, 676; 5299 468, 507, Inada T 1519 270, 279, 567; 4395 432,
512, 678 472, 651
Holzl RA 13 19, 20, 58, 525 Ing, Jr., SW 876 195, 550; 2667 69, 384,
Homer H J 4604, 4608 444, 657 385, 599; 3565 411, 626
Hong CS 3017 395, 468, 609; 3018 395, Inoue M 1426 270, 279, 287, 565; 1553
468, 610; 5256 395, 469, 507, 512, 676 270, 279, 299, 568; 2467 379, 593; 2527
Honig R E 213; 897 201, 202, 213, 550; 381, 595; 3623 412, 628
976 216, 553 Inoue S 24; 4740 85, 454, 455, 660; 4741
Horiike Y 1697 314, 323, 572; 1928 314, 454, 455, 660
324, 330, 578 Inoue T 344 58, 86, 87, 419, 535; 347 58,
Horiuchi S 592 123, 180, 414, 542; 2059, 86, 81, 382, 419, 421, 535
2060 336, 337, 582 Inuishi M 3608 139, 253, 411, 468, 627;
Hoshino M 2905 393, 606; 3150 396, 614; 3785 139, 416, 417, 633
5345 469, 507, 515, 680 Iosif D 761 112, 546; 2041 336, 415, 470,
Hottier F 633 137, 543; 767-769, 776 581; 3748 416, 470, 631
175, 547 Ipri A C 2366 376, 591; 3416 404, 406, 622;
Houle F A 1946 314, 331, 579; 4572 88, 3448 404, 406, 623; 3466, 3467 404, 407,
442, 656 476, 623; 4984 565, 467, 493, 668; 5000
Hovel H J 264 58, 65, 68, 533; 1552 270, 465, 467, 472, 493, 668
279, 299, 568; 3300 399, 619; 3629 412, Iqbal Z 853 190, 549; 3674 84, 414, 629
628; 5069 466, 501, 670; 5070 466, 501, Irene E A 24, 26; 1046 226, 555; 2084,
671 2099, 2100 338, 583; 2148 338, 585;
Howes M J 4831 465, 475, 663; 5022 465, 2170 338, 339, 415, 585; 3684 414, 630;
496, 669 4115 427, 643; 4431 435, 652
Hsu CC 2927 249, 393, 607; 3158 80, 396, Irvin JC 244; 1995 244, 335, 580
614 Irvine SJC 342 58, 86, 87, 401, 535; 370
Hsu Y-S 4648 4 4 7 - 4 4 9 , 658; 4649 253, 58, 86, 87, 401, 536; 371 58, 86, 81,
4 4 7 - 4 4 9 , 658 400, 401, 536; 3325, 3328, 3345, 3349
Hsueh Y W 1983, 1984 333, 580 400, 620
Hu E L 1885, 1889 314, 325, 327, 577 Ishibashi A 5301, 5302 468, 507, 512, 678
Hu SM 1339 270, 279, 280, 563; 1987 333 Ishibashi K 3951 418, 420, 470, 638; 4386
580; 2490 380, 594; 4283 424, 432, 648 432, 472, 651
Huffman M 4077 80, 425, 426, 642; 4196 Ishibashi S 4819 456, 462, 663; 4820 456,
429, 645 462, 475, 663
Hughes AJ 3453 180, 404, 406, 623; 3454, Ishitani A 359 58, 86, 87, 378, 535; 2436
185, 404, 406, 623 311, 378, 593
Hui JC 495; 5016 465, 471, 472, 493, 496, Isomae' S 1065 228, 555; 4366 432, 472, 650
669 Ito T 151, 152 39, 40, 57, 530; 167, 169
H u n t L P 490, 491 99, 100, 539 39, 42, 57, 530; 1262 260, 561; 2201
Hwang H L 244 58, 65, 69, 532; 3650 402, 338, 339, 586; 4237 429, 430, 472, 646
412, 469, 629 Itsumi M 2103, 2105 338, 583
Hyder SB 2643 384, 385, 598; 2797 84, Iwamoto T 3155 80, 396, 468, 469, 614;
391, 603; 3080 396, 612; 3085 396, 468, 3200 80, 397, 468, 616
612; 3086 139, 396, 468, 612; 3179 Iwanaga H 1547 270, 279, 298, 568; 3242
249, 397, 615; 3182 397, 615 69, 399, 617
Ida T 3600 411, 627; 3637 412, 628 Jackman R B 231 53, 59, 89, 90, 532; 441
Igarashi O 258, 267, 270 58, 65, 58, 533; 59, 87, 88, 538
3556 411, 626 Jacko MG 4581, 4582 443, 656
Ihara M 2627 384, 385, 598; 4722 452, Jackson, Jr., DM 2517 380, 467, 595; 2546
453, 660 381, 596; 3522 410, 625
Iida K 4229, 4232 429, 430, 646 Jacob A 26; 1661 314, 571
692
AUTHOR INDEX
Jacob G 2857, 2858 392, 604; 2873 392, Kasprzak LA 1253 256, 561; 1258 258, 561
467, 605; 3481 408, 624 Kasuga M 3239-3241 69, 399, 617
Jacobs K 2880, 2888 392, 605 Kato I 220, 221 49, 58, 84, 532
Janai M 3863, 3864 84, 418, 419, 635; Katz LE 912 210, 551; 1569 270, 301, 312,
3874, 3889 418, 419, 636; 3890 139, 418, 569; 2079 40, 338, 583; 2146, 2147
636 338, 585
Janousek B K 350 58, 86, 87, 426, 535; Katzschner W 1920 314, 329, 330, 578;
2210 338, 339, 586 1938 314, 330, 579
Jastrzebski L 495; 1082 231, 232, 556; Kawamura H 4756 4 5 6 - 4 5 9 , 475, 661;
2428-2432 377, 378, 5 9 2 ; 5005 465, 4781 4 5 6 - 4 5 8 , 460, 475, 662
467, 493, 495, 668 K a w a t a H 1710 314, 323, 572; i732 314,
Jenkins MW 26, 282; 1354 270, 279, 281, 316, 324, 573
563; 1777 314, 324, 574 K a y ΈΤ4473, 4474 437, 653
Johnston, Jr., WD 2850m 251, 391, 392, K a y PMR 3272, 3273 399, 618
411, 468, 604; 3780 416, 417, 470, 504, Keen JM 192; 864 192, 550
632; 3781 416, 417, 632; 5100 466, 502, Kehr D E R 24; 4737 85, 454, 455, 660
671 Kelly J J 1601 270, 303, 306, 314, 569;
Jones DI 3913 4 1 8 - 4 2 0 , 637; 3995, 3996 1619 210, 310, 314, 570
84, 420, 421, 639 Kelly MA 212; 931 212, 551
Jones ME 2 5 ; 515 101, 540 Kendall E J M 4290 432, 648; 4374 432,
Joyce BA 2 3 - 2 5 ; 753 171, 546; 763 174, 472, 650
176, 547; 789, 791 176, 547; 841 187, Kennedy J K 2662, 2672 384, 385, 599;
549; 2327 171, 375, 381, 590; 2361 138, 3547 410, 626
171, 376, 5 9 1 ; 2418 377, 592; 2489 380, Kern W 20, 2 2 - 2 6 , 191, 192, 270, 348;
594; 2578 383, 384, 597; 2597 384, 597; 12 19, 20, 58, 60, 69, 163, 173, 345,
3388 404, 6 2 1 ; 3436 404, 406, 623 370, 373, 423, 428, 429, 438, 456, 525;
Jurgensen H 607 127, 138, 543; 2909 393, 90 58, 346, 349, 423, 424, 528; 182 44,
606 58, 80, 347, 426, 432, 530; 203 46, 48,
Kahng D 26; 2483 138, 380, 594; 4956 58, 474, 5 3 1 ; -205 48, 58, 80, 414, 427,
465, 492, 667; 4986 465, 472, 493, 668; 531; 507 177, 178, 548; 863 191, 192,
5358 519, 680 304, 550; 918 210, 5 5 1 ; 1016 221, 554;
Kajiyama K 482 95, 100, 539; 484 95, 1246 255, 560; 1303 2 6 9 - 2 7 1 , 274, 278,
539 280, 285, 292, 297, 562; 1318 269, 271,
K a m a d a M 4352 432, 472, 649; 5273 395, 274, 276, 280, 562; 1568 270, 273, 300,
469, 507, 512, 677 301, 312, 569; 1575 270, 301, 312, 569;
Kamins T I 2 4 - 2 6 , 232, 414, 495; 521 108, 2262-2264 345, 346, 348, 588; 2311,
145, 157, 540; 809 138, 172, 176, 180, 2312 372, 589; 4061, 4067 301, 425, 6 4 1 ;
414, 548; 1055 228, 555; 1090 231, 556; 4073 300, 425, 426, 642; 4088 425, 426,
1096, 1100, 1102, 1104, 1105 231, 232, 471, 642; 4129 427, 643; 4134 301,
415, 556', 2008 335, 581; 2169 338, 339, 427, 428, 643; 4135 427, 643; 4162 428,
415, 585; 3450 404, 406, 623; 3652 414, 644; 4182 233, 428, 429, 471, 645;
415, 629; 3659, 3660 414, 629; 3675 4183 80, 84, 233, 428, 429, 471, 645;
84, 414, 629; 3681 414, 630; 3689 253, 4253 430, 647; 4390 432, 472, 6 5 1 ; 4506
414, 415, 630; 3703 414, 415, 630; 309, 440, 472, 654
3727 416, 470, 631; 4525 81, 440, 654; Kilby J S 4911, 4915 465, 487, 666
4885 465, 470, 483, 495, 665; 4934 465, Kim CJ 1968 333, 580; 2817 391, 603;
472, 487, 666 2825 391, 604
Kamoshida M 26; 1009 220, 554; 4205 429, Kim M J 2054 336, 337, 582; 4377 432,
645 472, 650
Kanbe H 3100, 3103 249, 396, 468, 612; K i t a m u r a N 2900 247, 392, 393, 606; 3172
5136 466, 505, 673 397, 615
Kane J 24, 26; 4643 253, 447-449, 658; Klein DL 26; 1336 270, 279, 280, 563
4671, 4672 253, 447, 449, 658 Knight J R 2 3 ; 2599 247, 384, 385, 597
Kane P F 650 142, 544; 895, 896 201, 550 Knights JC 24; 315 58, 83, 84, 420, 421,
Kaplan L H 1260 258, 561; 4145 All, 644; 534; 321 58, 83, 84, 421, 534; 3823
4504 440, 654 418, 634; 3836, 3837 84, 418, 634; 3909
Kaplan R 299 58, 81, 534; 4585 443, 656 137, 418, 419, 637; 3920-3924 418-
Karlicek R F 448 59, 81, 538; 535 109-111, 420, 637; 4024 84, 420, 421, 640
541; 2935 393, 607 Knolle W R 908, 909 210, 551
Kasano H 246 58, 65, 69, 532; 3563 253, Kobayashi I 490; 3711 416, 467, 470, 490,
411, 626; 3593 411, 627; 3616 412, 628 630
693
AUTHOR INDEX
Kobayashi K 2767 80, 384, 385, 387, 602; Lampert CM 509; 5200 466, 507, 674
3210 80, 398, 468, 616; 32J2 80, 398, Lanford WA 26; 1015 220, 554
616i 3980 84, 420, 639; 4299 432, 648; Lang AR 2 5 ; 821 183, 548
4343 84, 432, 649 Lang GA 26; 1398 270, 272, 277, 279, 285,
Kobayashi N 2736 384, 385, 601; 3074 564
395, 611; 5323 397, 469, 507, 512, 679; Lanza C 3761 416, 470, 632; 5116 466, 502
5343 507, 515, 680 672
Kobayashi T 1544 270, 279, 297, 568; 4240 Laurence G 770, 771 175, 547
429, 430, 472, 646 Layton DN 4566 442, 656) 4625 446, 657
Kobayashi Y 1081 231, 232, 556) 1126 Leamy H J 1127 231, 232, 415, 557; 3732
231 232 415 557 416, 470, 631
Kodama K 5291, 5292 507, 512, 678 Learn AJ 23; 2270 345-349, 588; 4078
Komeno J 2628 384, 385, 598; 2655, 2685 80, 425, 426, 642; 4092 425, 426, 471,
384, 385, 599; 5290 507, 512, 678 642; 4533 81, 440, 655
Konagai M 3859 84, 418, 419, 470, 635 LeComber P G 22, 2 4 ; 3914 253, 4 1 8 - 4 2 0
Kontrimas R 2630 384, 385, 598; 3595 637; 4892 465, 470, 483, 665
411, 627 Lee DB 1332 270, 563; 4168 428, 644
Kooi E 4834-4836 465, 471, 472, 477, 663 Lee MK 584 120, 542; 2753, 2755 384,
Korec J 2 5 ; 602-605 121, 542; 606 111, 385, 602
543; 635 137, 543 Lee P H 2 3 ; 2390 80, 347, 376, 467, 591
Koren U 513; 5293 469, 507, 512, 513, Lehmann H W 2 6 ; 1719 314, 323, 572;
678 1826 314, 315, 575; 1844 314, 324, 576;
Korman CS 1709 314, 323, 572; 1781 314, 1846 314, 318, 3 2 5 - 3 2 8 , 576
324 574 Lehrer W I 4726 453, 474, 475, 660; 4739
K o r z o ' v F 4220 429, 430, 646; 4630 446, 81, 454, 455, 660
447, 449, 657 Lessoff H 509; 5158 460, 466, 475, 507,
Kosonocky W E 492; 4974 465, 470, 667 509, 673
Koukitu A 2650 384, 385, 599; 3178 397, Lever R F 240 58, 65, 68, 532; 468 95, 100,
615; 3206 398, 616 539
Kressel H 2 7 ; 143 38, 57, 229, 529; 1030 Levin RM 4099 425, 426, 471, 642; 4142,
223, 554; 1158 239, 558; 1190 241, 244, 4143 80, 427, 644
559; 2522 380, 595; 5048 466, 499, 670; Levy RA 1086 231, 556) 4138 80, 2 2 1 ,
5049 466, 499, 500, 670; 5077, 5078 427, 644; 4491, 4495 81, 439, 654; 4520
466, 467, 502, 671 81, 440, 654
Kriegler R J 2109, 2110 338, 583 Lewis B 741, 742 167, 546
Krullmann E 49; 2385 49, 80, 376, 591 Lewis CR 2746 384, 385, 6 0 1 ; 2756 384,
Kjuech T F 2749 384, 385, 6 0 1 ; 2758 384, 385, 467, 468, 504, 602; 3221 398, 616
385, 602; 2773 80, 384, 385, 387, 602; Lewis K L 3262 399, 618; 3799 80, 416,
3343 400, 620; 3533 410, 625 417, 470, 633
Kühl C 3418, 3419 404, 406, 622 Leyendecker G 401 59, 87, 88, 420, 537;
Kuiper AET 638 137, 543; 4416 80, 432, 402 59, 87, 88, 140, 420, 537
434, 651 Leys MR 532 1 0 9 - 1 1 1 , 5 4 1 ; 3008 395
Kumagawa M 341 58, 86, 87, 378, 443, 468, 609
535; 2355 376, 590 Li CH 2321 375, 589; 2348 376, 590
Kuo CP 3122 249, 396, 613; 5287 507, Li J Z 1887 314, 325, 327, 577
512, 678 Li P-C 4181 428, 645; 4438 435, 652
Kuppers D 27, 502; 5055 84, 85, 466, 471, Liaw HM 2 0 ; 2340 20, 375, 590; 2518
474, 500, 502, 670 380, 595
Kuroiwa K 2551 381, 382, 596; 2673 384, Liebl H 981 216, 553; 984 111, 553
385, 599 Ligenza J R 157, 158 39, 42, 57, 530; 653
Kurtz SR 3586 418, 419, 636; 4709 452, 142, 143, 544; 2238 338, 340, 341, 587
659 Light R W 1784 314, 317, 324, 574; 1870
Kuznetsov P I 3316 139, 400, 619; 3324 314, 325, 326, 5 7 7 ; 1895 314, 325, 326
400, 620; 3327 139, 400, 620 328, 577
Light T B 882 197, 550; 3542 69, 410, 626,
Lacombe J 24; 2765 80, 384, 385, 387, Lilley P 3268, 3269 399, 618; 3270 70
467, 602 399, 618
L a d a n y I 3508, 3511 408, 468, 625 Lindstrom C 5250, 5251 395, 466, 469, 507,
Lagowski J 849, 851, 852 190, 549 512, 676; 5252 395, 469, 507, 512, 676
Lam H W 1098 231, 232, 415, 556) 5006 Lo CC 509; 5187 466, 473, 507, 509, 674
465, 493, 668 Lopez-Otero A 35) 134 35, 36, 56, 529
694
AUTHOR INDEX
Lu C Y 1091 231, 232, 556; 2177, 2178 338, Maruyama M 2600 247, 384, 385, 597; 2620
339, 415, 585; 3723 416, 470, 631 384, 385, 598
Lu N C C 3670 414, 629; 3699 414, 415, Mathad GS 1653 314, 5 7 1 ; 1693 314, 323,
630 572
Lu P-Y 3338 400, 620; 3359 400, 621 Matsuda A 3845 84, 418, 635; 3860 84,
Lucovsky G 3921 418-420, 637; 4086 84, 418, 419, 635; 3906 137, 418, 419, 637
425, 426, 642 Matsuda N 3267 70, 399, 618; 3626 412,
Ludowise M J 20, 384, 390; 2595 20, 46, 628
383, 384, 390, 397, 468, 597; 3034, 3035 Matsukawa N 495; 4985 465, 470, 472, 493,
395, 468, 610; 3071 395, 610; 3116 396, 496, 668
468, 613; 3121 396, 398, 468, 505, 613; Matsumoto T 2980 395, 608; 3264 70, 399,
3220 398, 469, 616; 5308 469, 507, 512, 618; 3279 399, 618; 3624 412, 628;
679 4028 420, 421, 640
Luther LC 2641 384, 385, 598; 2552, 2886 Matsumura H 3891, 3892 418, 419, 636;
392, 605; 35-73 411, 626 4021 84, 420, 421, 640
Lydtin H 2 3 ; 374 59, 8 7 - 8 9 , 414, 420, 536 Matsumura M 4891 465, 470, 483, 665;
4980 465, 470, 668
Ma Y Y 192 46, 48, 58, 253, 5 3 1 ; 5107 Matsuo S 1749 314, 324, 326, 327, 5 7 3 ;
466, 469, 502, 504, 672 1760 314, 318, 324, 574; 1866 314, 325,
MacChesney J B 2 7 ; 5058 466, 474, 500, 326, 577; 1867 314, 3 2 5 - 3 2 8 , 577
670; 5061 466, 500, 670 Matsushita K 314 58, 83, 84, 420, 534;
Macrander AT 1231 241, 254, 560; 1298 2225 338, 340, 587; 2868 84, 392, 605;
263, 264, 562; 3098 396, 612 4003 84, 420, 421, 640
Madan A 3854-3856 84, 418, 419, 635; Matsushita T 478; 3744 303, 427, 470, 471,
3858 84, 418, 419, 470, 504, 635 478, 6 3 1 ; 3745 416, 479, 6 3 1 ; 3935 418,
Maeda M 4324 432, 649; 4334 84, 432, 649 420, 470, 638
Maguire CF 4875, 4876 465, 472, 483, 664 Matthews J W 2 0 ; 4 19, 20, 31, 525
Maguire H G 2 6 ; 964 215, 552 Mattox DM 99 31, 55, 528; 140 38, 56,
Mai CC 1566 270, 300, 312, 569; 3654 414, 529; 1033 224, 554; 2306 345, 370, 589;
629; 4089 425, 426, 471, 642 5i95, 5201 466, 507, 679; 5204 466, 473,
Maissel L I 1, 6 19, 31, 525; 111 38, 56, 474, 507, 675
529; 1838 314, 324, 576 Mattson B 332 58, 83, 84, 432, 535; 4094
Makita K 3092, 3093 396, 612 425, 426, 471, 642
Manabe T 479 95, 100, 539; 2961 395, 608 Mayer J W 2 6 ; 1003 219, 553
Manasevit HM 2 2 - 2 5 , 4 3 ; 1596 270, '303, Mayer TM 438 59, 87, 88, 442, 538; 1758
312, 313, 569; 2474 380, 594; 2591 361, 314, 318, 324, 574; 1932 314, 330, 579
383, 384, 597; 2898 392, 393, 606; 2956 Mazur R G 26, 2 4 3 ; 1174 241, 243, 558
393, 607; 3275 399, 400, 618; 3371 401, McCloskey J P 26, 193; 565 193, 550
621; 3384 404, 407, 408, 6 2 1 ; 3385 229, McDonald BA 4572 465, 472, 483, 664;
404, 407, 6 2 1 ; 3390 181, 404, 405, 6 2 1 ; 4574 465, 472, 483, 664
3395 180, 404, 622; 3396 404, 622; 3397 McGinn J T 891 198, 550; 3755 416, 632
272, 404, 622; 3398 404, 467, 622; 3399 McGuire GE 5176 466, 474, 507, 674; 5365
404, 622; 3413 404, 405, 622; 3428 404, 519, 520, 681
406, 622; 3432 186, 404, 406, 623; 3477 McTaggart F K 207 49, 58, 531
392, 408, 624; 3498 408, 409, 624; 3499 Mee J E 24, 2 5 ; 4754 456, 460, 461, 475,
392, 408, 409, 624; 3501 408, 624; 3502 662; 4787 456, 460, 461, 662; 4755, 4759
251, 408, 624; 3504 251, 392, 408, 409, 456, 460, 662
624; 3514 408, 625; 3515 409, 625 Meek R L 2 5 ; 1006 220, 553; 1386 270, 279,
Mandurah MM 2061 336, 337, 582; 3692 284, 564; 1457 270, 279, 290, 566; 2111
353, 414, 415, 630 338, 583
Manifacier JC 201 46, 48, 58, 5 3 1 ; 4636 Mehal E W 2 4 ; 50J5 465, 496, 669
447, 657 Meieran E S 520, 525 184, 548; 1500 270,
Marcoux P J 322, 325; 1644 314, 322, 315, 276, 279, 293, 567; 1992 333, 580;
325, 5 7 1 ; 1708 314, 323, 572 3594 411, 627
Marcus R B 824 184, 548; 2102 338, 5 8 3 ; Melliar-Smith CM 24, 2 6 ; 1651 314, 316,
2174 338, 339, 415, 585; 2465 379, 593 317, 324, 326, 329, 5 7 1 ; 1909 314, 325,
Marinace JC 2 4 ; 3540 68, 410, 625 329, 578; 4505 440, 473, 654
Marty A 506; 5144 466, 467, 505, 506, 673 Mendel E 1324 274, 563; 1327 270, 274,
Maruska H P 2854 392, 467, 604; 2871, 563
2872 392, 467, 605; 3061 395, 468, 6 1 1 ; Mendelson S 670 144, 148, 544; 2455 379,
4664 310, 447, 474, 658 593
695
AUTHOR INDEX
Menezes C 279, 280 58, 65, 68, 533 Mori Y 5 0 1 ; 1477 270, 279, 292, 566; 3011
Mercier J 239 58, 65, 532; 757 171, 176, 395, 468, 501, 609; 3012-3015 395,
546; 988 217, 406, 553; 3406 404, 405, 468, 609
622 Morimoto Y 2864, 2865 392, 605
Mercier J S 1087 231, 556; 1878 314, 325, Morita M 2208 338, 339, 586; 2837 391,
327, 577 473, 604; 2838, 2839 391, 604
Messick L 4107, 4108 425, 426, 471, 643 Morizane K 2621 384, 385, 598; 3562 411,
Messier D R 4192 429, 645; 4209 429, 430, 626.
646 Morosanu CE 20, 24, 25, 93, 95, 97, 117,
Meyerson BS 293 58, 81, 534; 2417 377, 120, 141, 346, 4 3 3 ; 15 19, 20, 58, 142,
592; 3671 414, 629; 3898 418, 419, 636 423, 438, 456, 525; 89 58, 120, 141,
Middelhoek J 2023 336, 471, 5 8 1 ; 4065 142, 149, 256, 259, 272, 423, 424, 431,
425, 641 433, 528; 93 20, 528; 206 48, 58, 80,
Miki H 2696 384, 385, 600; 3958 418, 420, 81, 84, 85, 346, 414, 426, 432, 440, 5 3 1 ;
470, 638 292 79, 534; 488 93, 95, 97, 100,539;
Milano RA 506; 5145 466, 468, 505, 506, 526 108, 145, 157, 159, 540; 589 122
6 7 3 ; 5146 466, 468, 505, 673 542; 609 118, 138, 543; 610 120, 127
Milek J T 2 0 ; 84 19, 20, 58, 261, 302, 423, 138, 543; 611 127, 543; 632 137, 5 4 3 ;
431, 528 643 111, 139, 140, 172, 543; 694 145
Miller A 3387 404, 621 154, 155, 545; 3872 84, 418, 419, 635;
Miller DC 25, 270; 800 111, 270, 280, 290, 4305 424, 432, 648; 4306 415, 432, 648;
548; 1624 270, 311, 314, 570 4307, 4308 432, 648; 4313 80, 432, 648;
Miller K ] 2347 376, 590; 2381 138, 139, 4507 140, 270, 309, 440, 654; 4825 465
376, 5 9 1 ; 2528 381, 595; 3526 410, 625; 663
4567 442, 473, 656 Moss R H 2933 249, 393, 396, 607; 2936
Mimila-Arroyo J 275 58, 65, 68, 533; 5095 247, 393, 607; 2937 393, 607; 5280 396
466, 467, 502, 505, 671 469, 507, 512, 678
Minagawa S 481 95, 100, 539; 1108 231, Motojima S 2803 391, 603; 4692 450, 659;
232, 415, 556; 2539 247, 381, 595 4705 452, 659
Minden H T 2 0 ; 2585 20, 383, 384, 597; Motooka T 406 59, 87, 88, 378, 381, 537;
2661 384, 385, 599; 2846 391, 392, 604; 431 59, 87, 88, 439, 538
3075 396, 6 1 1 ; 3610 411, 627 Mucha J A 1667 314, 3 1 6 - 3 1 9 , 571
Mircea A 2931 249, 393, 607 Mueller CW 3394 404, 622; 3459 190, 404,
Misawa Y 893 200, 550; 4301 432, 648 406, 623; 4856 465, 467, 480, 664
Mishima Y 346 58, 86, 87, 419, 535; 361 Mullin J B 372 58, 86, 87, 400, 401, 536;
58, 86, 87, 419, 546; 368 58, 86, 87, 2586 383, 384, 597; 3226, 3227 398,
426, 536; 1222 241, 244, 560 617; 3326, 3350, 3352 400, 620
Miura S 3036 395, 468, 610; 3091 396, 612 Muranoi T 3278, 3282 399, 618; 4647 140,
Miyake M 4551 441, 655; 4593 443, 656 447, 448, 658
Mizuno O 2654 384, 385, 599; 2682 241, Murarka SP 153 39, 40, 57, 530; 913 210,
384, 385, 599; 2952 249, 393, 607; 3166 5 5 1 ; 1056 228, 555; 1083 231, 556;
397, 615 1820 314, 321, 324, 575; 2184 338,
Mizuo S 1990, 1991 333, 580; 4369 432, 339, 586; 2196 338, 339, 424, 586; 2198
472, 650 338, 339, 586; 2795 391, 603; 3662 414,
Mizutani T 1072 229, 555; 1438 270, 279, 629; 4727, 4729 453, 474, 475, 660;
288, 565; 3185 397, 615 4964 465, 474, 475, 492, 667
Moest R R 2638 384, 385, 598; 3589 411, Murase K 2179 338, 339, 585; 4004 84,
627 420, 421, 471, 640
Mogab CJ 26; 697 145, 154, 545; 1008 220, Murphy BT 489; 4923 465, 4 8 7 - 4 8 9 , 666
Murray LA 699 145, 154, 545; 1214 241,
554; 1647 314, 5 7 1 ; 1678 314, 315, 5 7 1 ;
244, 559
1688 314, 317, 322, 572; 1772 314, 317,
Mutsukura N 362, 363 58, 86, 81, 419, 536
324, 574; 1815 314, 320, 324, 575 Myers DR 5318, 5319 469, 507, 512, 679
Mohammadi F 2197 338, 339, 586; 4730
453, 474, 475, 660 Nagai H 483, 485 95, 100, 539; 3077 396,
Monemar B 1151 237, 558; 2860 392, 604; 6 1 1 ; 4355 432, 472, 650; 4433 140,
2861 392, 605 302, 435, 652
Monkowski J 1961 333, 579; 2120-2122 Nagao S 2397 84, 376, 378, 5 9 1 ; 5004 465,
338, 584 467, 472, 493, 668
Morabito JM 953 214, 552; 980 216, 553; Nagasima N 1092 231, 232, 556; 3678 414,
4554 441, 655 629
696
AUTHOR INDEX
Nakagawa M 2604 384, 385, 597; 2697 384, Ogawa H 2399 377, 592; 3303, 3304 69»
385, 600 399, 400, 619; 4370 176, 432, 472, 650
Nakai K 2623 384, 385, 598; 2683 384, Ogirima M 301 58, 79, 80, 138, 534; 2500
385, 599 380, 594; 2881 392, 605; 3054 395, 611
Nakamura K 1010 220, 554; 2808 391, Ogura M 1229 241, 254, 255, 560; 2914,
603; 4683 450, 659 2915 247, 393, 606; 5040 465, 467,
Nakamura M 845 189, 549; 1062 228, 555 498, 669
Nakanisi T 2669 384, 385, 599; 2730 247, Oishi M 2951 80, 393, 607; 3133 80, 396,
384, 385, 467, 601 613
Nakanuma S 921 210, 5 5 1 ; 2502 380, 594; Okamoto H 2607 384, 385, 597; 3838 84,
2523 380, 467, 595; 5029 465, 467, 498, 418, 470, 634; 4019 84, 420, 421, 471,
669 640
Nakashima H 2550 381, 596; 2695 384, Okamoto K 2787, 2788 384, 385, 387, 603
385, 600 Okano H 1845 314, 324, 576; 1853 314,
N a k a y a m a Y 2723 384, 385, 6 0 1 ; 3866, 3 2 5 - 3 2 7 , 576; J930 314, 329, 330, 579
3867 84, 418, 419, 635 Okuda K 3739 416, 470, 6 3 1 ; 5097 466,
Namikawa H 4197, 4198 429, 645 502, 671
N a n b a M 1972-1974 333, 580 Okuyama M 349 58, 86, 87, 426, 535;
Naumaan A 1085 231, 556; 4159 427, 471, 5215 466, 470, 507, 675
644 Oldham W G 1407 270, 277, 279, 565; 1451
Nelson AW 2919 393, 606; 2938 393, 607; 270, 275, 279, 289, 566; 2420 377, 592;
3204 397, 616; 5286 507, 512, 678 3604 411, 627
Nelson H 39; 144 38, 39, 57, 529 Olsen GH 2 7 ; 806 111, 548; 1511 210,
Newkirk L R 2 4 ; 4760 4 5 6 - 4 5 9 , 661; 4763 279, 293, 567; 2713 384, 385, 600;
311, 314, 456, 457, 459, 661 3151 396, 468, 504, 614; 3183, 3184
Nguyen VS 4420, 4421 84, 432, 434, 652; 397, 468, 615; 3187-3189 397, 615;
4468 85, 437, 473, 653 3191 397, 468, 615; 5143 466, 505, 673
Nicoll F H 2 4 ; 252 58, 65, 68, 69, 407, 533 Orlowski T E 172 39, 42, 57, 530; 2207
Niihara K 1035 224, 554; 4270 431, 647 338, 339, 586
Nishi Y 2488 380, 594; 4952 465, 472, Osbourn GC 5315, 5317 469, 507, 512, 679
492, 667 Osburn CM 858, 859 191, 549; 2114 338,
Nishida S 358 58, 86, 87, 378, 535; 360 584
58, 86, 87, 378, 536; 3742 416, 470, Osgood, Jr., RM 436 59, 87, 88, 443, 538;
631 1628 277, 570; i940 314, 331, 579
Nishinaga T 2814 68, 391, 603; 2815 139, Otsubo M 1488 270, 275, 279, 293, 295,
391, 603 297, 567; 2644 384, 385, 598
Nishino S 2553 251, 381, 382, 596; 2555, Outlaw RA 3473, 3474 407, 467, 624
2556 381, 382, 596; 2575 381, 382, 410, Ovshinsky SR 3809 418, 633; 3853 84,
468, 597; 4014 84, 420, 421, 640 418, 419, 635
Nishio M 243 58, 65, 69, 532; 3302, 3305 Ozeki M 2674, 2684 384, 385, 599
69, 399, 400, 619
Nishizawa J 22, 25 111, 182, 514; 383 Paidassi S 4771 4 5 6 - 4 5 9 , 6 6 1 ; 4777, 4778
59, 87, 88, 536; 530, 531, 533 1 0 9 - 456, 457, 661
111, 5 4 1 ; 736 163, 467, 546; 784-787 Palik E D 1383 270, 276, 279, 284, 564;
176, 547; 812 181, 182, 584; 1713 314, 1394 270, 279, 285, 564
323, 572; 2675 384, 385, 599; 4871 Palmberg P W 214; 952, 954 214, 552
465, 467, 483, 664; 5340 507, 514, 680; P a n P 4087 84, 425, 426, 642; 4314 80,
5362 519, 681 432, 648
Nolder R L 3434, 3435 404, 406, 623 Pande K P 2776, 2777 84, 384, 385, 387,
Noyce RN 2 6 ; 4913 465, 487, 666 602; 3772, 3775 416, 417, 632; 4109
Nuese CJ 26, 485; 1504 270, 276, 279, 425, 426, 471, 643; 4225 84, 429, 430,
294, 567; 2706 384, 385, 467, 468, 600; 646; 4435 435, 652
2712 384, 385, 467,485, 600; 3058, 3059, ' Pankove J I 2 6 ; 1093 231, 232, 556; 1149
3064, 3068 395, 468, 6 1 1 ; 3081-3083 1150, 237, 558; 1440 270, 279, 289, 296,
396, 612; 3145 396, 614; 3147, 3152 297, 565; 2869, 2870 392, 467, 605;
396, 468, 614 3066 395, 468, 611; 3483-3487 408, 467,
Nuttall R 536 109, 112, 113, 5 4 1 ; 2352 624; 3803 418, 6 3 3 ; 3928 4 1 8 - 4 2 0 ,
376, 590 637; 3932 418, 420, 470, 637; 3950
418, 420, 470, 638; 3966 84, 420, 638;
O'Neill T G 1655 314, 5 7 1 ; 2154 338, 585; 5166 466, 507, 674
5171 466, 507, 674 Parekh PC 4170 428, 644; 4280 432, 647
697
AUTHOR INDEX
P a r k e r SG 1556 270, 279, 299, 568; 2634 Pugacz-Muraszkiewicz I J 874 195, 270,
384, 385, 598; 3287 399, 618; 3288 70, 550; 1570 270, 301, 312, 569
399, 618; 3289 399, 618; 3372 401, 621 Palfrey DL 160, 161 39, 42, 57, 530; 2239
Parsons J D 2303 369, 589; 2745 384, 385, 338, 340, 341, 587; 5118 466, 502, 672
6 0 1 ; 2752 384, 385, 602 Pulliam G R 4792, 4807 456, 460, 662
P a t r i c k W J 848 190, 549; 1167 241, 242, Purohit R I ^ 2 7 0 ; 261 58, 65, 68, 533; 3566
558 411, 626
P a u l e a u Y 446 59, 87, 89, 440, 538; 497 Putz N 366 58, 86, 87, 536; 2718 80, 384,
99, 100, 540; 2841 391, 604; 4521, 4522 385, 600
81, 440, 654; 4965, 4967 438, 454, 465,
4 7 3 - 4 7 5 , 492, 667; 4968 438, 465, 473, Queisser H J 2447, 2450 379, 593
492, 667
Pavelescu C 489 95, 539; 4069-4071 425, Rai-Choudhury P 2 4 ; 475 95, 100, 539;
641 1235 241, 660; 1328 274, 563; 1396
Pearce CW 2 0 ; 1411 270, 279, 565; 2296 270, 272, 277, 279, 285, 377, 564; 1405
345, 367, 589; 2338 20, 375, 590 270, 272, 277, 279, 286, 565; 2406 377,
P e c k DS 478; 4845 465, 472, 478, 479, 592; 2423, 2424 176, 377, 592; 2470
663 379, 594; 2473 380, 594; 2477, 2479
Peercy P S 26, 220; 1014 220, 554 380, 594; 2552 381, 596; 2603 384,
Peltzer D 27, 490; 4929 465, 467, 472, 385, 597; 27i9 384, 385, 6 0 1 ; 3691
487, 489, 490, 666 253, 414, 415, 630
Perrin J 3601 411, 627; 3908 137, 418, Raider SI 26, 212; 905210, 5 5 1 ; 939 212/552
419, 637; 3910 137, 418, 419, 637 Ramachandran T B 3618, 3619 412, 628
P e t e r s J W 2 3 ; 353 58, 86, 81, 432, 434, Rand M J 24, 2 6 ; 210 49, 58, 84, 432, 5 3 1 ;
535 703 145, 154, 545; 1021 221,554; 1608
Peterson D 4177 428, 645; 4486 438, 4 4 0 - 270, 307, 314, 570; 2793 288, 391, 603;
442, 447, 653 4044 425, 6 4 1 ; 4046 139, 425, 6 4 1 ;
Picraux ST 879 880, 195, 406, 550 4411 302, 424, 432, 434, 6 5 1 ; 4553 307,
Pierson HO 2805 391, 603; 4488 439, 653; 441, 473, 474, 655
4681, 4687 450, 659; 4711 451, 452, Randich E 502 99, 100, 540; 4690 450,
659 474, 659; 4691 310, 450, 474, 659;
Pintchovski F 2028 336, 5 8 1 ; 2309 372, 4694 450, 659; 4695 140, 450, 659
589 Rankel Plauger L 1453 270, 275, 279, 289,
Pizzarello F A 285 58, 69, 70, 533; 1070 566; 1576 270, 301, 312, 569
228, 555; 3479 408, 624 Ravi K V 593 123, 542; 804 177, 548
Pliskin WA 26, 153; 649 142, 143, 544; Rawlins T G R 831 185, 548; 1211 241,
691 144, 153, 545; 692 144, 149, 153, 244, 245, 559
545; 693 144, 153, 545; 1144 233, 558; Razeghi M 2744 384, 385, 6 0 1 ; 2918 393;
1238 255 338, 560; 1567 270, 300, 312, 606; 2942 80, 249, 393, 607; 3195,
569; 4031, 4032 423, 640 3196 80, 397, 468, 615; 3198, 3199 80,
P o a t e JM 1028 222, 554; 2227 338, 340, 397, 468, 616; 5279, 5284 507, 512,
587 678; 5312 468, 469, 507, 512, 679;
Pogge H B 2 0 ; 45 19, 20, 58, 60, 280, 287, 5344, 5347, 5350 469, 507, 515, 680;
290, 292, 297, 299, 303, 345, 346, 373, 5351 507, 515, 680
402, 526; 1860 314, 325, 326, 576; 2609 Razouk R R 1251 256, 5 6 1 ; 2149 338, 585
347, 384, 385, 597; 3559 253, 411, 468, Reason R E 147; 654 142, 143, 147, 544
626 Reif R 600, 601 127, 542; 620, 621 134,
Pollock HMM 766 174, 175, 547 138, 543; 1129 231, 232, 415, 557; 2395
Poulsen R G 1659 314, 5 7 1 ; 1703 314, 323, 84, 376, 5 9 1 ; 4541 85, 440, 441, 454,
572 455, 4 7 3 - 4 7 5 , 655
Powell CF 2 0 ; 11 19, 20, 58, 60, 373, 474, Reinberg AR 2 3 ; 213 49, 58, 84, 276, 5 3 1 ;
525; 4480 438, 4 4 2 - 4 4 5 , 653; 4482 1657 314, 5 7 1 ; 2276 345, 347, 588;
438, 653; 4677 4 5 0 - 4 5 2 , 659 4310 432, 648
Powell M J 485; 3947418, 420, 470, 638; 4385 Reisman A 1274 262, 5 6 1 ; 1370 270, 271,
432, 470, 472, 485, 651 274, 279, 283, 564; 1371 270, 271, 279,
Powell RA 1134 231, 232, 415, 557; 1669 283, 564; 1424 270, 277, 279, 287, 565;
314, 571 1589, 1590 270, 302, 303, 312, 569;
Preuss E 3470 407, 467, 623 2409 377, 592; 2535 69, 381, 595; 3232-
Price J B 1375 270, 275, 279, 283, 284, 3234 399, 617
564; 2494 380, 594 Reizman F 685 144, 145, 149, 152, 545;
Prussin S 1962, 1994 333, 580 687 145, 152, 545
698
A U T H O R INDEX
Reuter W 218; 950 213, 552; 986 217, Sanders T J 490; 4927 465, 467, 470, 4 8 7 -
218, 553 490, 666
Revesz AG 1242 255, 300, 560; 2080 338, Sandor J E 22
538; 2351 376, 590 Sangster RC 2 7 ; 2380 376, 591
Richman D 23, 2 5 ; 287 58, 69, 70, 533; Sano M 2802 391, 472, 603; 2856 139,
2413, 2414 377, 378, 592; 2845 251, 392, 604
391, 604; 2874 467, 392, 605 Sarace JC 22, 2 4 ; 4851 465, 470, 472, 664
Rideout VL 1470 270, 279, 291, 566; 4869 Saraie J 3317, 3348 69, 249, 400, 620;
465, 482, 664 4222 80, 429, 430, 646;
Robbins D J 4123, 4124 427, 471, 643 Saraswat KC 1981 333, 580; 2172 338,
Robbins H 2 6 ; 1334 270, 275, 279, 280, 339, 415, 585; 4743 81, 454, 455, 660
563 Sato K 715 145, 154, 545; 2468 379, 593
Robinson MCD 2089 338, 583; 2356 376, Sato Y 2158 338, 339, 585; 3722 416, 470,
590; 4796, 4802 456, 460, 461, 662 631
Robinson P H 255 58, 65, 68, 69, 139, 533; Sawyer W E 2 1 ; 94 21, 528
1594 270, 303', 312, 313, 569; 2108 338, Saxena AN 152; 679 144, 150, 544; 680
583; 2372 247, 376, 5 9 1 ; 3409 404, 622; 144, 150, 152, 544; 4962 465, 474, 475,
3460 404, 406, 623; 3760 416, 470, 504, 492, 667
632 Saxena R R 2 7 ; 2971 395, 608; 3030 395,
Rogers T J 2 7 ; 4946 465, 467, 491, 667 468, 505, 610; 5153 466 468, 469, 505,
Rohatgi A 1864 314, 325, 326, 577; 2118, 673 ·
2119 338, 584 Schäfer H 25; 238 58, 65, 532
Ronen R S 3464 404, 407, 467, 623 Schaffer P S 1195 241, 244, 559; 1261 260,
Rosler R S 23, 351, 394; 204 48, 58, 80, 5 6 1 ; 4294 432, 648
139, 347, 414, 426, 432, 5 3 1 ; 212 49, Schintlmeister W 4698, 4699 451, 452, 659
58, 276, 347, 351, 5 3 1 ; 2258 3 4 5 - 3 4 8 , Schlesier KM 1290 263, 306, 472, 562;
394, 588; 2277 84, 345, 432, 588; 2279 1580 270, 301, 312, 569; 4208 429, 644
346, 588 Schlotterer H 3420 404, 622; 3437 404,
Ross E C 4372 432, 472, 650; 4857 465, 406, 623; 3438 190, 404, 406, 623
467, 480, 664 Schmidt P F 914 210, 5 5 1 ; 2015 336, 5 8 1 ;
Rossi R C 637 137, 543; 5220 466, 507, 675 2211 338, 340, 586; 2233 338, 340, 587
Roth A P 2740, 2748 384, 385, 601; 3134 Schmit J L 2305 369, 589; 3229 398, 617
80, 396, 613; 3247 139, 399, 617; 3798 Schnäble GL 91 58, 423, 528; JOS 33, 55,
253, 416, 417, 633; 5310 469, 507, 512, 679 271, 529; 2421 377, 592; 4090 425, 426,
Rozgonyi G A 25, 270; 816 183, 548; 1051 471, 642; 4153 427, 471, 644
226, 555; 2505 380, 594; 4401 432, Schultz PC 2 7 ; 5057 466, 474, 500, 670
472, 651 Schumaker N E 1447, 1450 270, 279, 289,
Runyan W R 20, 23, 25, 256, 270; 647 566
142, 544; 801 111, 188, 548; 1309 269, Schumann, Jr., P A 711-713 145, 154,
270, 280, 292, 296, 297, 562; 2320 138, 545; 1166 241, 242, 558; 1194 241,
375, 589; 2325 20, 375, 590; 2401 377, 244, 559; 1213 241, 244, 245, 559
592 Schwartz B 2 6 ; 1032 223, 554; 1335 270,
Ryabova L A 183 46, 58, 407, 431, 438, 275, 279, 280, 563; 1419 270, 271, 275,
442-444, 447, 453, 473, 474, 530; 279, 287, 565; 1458 270, 276, 279, 290
4219 429, 430, 646; 4629 446, 447, 449, 294, 566; 2181 269, 338, 339, 586; 2319
657; 4632 446, 657; 4675 447, 449, 215, 589
658
Schwartz GC 1581 270, 301, 312, 569;
Sadhir R K 4444 436, 652; 4469 437, 473,
653; 4470 437, 653; 4471 437, 473, 653 1733 314, 324, 573; 1848 314, 325, 326,
Sah C T 26 576
Saitoh T 345 58, 86, 87, 419, 535; 794 Schwuttke G H 2 5 ; 817 183, 548; 2454
176, 547; 1461 270, 279, 566; 3070 379, 593
395, 611; 3783 139, 416, 417, 633; 3784 Scifres D R 3004 395, 468, 609; 3023 395,
253, 416, 417, 633 468, 610; 5244, 5245 395, 466, 469,
Sakurai J 1117 231, 232, 415, 557; 4367 507, 512, 676
432, 472, 650 Scott BA 3839 84, 418, 634; 3893 418,
Salama C A T 4227 429, 430, 646; 4947 419, 636; 3894 139, 418, 419, 636;
465, 467, 491, 667 3895, 3897 418, 419, 636
Salmer G 5034 465, 498, 669 Scott J H 27
Samuelson L 2733 384, 385, 601; 3072
Secco D'Aragona F 26, 2 8 1 ; 1353 270, 279,
395, 611 2 8 1 , 563
699
AUTHOR INDEX
Sedgwick TO 25, 114; 470 95, 100, 539; Shibata M 528, . 29 1 0 9 - 1 1 1 , 541
553 114, 5 4 1 ; 555 109, 114, 5 4 1 ; 1077, Shiloh M 328 58, 83, 84, 432, 535; 3237
1078 231, 555; 1099 231, 232, 415, 556; 399, 617
3716 416, 470, 630; 4830 427, 465, 471, Shimizu I 3961, 3962 418, 470, 638; 5127
472, 475, 663 466, 505, 672
Segui Y 2 4 ; 313 58, 83, 84, 420, 534; 4460, Shinoda Y 3582 411, 468, 627; 4238,4241,
4462 85, 437, 473, 653; 4466 85, 437, 4242 429, 430, 472, 646
653 Shintani A 560 109, 115, 5 4 1 ; 1441 270,
Seki H 480 95, 100, 539; 2382 376, 591; 279, 289, 565; 2171 338, 339, 415, 585;
2611, 2617, 2618, 2629, 2647 384, 385, 2855 392, 604
598; 2651, 2664 384, 385, 599; 2879, Shiosaki T 3236 399, 468, 617; 3252 84,
2887 392, 605; 2962 395, 608; 3177 399, 617; 3253 69, 84, 253, 399, 468,
397, 615; 3605 411, 627; 4271 432, 647; 470, 617; 3255 399, 617
4358 432, 472, 650 Shiota I 967 215, 552; 1467 270, 275, 279,
Sekimoto M 5177, 5178 466, 472, 507, 674 291, 566
Senhouse L S 489; 4924 465, 4 8 7 - 4 8 9 , 666 Shioya Y 4127 80, 84, 427, 643; 4538 81,
Sequin CH 4969, 4977 465, 470, 667 440, 655; 4745 81, 454, 455, 660; 4749
Seraphin BO 2 7 ; 5205 466, 473, 474, 507, 81, 454, 455, 661.
675; 5216 466, 507, 675 Shohno K 1967 333, 580; 2819 391, 603;
Seto J Y W 2 5 ; 595 127, 139, 542; 3686, 2822 251, 391, 603; 2826 391, 604
3690 414, 415, 253, 630; 3698 AM, 415, Sigai AG 1452 270, 275, 279, 289, 566;
630; 5190 466, 470, 507, 674 2849 288, 391, 392, 604; 3146 249, 396,
Severin P J 2 2 ; 663 143, 147, 544; 710 468, 614
145, 154, 545; 720 145, 158, 545; 729 Silvestri VJ 2357 376, 590; 2526 381, 595;
146, 161, 546; 1168 241, 242, 558; 2534 381, 467, 595; 4210 140, 429, 646;
1177, 1179 241, 243, 558; 1181 241, 4432 435, 652; 4995 465, 470, 471, 493,
243, 559; 1187 241, 244, 245, 559; 522i, 668
5222 466, 507, 675 Simon R E 2893 392, 605; 3069 395, 468, 611
Sowell, Jr., F A 4371, 4376 432, 472, 650 Simsova J 4811 69, 456, 460, 461, 662
Shankoff TA 4510 309, 440, 654; 4840 Singer P H 902 201, 5 5 1 ; 1765 314, 324,
465, 471, 472, 477, 663 574; 2268 345, 346, 348, 588; 2284 361,
Shappir J Uli 231, 232, 415, 556; 4258 588; 5171 466, 507, 674
430, 647 Sinha AK 331, 335 58, 83, 84, 432, 535;
Sharma BL 270; 1539 270, 279, 568; 2182 1049 226, 555; 1822 314, 321, 324, 575;
338, 339, 586; 3518 409, 625; 3519 4484 438, 653; 4734 85, 455, 474, 475,
270, 280, 409, 625; 4033 423, 641 660
Sharp CD 1198, 1199 241, 244, 245, 255, 559 Sirtl E 25, 26, 2 8 1 ; 492 99, 100, 539; 1352
Shastry SK 2783 384 385, 387, 602; 3588 270, 279, 281, 563; 2384 376, 591
411, 627; 3777 416, 417, 632 Sladek K J 561 115, 173, 174, 176, 5 4 1 ;
Shaw D W 20, 2 5 ; 46 19, 20, 58, 373, 526; 4251 430, 647
509 101, 102, 107, 540; 511 101, 540; Smeltzer R K 2 0 ; 1296 263, 562; 2425 377,
518 108, 109, 139, 540; 1468 270, 275, 592
279/ 566; 1515 270, 279, 293, 567; 2633 Smid V 316 58, 83, 84, 534; 4387 432,
384, 385, 598; 2652 139, 384, 385, 599; 472, 651
2778 384, 385, 387, 602; 5019 465, 496, Smith H I 1123 231, 232, 415, 557; 1908
669 314, 325, 578
Shaw JM 24; 4503 440, 473, 654 Smits FM 26; 1164 241, 558;
Shay J L 3787 416, 417, 470, 633; 5105 Smolinsky G 1061 228, 555; 1680 311, 315,
466, 469, 470, 502, 504, 672. 5 7 1 ; 1735 314, 317, 324, 573; 1790
Shealy J R 2043 336, 399, 469, 470, 582; 314, 319, 324, 574; 1871 314, 325, 327,
2985 395, 608; 2995 80, 395, 609; 3157 577
80, 396, 614; 3246 399, 617; 5306 469, Sobol H 497; 5023 465, 496, 669; 5u24
507, 512, 678 465, 473, 496, 497, 669
Shedd GM 54; 236 54, 59, 90, 532 Soga T 3585 411, 468, 627; 5322 469, 507,
Shepherd W H 570 120, 542; 2475, 2496 512, 679
380, 594 Solanski R 233 52, 59, 88, 89, 432, 434,
Sherman A 218 49, 58, 532; 5369 519, 441, 532; 355 59, 87, 88, 439, 536;
520, 681 393, 394 59, 8 7 - 8 9 , 4 4 0 - 4 4 2 , 537; 423
Shibata K 1097 231, 232, 415, 556; 2039 59, 87, 88, 399, 537
336, 415, 470, 5 8 1 ; 4839 465, 471, 472, Somekh S 1649 314, 571; 1816 314, 320,
477, 663 324, 327, 329, 575; 1817 314, 324, 575
700
AUTHOR INDEX
Spear K E 2 5 ; 467 95, 539; 498 99, 100, 540 Susa M 4184 428, 429, 645; 4188 428, 429'
Spear W E 22, 2 4 ; 3827, 3828 418, 634; 471, 645
3530 84, 253, 418, 420, 634; 3912 Susa N 27; 1890 314, 325, 327, 328, 577;
4 1 8 - 4 2 0 , 637; 3912, 3915 4 1 8 - 4 2 0 , 2908 247, 393, 606; 3101, 3102, 3104,
637; 4023 84, 420, 421, 640 3106 249, 396, 468, 612; 5132 466, 468,
Springthorpe AJ 2966 395, 608; 3552 410, 505, 672; 5133, 5142 466, 468, 505, 673
626 Suzuki A 2191, 2192 338, 339, 586; 2559,
Srinivasan GR 2498 380, 595; 2509 380, 595 2561 381, 382, 596
Staebler D L 1094 231, 232, 556; 3927 Suzuki K 405 -59, 87, 88, 414, 537; 1698,
4 1 8 - 4 2 0 , 637; 3940 418, 420, 470, 638 1699 314, 323, 572
Stein H J 24, 26; 138 36, 56, 529; 333 Suzuki M 540 109, 112, 113, 5 4 1 ; 4005
58, 83, 84, 432, 535; i022, 1023 221, 84, 420, 421, 471, 640; 4774 456, 457,
554; 1135 231, 232, 415, 557; 2062 459, 661
336, 337, 582; 3417, 404, 406, 622; Suzuki S 2391, 2393 84, 376, 5 9 1 ; 3530
4427 302, 434, 472, 652; 410, 625
Steinbruchei C 1730 314, 323, 573; 1876 Suzuki T 539 109, 112, 5 4 1 ; 1074 229,
314, 325, 326, 577 555; 2358 376, 590; 2464 379, 5 9 3 ;
Steinmaier W 469 95, 100, 539; 4047 139, 3160 396, 614; 3710 416, 470, 489, 630;
425, 641 4880 333, 338, 465, 467, 483, 665
Sterling H F 2 2 ; 319 58, 83, 84, 421, 534; Swaroop B 2 6 1 ; 951 214, 552; 1240 255,
339 58, 83, 85, 4 4 0 - 4 4 2 , 535 261, 432, 560; 4295 432, 648
Sternheim M 1724 314, 323, 573; 2176 Swartz GA 5031 465, 472, 498, 669; 5035
338, 339, 415, 585 465, 467, 498, 669
Stewart CEE 239; 1156 238, 558; 3052 Sze SM 2 6 1 ; 10 19, 31, 519, 525; 4273
395, 611 432, 647; 4860 410, 465, 467, 468, 482,
Stillman GE 506; 1162 240, 558; 3079 664; 5364 520, 681
396, 612; 5064 466, 467, 500, 670; 5135
466, 467, 505, 506, 673; 5140 466, 468, Taft E A 1017 221, 554; 2342 376, 590;
505, 673 4166 428, 644; 4318 84, 302, 432, 648;
Stoller AI 1343 270, 279, 281, 563; 1374 Takahashi R 25; 622 135, 136, 543
270, 279, 283, 564; 4406 432, 472, 651 Takahashi S 1813 314, 320, 324, 575; 4837
Stone J L 2045 333, 336, 582; 4920 465, 465, 471, 472, 477, 663
487, 666 Takahashi T 180 44, 58, 81, 530; 2800
Stowell M J 743, 746 167, 546 391, 603; 4688 450, 659; 4700 451, 659
Strehlow W H 268, 269 58, 65, 68, 533 Takamatsu A 4048 84, 425, 426, 642;
Stringfellow GB 23, 238; 184 46, 58, 5 3 1 ; 4140 84, 427, 644
2589 383, 384, 597; 2716 247, 384, 385, Takei H 365 58, 86, 87, 382, 536; 4806
467, 600; 2725 384, 385, 6 0 1 ; 2876 247, 456, 460, 461, 662
392, 605; 2877 247, 392, 467, 605; Takenaka T 2818, 2824 391, 603; 2827
2894 392, 467, 605; 2967 395, 608; 391, 604
2968 238, 249, 395, 608 ; 2969, 2970,3073 Takigawa M 1436 270, 279, 288, 565; 2821
395, 6 1 1 ; 3138 396, 614 251, 391, 603; 2828 139, 391, 604;
Stulz L W 1523 270, 279, 295, 568 2829 391, 604
Stutius W 3290 80, 253, 399, 618; 3293 Takikawa M 3058 396, 468, 612; 5259 507,
80, 399, 618; 3367 80, 401, 469, 621 512, 678
Sugano T 26, 4 1 ; 162 39, 41, 42, 57, 530; Talasek R T 1557 270, 279, 299, 568; 2232
1670 314, 5 7 1 ; 2248 338, 341, 588; 338, 340, 587
4288 432, 648; 4545 441, 446, 655 Tamaki Y 2107 338, 583; 4345 432, 649;
Sugawara K 522-525 108, 145, 157, 540; 4365 432, 472, 650; 4992 465, 470, 471,
577 120, 122, 542; 1399 270, 2 7 7 , 2 7 9 , 493, 668
285, 564 Tamura M 553 197, 550; 1128 231, 232,
Sugiyama K 82; 181 44, 58, 81, 82, 530; 415, 557; 2449 379, 593
Tanaka K 4236 429, 430, 472, 646; 5303
3186 397, 615; 4686 450, 659; 4704
469, 507, 512, 678
452, 659
Sugou S 3201, 3203 80, 397, 468, 616 Tang CC 15i9 314, 320, 324, 575; 4514
Sullivan MV 1513 270, 275, 279, 291, 567; 440, 654; 4515 85, 440, 654
1550 270, 279, 298, 568; 5173 466, 474, Tanikawa E 22, 2 3 ; 4074 80, 4 2 5 - 4 2 8 ,
507, 674 642; 4075 80, 4 2 5 - 4 2 7 , 642
Sunami H 1058 228, 555; 2168 338, 339, Tanno K 2386 80, 376, 5 9 1 ; 2434 377,
415, 585; 4942 465, 491, 666 378, 593
701
A U T H O R INDEX
Tarui Y 1485 270, 275, 279, 292, 567; Tsaur B Y 1121 231, 232, 415, 557; 3581
2350 376, 590; 5361 519 680 · 411, 627; 4887 465, 470, 483, 665;
Tawada Y 4022 84, 420, 421, 504, 640; 5013 465, 493, 494, 669
4013 84, 420, 421, 640; 4017, 4018 84, Tsubouchi N 4 1 ; 2143 41, 338, 584; 2144
420, 421, 471, 640; 5096 466, 502, 671 338, 584
Taylor RC 2626, 2639 384, 385, 598; 2875 Tsui RTC 2 6 ; 1833 314, 324, 576
392, 605; 3571 411, 626; 4794' 456, Tsujide T 4206 429, 472, 645; 4230, 4231
460, 662; 5170 466, 507, 674 429, 430, 646
Teng S J J 3602, 3603 411, 627 Tuck B 2 6 ; 1316 269, 275, 280, 297, 562;
Tenney AS 698 145, 154, 545; 1019 221, 1518 270, 279, 567
554; 1577 270, 301, 312, 569; 4165, Tufte O N 281 58, 65, 68, 533; 3342 400,
4167 428, 644 620
Tews H 2076, 2077 333, 337, 583 Tung S K 2400 172, 377, 592; 4048 139,
Theeten J B 510 101, 127, 138, 540; 772 425, 641; 4180 140, 428, 645; 4202
175 547 301,429, 645
Theuerer HC 21, 23, 26; 2344 138, 347, Turban G 642 137, 543; 3901 137, 418, 419;
376, 590; 2513 380, 467, 595 636; 4319 84, 432, 648
Theunissen M J J 1361 270, 279, 281, 284, Turner GW 4368 432, 472, 650; 4394 432,
563; 1384 270, 279, 284, 564 472, 651
Thomas CO 1184 241, 244, 559; 2484 380,
594; Ukai K 1727 314, 323, 573; 1881 314, 325,
Thomas S 836 185, 549; 965 215, 552 577
Thomas, I I I , J H 2 6 ; 932 212, 5 5 1 ; 933 Unagami T 485; 2166, 2167 338, 339, 585;
212, 215, 551 4888 465, 470, 483, 485, 665
Thompson J D 4768 456, 457, 459, 6 6 1 ; Usui A 2883 392, 605; 3148 396, 614
4772 311, 314, 456, 457, 459, 6 6 1 ;
4773 456, 457, 459, 661 Valdes L B 2 4 1 ; 1163 241, 458
Thompson L R 2 3 ; 223 53, 59, 89, 90, 426, Van De Ven J 1478, 1479 270, 279, 292,
532; 225 53, 59, 89, 90, 426, 432, 532 566
Thornton JA 117 38, 56, 529; 216 49, 58, Van Den Brekel C H J 2 2 ; 352 58, 86, 87,
531; 1617 270, 310, 314, 570 535; 624-626, 628 135, 543; 760 172,
Thorsen AC 3452 180, 404, 406, 623; 3503 546; 3666 414, 629; 4558 441, 655
408, 624; 3507 251, 408, 468, 625 Van Der Meulen Y J 2113 338, 583; 2115
Thrush E J 3123 396, 613; 5240 395, 466, 338, 584; 2159 338, 339, 585
469, 507, 512, 676 Van Der P u t t e P 1322 273, 562; 4539
Tietjen J J 20, 2 3 - 2 7 , 389; 22 19, 20, 81, 440, 655
58, 526; 2582 383, 384, 597; 2701 384, Van Ruyven L J 3550 410, 626; 3628 412,
385, 389, 393, 468, 470, 600; 2705 384, 628
385, 467, 600; 3047 249, 395, 6 1 1 ; Vandenbulcke L 503 99, 100, 540; 4679 140,
3065, 3067 395, 468, 6 1 1 ; 3161 397, 450, 659
614; 3607 411, 627 Vanier P E 3851 84, 418, 635; 3902 137,
Tokunaga K 1800, 1802 314, 318, 319, 418, 419, 637
324, 575 Venables J A 164; 733 163, 164, 170, 174,
T o k u y a m a T 1071 229, 555; 4060425, 471, 641 546; 747 167, 168, 546
Tolansky S 150; 671, 672 144, 149, 544 Veprek S 214 49, 58} 84, 412, 5 3 1 ; 337 58,
Tombs NC 1586 270, 302, 312, 569; 2545 83, 85, 535; 1750 314, 317, 324, 5 7 3 ;
381, 596; 4095 425, 426, 471, 642; 4436 435, 652
4382 210, 432, 472, 650; 4412 424, 432,
Veuhoff E 2714 384, 385, 600; 2751 384,
434, 651
Tracy CE 1141 233, 558; 4719 85, 452, 385, 602
453, 660 Viguie JC 2 2 ; 155 46, 48, 58, 444, 531
Tressler R E 1975 333, 580; 2117 338, 584 Vohl P 2906 393, 606; 3180 397, 615;
Truesdale EA 1686, 1687 314, 315, 572
Tsai CC 3918 4 1 8 - 4 2 0 , 637; 3998 84, 420, 3266 69, 70, 399, 618
Vojak BA 513; 2789 384, 385, 387, 6 0 3 ;
421, 639 4879 465, 468, 473, 483, 664; 5234
Tsang P J 1579 270, 301, 312, 569; 4190 395, 466, 469, 507, 512, 6 7 6 ; . 5235 395,
429, 645 466, 469, 507, 512, 513, 676
Tsao J Y 290 59, 72, 401, 534; 390 59, 87, Von Muench W 2031 336, 475, 5 8 1 ; 2032
88, 439, 536; 399 59, 87, 89, 444, 537; 336, 5 8 1 ; 2542 381, 382, 467, 659;
428 59, 87, 89, 538; 435 59, 87, 88, 2543,2544 381, 382, 596; 2596 69, 384,
443, 538 597; 4194 429, 645
702
AUTHOR INDEX
Vossen J L 20, 47, 448; 2 19, 20, 31, 525; Wilson SR 1079 231, 555; 1136 231, 232,
112, 116 38, 56, 529; 867 193, 550; 415, 557
1690 314, 322, 572; 1835, 1839 314, Winters H F 1410 270, 279, 565; 1677 314,
324, 576; 2289 359, 360, 589; 4039 315, 5 7 1 ; 1939 314, 330, 579
425, 426, 471, 642; 4407 432, 434, Wittberg T N 214; 936 212, 214, 552
472, 6 5 1 ; 4487 438, 653; 4638 47, 447, Wohlheiter VD 2260 302, 345, 346, 348,
448, 474, 657 349, 588; 4353 302, 432, 472, 649
Vu D P 1112, 1114 231, 232, 415, 557 Wolfe CM 506; 885 197, 550; 2608 384,
385, 597; 2687, 2688, 2700 384, 385,
Wada O 1921 314, 329, 578; 3036 395, 468, 600; 5065 466, 468, 500, 670
610 Wong J 843, 844 189, 549; 1020 221, 554;
Wager J F 2186 338, 339, 586; 2250 338, 1145 233, 558; 2024 336, 581; 3757
341, 588; 4104 425, 426, 471, 642 416, 632; 4171, 4172 428, 644
Waggener HA 1331 270, 563; 1388 270, Wong SS 166 39, 42, 57, 530; 2244 338,
276, 279, 284, 564 341, 587
Wajda E S 20, 25, 7 1 ; 284 58, 68, 70, 71 Wronski CR 26, 2 7 ; 3834 84, 418, 634;
533 3931 418, 420, 470, 637; 3992 84, 420,
Walsh R J 708 145, 154, 545; 1323 274, 563 421, 639; 4867 465, 467, 482, 664;
Walton D 167; 740 167, 546 5514 466, 502, 672; 5335 470, 507, 512,
Wang CC 20, 24, 2 5 ; 830 184, 548; 3386 680
361, 404, 407, 408, 468, 6 2 1 ; 3433 404, Wu T Y 477, 478 95, 100, 539
406, 623; 3496, 3497, 3505 251, 408,
624; 4247 70, 430, 474, 647 Yamaguchi K 3309 253, 400, 619; 3310 70,
W a n g K L 495; 4111 425, 426, 471, 643; 253, 400, 504, 619; 3313 70, 400, 469,
5017 465, 471, 472, 493, 496, 669 619
Wang P 2 0 ; 1337 270, 275, 279, 280, 286, Yamaguchi M 171 39, 42, 57, 530; 2187,
563; 4821 465,663 2188 338, 339, 586
Wang P J 2097 393, 606; 3168 397, 615 Yamamoto A 1505 270, 279, 294, 567;
Warekois E P 1537 270, 279, 296, 568; 1633 277, 570; 2230 338, 340, 587
1545 270, 279, 2 9 7 - 2 9 9 , 568 Yamasaki K 2 6 ; 994 218, 553; 1227 241,
Watanabe H 2614, 2615 384, 385, 598; 254, 560; 1842 314, 324, 576
4335 84, 432, 649 Yamashita A 1196, 1197 241, 244, 245,
Watanabe Y 490; 4930 465, 472, 487, 255, 595
489, 490, 666 Yamauchi Y 3105 249, 396, 468, 612
Watts BE 2 0 ; 52 19, 20, 58, 373, 467, Yamazaki T 1761 314, 324, 574; 1809 314,
527; 790 176, 547 320, 324, 575; 4666 447, 658
Wegener H A R 4949 465, 472, 492, 667 Yang J J 2920 247, 393, 606; 2978 3 95,
Weinstein M 3654 411, 626; 3641 412, 628; 608
3648 412, 629 Yasuda H 289 58, 72, 436, 533; 4441 436,
Weissmantel C 3 5 ; 122 34, 55, 529; 123 652
34 35 55 529 Yasuda Y 616, 617 134, 543; 1645 314,
Welch BM 4899, 4900 465, 467, 486, 665 571
Wen DD 492; 4976 465, 470, 472, 492, 667 Yeargan J R 4289 424, 432, 648; 4292 432,
Wessels B W 1228 241, 254, 255, 560; 648
2878 392, 605 Yim WM 2 4 ; 2847 251, 391, 392, 604;
West GA 403, 404 59, 81, 89, 537 3263 70, 251, 399, 618; 3315 70, 251,
White J G 2 8 1 ; 1472 270, 279, 291, 566; 400, 619; 3374, 3375 401, 402, 6 2 1 ;
1482 270, 279, 291, 567 3476 408, 624
White P 4451 436, 473, 652; 4452 436, Yokoyama S 4117 84, 427, 643; 4321 432,
652 648
Whiteley J S 2916 249, 393, 396, 606; Yoshida M 486 95, 100, 539; 552 109, 113,
3118 396, 613 5 4 1 ; 2762 384, 385, 602
Widmer AE 910 210, 5 5 1 ; 3750 416, 6 3 1 ; Yoshida S 2570 80, 381, 382, 596; 2571
3899 ίθ, 418, 419, 636 80, 281, 282, 468, 597; 2576 381, 382,
Wieder H H 2298 367, 589; 2579 383, 384, 597
597; 4906 465, 486, 665 Yoshihara H 322 58, 83, 84, 534; 257 384,
Williams LM 4254 85, 430, 647; 4689 85, 381, 382, 597
450, 659 Yoshikawa A 271, 272 58, 65, 68, 533;
Wilmsen CW 1243 255, 258, 560; 1321 380 59, 81, 88, 419, 536; 3292 80, 399,
269, 562; 2183 338, 339, 586; 2231 618; 3311, 3312 400, 619; 4026 420,
338, 340, 587 421, 640
703
CVD FILM I N D E X
Yoshimi T 550 80, 109, 113, 427, 5 4 1 ; Zanzucchi P J 648 142, 264, 544; 846 189,
945 213, 552 406, 549; 3930 418, 420, 470, 637
Yoshino J 2913 393, 606; 3153 80, 249, Zarowin CB 1700 314, 323, 572; 1782 314,
396, 614 324, 574; 3984, 3985 84, 420, 639
Yous B 4513 440, 654; 4720 452, 453, 660
Zhu LD 2949 80, 393, 607; 5349 469, 507,
Yuan J S 2924 393, 606; 3219, 398, 616
515, 680
Zaininiger K H 26; 87 58, 423, 528; 683 144, Zimmer G 495; 5011 465, 495, 493, 669
150, 545; 1245255, 560; 1289 263, 472, 562 Zirinsky S 4430 435, 473, 652
704
CVD FILM I N D E X
Yoshimi T 550 80, 109, 113, 427, 5 4 1 ; Zanzucchi P J 648 142, 264, 544; 846 189,
945 213, 552 406, 549; 3930 418, 420, 470, 637
Yoshino J 2913 393, 606; 3153 80, 249, Zarowin CB 1700 314, 323, 572; 1782 314,
396, 614 324, 574; 3984, 3985 84, 420, 639
Yous B 4513 440, 654; 4720 452, 453, 660
Zhu LD 2949 80, 393, 607; 5349 469, 507,
Yuan J S 2924 393, 606; 3219, 398, 616
515, 680
Zaininiger K H 26; 87 58, 423, 528; 683 144, Zimmer G 495; 5011 465, 495, 493, 669
150, 545; 1245255, 560; 1289 263, 472, 562 Zirinsky S 4430 435, 473, 652
704
CVD F I L M INDEX
705
CVD FILM INDEX
706
CVD F I L M I N D E X
P b 0 2 452, 453 281, 282, 283, 284, 316, 322, 324, 326,
P b S 299, 401 329, 330, 331, 332, 335, 336, 338, 346,
P b S ^ S e ^ . 240, 401 349, 351, 361, 362, 365, 374, 375-381,
PbSe 240, 300, 401 412, 467, 475, 476, 479, 480, 482, 483,
PbTe 240, 300, 401 '486, 489, 490, 493, 494, 495, 498, 503,
PbTiO a 75, 452, 453 504, 505, 515, 520
P d 48, 146, 211, 307, 444 Si (poly) 23, 24, 26, 39, 53, 58, 80, 84, 85,
P d S i 454 87, 88, 90, 108, 122, 127, 132-134, 135,
Polymers 138, 143, 144, 145, 146, 156, 158, 159,
epoxy polymers 90 161,· 162, 166, 168-170, 171, 175, 176,
metal-containing fluoropolymers 437 180, 185, 186, 188, 189, 190, 199, 203,
miscellaneous organometallic polymers 209, 210, 215, 220, 228, 231, 232, 233,
437 241, 252, 263, 266, 279, 284, 285, 286,
organotin polymers 437 317, 320, 321, 323, 324, 326, 329, 330,
photoresist 319, 327 331, 332, 335, 336, 337, 338, 339, 346,
polyacrilonitril 436 349, 351, 361, 362, 412, 414-416, 426,
polyacrolein 72, 87, 436 470, 481, 485, 487, 488, 489, 490, 492,
polybutadiene 72, 87, 90, 436 492, 493, 495, 496, 503, 504, 509, 511,
polydivinylbenzene 72, 87, 90, 436 511, 519
polymethylmethacrylate 72, 87, 88, 90, SiAsTe 418, 420, 421
436 SiB 450
polymethylvinylketone 436 S i : B : H 80, 84, 186, 188, 195, 203, 205,
polymethylsiloxane 73, 85, 437, 473 210, 215, 420, 421,
poly-/>-xylylene (parylene) 73, 85, 436, SiC (epi) 62, 64, 80, 139, 229, 237, 246,
473, 487 250, 279, 287, 288, 318, 324, 339, 362,
polysilicone 90, 436 365, 375, 381-382, 407, 409, 410, 467,
polysiloxane 258, 259, 280, 319 468
polystyrene 72, 85, 90, 261, 436, 413 SiC (poly) 11, 89, 451, 474, 499, 509
polyvinylidene fluoride 85, 436 S i : C : H 84, 85, 86, 81, 189, 212, 233, 418,
transition-metal-containing polymers 437 420, 421, 471, 504
P t 89, 146, 211, 307, 438, 441, 473 S i : F : H 470
P t S i 454, 455, 474 Si: Ge (epi) 184, 409, 410
Pu 444 Si; G e : B 84, 420, 421, 471
S i : G e : H 84, 87, 420, 421
Re 307, 438, 444 Si: H 23, 24, 27, 39, 51, 52, 80, 84, 87, 88,
ReSi 454 114, 137, 138, 139, 146, 160, 165, 175,
R h 307, 438, 444 180, 184, 186, 188, 189, 190, 195, 205,
RhSi 454 207, 209, 212, 213, 215, 218, 220, 2 2 1 ,
Ru 48, 308, 439 225, 232, 233, 234, 235, 236, 237, 238,
RuO a 453 252, 255, 266, 279, 286, 339, 341, 346,
351, 361, 362, 363, 418-420, 421, 470,
Sb 304, 439, 442 503, 504, 509, 511, 514
Sb 2 O s 452 Si: SiO a ; Si: Si 3 N 4 (SIPOS) 24, 80, 203, 205,
ScAs 401, 402 210, 212, 213, 215, 303, 346, 351, 416,
ScAsP 401, 402 470, 477, 478, 479, 487
ScN 113, 250 Si 3 N 4 23, 24, 32, 51, 52, 53, 58, 59, 70, 76,
ScP 40 1, 402 79, 80, 84, 86, 81, 88, 89, 90, 93, 95->
Si (heteroepi) 61, 144, 145, 146, 160, 171, 98, 99, 100, 108, 110, 113, 116, 121,
174, 176, 180, 181, 185, 186, 188, 190, 122, 125, 127-131, 138, 140, 143, 144,
195, 197, 198, 217, 227, 228, 232, 243, 145, 146, 149, 152, 153, 154, 155, 156,
250, 263, 266, 275, 279, 285, 335, 339, 158, 159, 166, 175, 180, 186, 191, 199,
402, 403, 404-407, 410, 467, 480-482, 200, 205, 209, 210, 212, 214, 215, 219,
493, 494 220, 221, 225, 227, 228, 229, 231, 236,
Si (homoepi) 2 1 , 23, 58, 62, 68, 69, 71> 255, 256, 257, 258, 259, 260, 261, 263,
80, 84, 86, 87, 88, 94, 100, 102, 111· 272, 276, 302, 313, 316, 317, 318, 320,
113, 116, 120, 122, 127, 134, 138, 143» 321, 323, 324, 327, 331, 335, 337, 339,
145, 146, 148, 157, 158, 161, 162, 166> 340, 346, 349, 351, 361, 362, 363, 378,
171, 174, 176, 182, 184, 185, 190, 197, 423, 426, 431-434, 451, 472, 477, 478,
198, 203, 207, 210, 217, 229, 231, 238, 479, 480, 482, 483, 485, 486, 487, 489,
242, 243, 245, 246, 255, 260, 262, 263, 490, 491, 492, 494, 495, 496, 499, 500,
264, 270, 271, 272, 275, 276, 277, 279, 504, 505, 509, 510, 511, 519, 520
707
CVD FILM I N D E X
708
SUBJECT INDEX
Subject Index
709
SUBJECT INDEX
Subject Index
709
SUBJECT INDEX
710
S U B J E C T INDEX
711
SUBJECT INDEX
712
SUBJECT INDEX
713
SUBJECT IHDEX
714
SUBJECT INDEX
715
S U B J E C T INDEX
717
SUPPLIER INDEX