Professional Documents
Culture Documents
Ejercicio 2 Mux VHDL
Ejercicio 2 Mux VHDL
library ieee;
use ieee.std_logic_1164.all;
entity mux is
port(
x0 : in std_logic_vector( 3 downto 0);
x1 : in std_logic_vector( 3 downto 0);
x2 : in std_logic_vector( 3 downto 0);
x3 : in std_logic_vector( 3 downto 0);
s : in std_logic_vector( 1 downto 0);
y : out std_logic_vector( 3 downto 0)
);
end mux;