You are on page 1of 1

{\rtf1\ansi\ansicpg1252\cocoartf2580

\cocoatextscaling0\cocoaplatform0{\fonttbl\f0\fswiss\fcharset0 Helvetica;}
{\colortbl;\red255\green255\blue255;\red0\green0\blue0;\red255\green255\blue255;}
{\*\expandedcolortbl;;\cssrgb\c0\c0\c0\c87059;\cssrgb\c100000\c100000\c100000;}
\margl1440\margr1440\vieww11520\viewh8400\viewkind0
\deftab720
\pard\pardeftab720\partightenfactor0

\f0\fs26 \cf2 \cb3 \expnd0\expndtw0\kerning0


\outl0\strokewidth0 \strokec2 SUMADOR CON SIGNO:\
--UN SUMADOR CON SIGNO GENERICO DE N-BITS\
library ieee;\
use ieee.std_logic_1164.all;\
use ieee.numeric_std.all;\
\
\
entity adder is\
generic(N: natural:=8);\
port(\
x0 : in std_logic_vector(N-1 downto 0);\
x1 : in std_logic_vector(N-1 downto 0);\
y : out std_logic_vector(N-1 downto 0);\
);\
end adder;\
architecture arq_sum of adder is\
begin\
y<= std_logic_vector(unsigned(x0)+unsigned(x1));\
end arq_sum;}

You might also like