Professional Documents
Culture Documents
Cepeda Patricio - Desafio Sistemas Digitales 1
Cepeda Patricio - Desafio Sistemas Digitales 1
DESAFÍO
Nombre: Brayan Patricio Cepeda Leon
library IEEE
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_unsigned.all;
entity multiplexor is
port(A : in std_logic_vector(2 downto 0);
E: in std_logic_vector(1 downto 0);
begin
with E select
f<= (others => '0')when "00",A when "01", not A when "10",
(others => '1')when "11",(others=> '0')when others;
end arch_multiplexor;
//segunda parte
library IEEE
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_unsigned.all;
entity binsum is
end binsum;
//tercera parte
library IEEE
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_unsigned.all;
entity suma_resta is
port(a,b: in std_logic_vector (2 downto 0);
car_out: std_logic);
out_binsum: out std_logic_vector (2 downto 0);
end suma_resta;