Professional Documents
Culture Documents
use ieee.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity rom8x4 is
port (adresa : in std_logic_vector (2 downto 0);
cs_rom: in std_logic;
iesire : out std_logic_vector (3 downto 0));
end entity rom8x4;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity registru is
port(intrare: in std_logic_vector(2 downto 0);
mod_r: in std_logic;
iesire: out std_logic_vector(2 downto 0));
end entity;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity simulare is
port(intrare1: in std_logic_vector(2 downto 0);
mod_r1: in std_logic;
iesire1: out std_logic_vector(3 downto 0));
end entity;
component rom8x4 is
port (adresa : in std_logic_vector (2 downto 0);
cs_rom: in std_logic;
iesire : out std_logic_vector (3 downto 0));
end component rom8x4;
component registru is
port(intrare: in std_logic_vector(2 downto 0);
mod_r: in std_logic;
iesire: out std_logic_vector(2 downto 0));
end component;