You are on page 1of 1

--------------------------------------------------------------------------------

-- Nombre: alvin meza negrete


-- Documento: 92231682
-- Fecha: 29-10-21
--------------------------------------------------------------------------------

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;

entity <nombre> is
Port ( : in STD_LOGIC;
in STD_LOGIC;
: in STD_LOGIC_VECTOR (4 downto 0);
: in STD_LOGIC_VECTOR (5 downto 0);
: out STD_LOGIC_VECTOR (5 downto 0)
);
end <nombre> ;

architecture Behavioral of <nombre> is

begin

end Behavioral;

You might also like