You are on page 1of 7

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/336104215

Deep State Encryption for Sequential Logic Circuits

Preprint · September 2019

CITATIONS READS
0 242

3 authors, including:

Yasaswy Kasarabada Ranga Vemuri


University of Cincinnati University of Cincinnati
7 PUBLICATIONS   22 CITATIONS    367 PUBLICATIONS   3,710 CITATIONS   

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Secure Finite State Machine Synthesis View project

Side Channel Attack Resistance (SCAR) View project

All content following this page was uploaded by Yasaswy Kasarabada on 27 September 2019.

The user has requested enhancement of the downloaded file.


Deep State Encryption for Sequential Logic Circuits
Yasaswy Kasarabada, Sudheer Ram Thulasi Raman and Ranga Vemuri
Digital Design Environments Laboratory, University of Cincinnati, Cincinnati, OH U.S.A.
kasarayv@mail.uc.edu, thulassm@mail.uc.edu and ranga.vemuri@uc.edu

Abstract—Logic encryption has been proposed as a poten- • The effectiveness of the encryption scheme against the
tial solution to the hardware IP piracy problem. Naive logic Sequential SAT attack is evaluated using benchmark
encryption methods were shown to be susceptible to Boolean circuits that have been used in related research on this
satisfiability (SAT) based attacks. In addition, the recently pro-
posed Sequential SAT attack is able to decrypt many encrypted topic [13]–[17].
sequential logic circuits. This paper introduces a new logic Section II summarizes previous research in logic encryption
encryption scheme that encrypts a sequential circuit on the for sequential circuits. New techniques to discover deep states
occurrence of a chosen deep state. Two novel techniques to select
a suitable deep state from the gate-level netlist of the design have are discussed in Section III. Section IV details the encryption
been introduced. The attack resiliency of the proposed encryption method. The experimental results are presented in Section V.
technique against the sequential SAT attack is demonstrated
using several standard benchmark circuits. II. BACKGROUND
Index Terms—Logic Encryption, SAT attack, Sequential Cir-
cuits, Model Checking, Signal Probability Analysis, Circuit Sim- HARPOON [16] is a logic encryption scheme that modifies
ulation the sequential circuit such that the finite state machine (FSM)
transitions from the initial ‘obfuscation mode’ to the normal
I. I NTRODUCTION mode only after the correct key sequence is applied. This
Recent trend towards outsourcing of IC fabrication has method was enhanced in [17] by adding key dependency
led to the development of hardware IP protection techniques to each state of the FSM. On application of a wrong key
against overproduction, counterfeiting and reverse engineering during normal operation, the system is deflected into a black
[1], [2]. IC camouflaging [3], split manufacturing [4] and logic hole cluster. [16] and [17] require an explicit FSM while the
encryption [5] are among the most promising techniques to proposed methods in this paper begin with a gate level netlist.
protect the design from potential threats. Logic encryption The Sequential SAT attack algorithm proposed in [15],
methods add additional inputs called key inputs to the circuit begins by unrolling the sequential design for unr (=2) clock
such that the outputs are corrupted for some or all of the input cycles and attacking the unrolled combinational equivalent
vectors when incorrect key values are applied [5]–[8]. with the SAT attack algorithm [9]. If the obtained key is
Early logic encryption techniques proposed the insertion of determined to be incorrect using the oracle or the unlocked
key-controlled XOR/XNOR gates either at random signals in IC, the attack continues by unrolling the design for unr + 1
the circuit [5] or at signals selected based on fault analysis clock cycles. This continues till a satisfiable key assignment
[6]. However, these methods were evaluated to be ineffective is obtained. The authors have shown that the proposed at-
by the introduction of the Satisfiability (SAT) based attack tack method is able to successfully decrypt ISCAS ’89 and
[9]. This SAT attack assumed that the attacker has access ITC’99 benchmark circuits encrypted using random key-gate
to a decrypted IC and used distinguishing input patterns to insertions and the Encrypt Flip-flop techniques [14].
iteratively eliminate equivalence classes of keys to obtain
the correct key assignment. Subsequently, many encryption III. D EEP S TATE I DENTIFICATION
techniques to make the SAT attack infeasible were proposed Prior research on logic encryption for sequential circuits
[7], [8], [10]. However, most of them proved unsuccessful at has shown the potential of logic encryption techniques to
thwarting improved SAT [11] and removal attacks [12]. exponentially increase the SAT attack time by leveraging
Many logic encryption methods assumed that the SAT-based states or state transitions that occur rarely [18]. With the
attack was ineffective against sequential circuits if the scan- use of such techniques, the SAT attack needs to force the
chain is absent or corrupted [13] [14]. However an effective sequential design to reach the chosen deep state or undergo the
Sequential SAT attack was recently proposed [15]. Therefore, chosen transition before it can decrypt the correct key value.
a strong encryption method for sequential designs without scan Therefore, states embedded deep into the state machine are
chains that is able to thwart the Sequential SAT attack is considered suitable for encryption. In this section we describe
required. This paper aims to present such a robust scheme. two novel techniques to discover potential deep states from a
The contributions of this paper are as follows : gate-level netlist.
• Two novel techniques to extract deep state information
from the gate level netlist of a sequential circuit are A. Circuit Simulation Method
proposed. Circuit simulation can be used as a method to obtain
• An effective encryption method that is triggered by the information regarding the states and transitions of a sequential
occurrence of the extracted deep state is proposed. circuit. We use simulation to construct a partial state transition
Algorithm 1 Deep State Identification using Circuit Simula- Algorithm 1 show the partial STG construction process.
tion (DESIDE-SIM) Typically, most sequential designs start from a reset state
Inputs: Sequential design S(X, ~ Y ~ ); Simulation parameters Vr . We set the first input vector in all T sets of input vector
T, Q; BMC bound b sequences such that the first state in V Q is the reset state Vr .
Outputs: Candidate State Vdeep Deep states in the STG are a set of nodes with maximum
1: ST GP (V P , E P ) ← (φ, φ), t ← 1 depth. Depth of a state Vi is defined as the number of states
2: while t ≤ T do in the shortest path from the reset state Vr to the state Vi .
3: (V Q , E Q ) ← simulate(S, Q, Vr ) Finding deep states can be accomplished by using a depth
4: V P ← V P ∪ V Q, EP ← EP ∪ EQ first search (DFS) algorithm, after breaking the cycles in the
5: t←t+1 partial STG (line 7), to yield the set of states V deepest (line 8).
6: end while It is important to note that although V deepest contains all the
7: ST GP N C ← break cycles(ST G )
P deepest states in ST GP , there is no assurance that the states
8: V deepest ← DFS(ST GP NC , Vr ) in V deepest are also the deepest states in the complete STG
9: Vdeep ← Vr , CEXdeep ← φ of the sequential design.
10: for j ← 1 to |V deepest | do To pick a single state from the set V deepest , a G(¬Vj )2
11: if BM C(S, G(¬Vj ), b) then call for each state Vj ∈ V deepest is given to a bounded model
12: Vdeep ← Vj checker (BMC) with a pre-determined bound b. If the BMC
13: break returns true for a specific state, it is considered to have a depth
14: end if of at least b states in the complete STG and hence can be set
15: CEXj ← counter example(BM C(S, G(¬Vj ), b)) as the state Vdeep chosen for encryption. If BMC returns false
16: if len(CEXdeep ) < len(CEXj ) then for all states in V deepest , the state Vj with the longest length
17: Vdeep ← Vj , CEXdeep ← CEXj of a counter example CEXj from the BMC call is chosen for
18: end if encryption. Lines 9-19 in Algorithm 1 show this process.
19: end for
B. Signal Probability Analysis Method
20: return Vdeep
Signal probability analysis (SPA) [19] is the technique
graph (STG)1 of the design as described below. The algorithm for calculating the probability that a given signal achieves
for the simulation based deep state identification (DESIDE- a specific logic value. We use signal probability analysis
SIM) technique is shown in Algorithm 1. to calculate the probabilities of the state register flip-flops.
If the entire gate level netlist of a sequential circuit is Algorithm 2 describes the signal probability analysis based
accessible, a large vector sequence X Q ={X ~ 1, X
~ 2 , ..., X
~ Q} deep state identification technique (DESIDE-SPA).
can be applied to the primary inputs of the design and the Typically, signal probability (SP) calculation begins with
data values of all signals in the netlist can be monitored over assumed probability values for the primary inputs. SP values
Q clock cycles to generate a set of signal value sequences. are propagated till the signal probabilities of the required
The logic value at the output of each state-register flip-flop Li nets are computed. Although this technique is straightforward
(∈L) can be extracted from the generated set of signal values. when applied to combinational circuits, sequential feedback
Since the extracted signal values relate to the set of states loops hinder such a straightforward approach when used for
V Q ={V1 , V2 , ..., VQ } reached by the design when the input sequential designs. We assume that there are no scan chains
sequence X Q is applied, an STG can be constructed from the in the circuit.
set of state transitions E Q ={E1 , E2 , ..., EQ−1 } where Ei = Our process begins by unrolling the sequential circuit S for
(Vi →Vi+1 ). Since the states in V Q are achieved by the design u = 2 clock cycles and the probability analysis approach in
using the specific input sequence X Q , the resultant STG is not [19] is applied to the unrolled combinational equivalent S u .
the complete STG of the design. To improve the accuracy of The SP values at the outputs of the state register flip-flops
the partial STG, the simulation process can be repeated using from the final copy of S in S u are recorded. Subsequently,
multiple distinct input vector sequences. We use T sets of the SP values at the outputs of the flip-flops from the final
pseudo-random vector sequences to obtain T unique sets of copy of S u+1 are stored. The differences in the SP values
states and state transitions. Iteratively, the T sets are added obtained from S u and S u+1 are computed. If the difference
to the set of states V P and the set of state transitions E P in any SP value exceeds a pre-determined limit problimit , the
to produce the partial STG, ST GP . Our experimental testing process is repeated with u = 3. If the differences in all SP
has shown that each simulation run adds a large number of values stay under the limit for a particular value u, the process
new states and state transitions to ST GP , thus significantly exits returning the SP values of the flip-flops obtained from the
improving the accuracy of the partial STG. Lines 2-6 in last copy of S u+1 . These values are classified as the desired
signal probability (SP) values of the state register flip-flops.
1 Partial STG construction is discussed for the ease of analyzing the
This process is summarized in lines 1-7 in Algorithm 2.
technique. During experimentation, a state vs. depth metric is generated for
all states reached by the design which is used to determine the deep state.
Explicit STG construction is not necessary. 2 G(p) call checks whether an atomic property p holds globally.
Algorithm 2 Deep State Identification using Signal Probability
Analysis (DESIDE-SPA)
Inputs: Sequential design S(X, ~ Y~ ), Probability limit
problimit , Depth limit depthlimit
Outputs: Candidate State Vdeep
1: u ← 1
2: do
3: u←u+1
4: S u ← unroll(S, u), S u+1 ← unroll(S, u + 1) Fig. 1. Overview of the Encryption Scheme
5: SP u ← state prob(S u ), SP u+1 ← state prob(S u+1 )
u+1 u A. Payload Selection
6: while |SPLi − SPL i
| > problimit ∀ Li ∈ L
7: SP ← SP u+1 Sequential Controllability (SC0, SC1) and Sequential Ob-
8: Vdeep ← φ, InvalidStates ← φ, CEX ← φ
servability (So) are important attributes related to testability
9: while len(CEX) < depthlimit do
of a design. SC<x> of a signal s is defined as the minimum
10: InvalidStates ← InvalidStates ∪ {Vdeep } number of clock cycles required to set the signal s to a logic
11: Vdeep ← least probable state(SP, InvalidStates) value x where x ∈ {0, 1} whereas So of a signal s is defined
12: while U M C(S, G(¬Vdeep )) do as the minimum number of clock cycles required to observe
13: InvalidStates ← InvalidStates ∪ {Vdeep } the value on signal s at an output pin. To ensure that the
14: Vdeep ← least probable state(SP, InvalidStates) corruption of a payload signal is observable while keeping its
15: end while controllability low, the SC<x> and So values are computed
16: CEX ← counter example(U M C(S, G(¬Vdeep )) (using the Sandia Controllability and Observability Analysis
17: end while
Program (SCOAP) [20]) and used to devise a new method to
18: return Vdeep
select the payload signals.
The payload selection algorithm begins by identifying a set
From the signal probability values, the logic value for of all signals in the netlist, out of which signals lying on the
each flip-flop with the lowest probability is selected. Selecting critical path as well as signals in the transitive fan-in (TFI)
these flip-flop values yields the state Vdeep that has the least of any state register flip-flop are removed to obtain a set of
probability of occurring. However, caution must be taken candidate signals Csig . Using SCOAP, the SC0, SC1 and So
before declaring Vdeep as the chosen deep state. There exists values for each candidate
√ signal are determined. Using So and
a possibility that Vdeep never actually occurs, i.e. Vdeep is the metric SC (= SC02 + SC12 ), all signals in Csig are
unreachable. In this case, if Vdeep is chosen for encryption, ordered first on the basis of maximum observability (low So
the system will offer virtually no protection. Therefore, it is values) and then on the basis of minimum controllability (high
critical to determine if the sequential design is able to reach the SC values) for signals with equal So values. From the set of
chosen state Vdeep . This can be achieved by using a G(¬Vdeep ) ordered candidate signals, a set of payload signals is chosen
call to an unbounded model checking tool as shown in line on the basis of maximum number of primary outputs in the
11 of the algorithm. If the model checker returns false and combined transitive fan-out (TFO) of all signals in the set. It
the length of the counter-example CEX exceeds a chosen is ensured that there is minimal overlap between the TFO of
limit depthlimit (line 10), Vdeep is selected as the deep state each individual signal in the set. This selection process ensures
for encryption. If any of these two conditions fail, Vdeep is that any corruption on the payload signals will be observed at
classified as an InvalidState (line 12). The state with the next a primary output (due to high observability) while keeping
lowest probability of occurrence is selected and checked by the the probability of the effect of one corrupted payload signal
model checker. This process is repeated till a suitable deep negating the effect of corruption of a different payload signal
state Vdeep that occurs in the STG is obtained. The obtained low (due to the minimal amount of overlap in the TFO). In
deep state is chosen for encryption. Figure 2, output of gate G17 is selected as the payload for
illustration purposes.
IV. D EEP S TATE E NCRYPTION B. Camouflaged Detection Block Generation
By design, the selected payload must be corrupted only
In this section, we describe a novel encryption scheme that when the chosen deep state Vdeep occurs. Therefore, the
uses the deep state information obtained from the DESIDE encryption logic must be able to detect the occurrence of state
techniques to effectively encrypt the design for maximum Vdeep , i.e. when each state register flip-flop Li attains the logic
protection. The encryption logic consists of a Camouflaged value corresponding to its value in Vdeep . To accomplish this,
Detection block, a Key Detection block, a c-bit counter, the state register outputs are fed to a NAND/AND-tree based
a payload Corruption block and a payload Recovery block detection logic. The output of the detection logic becomes
as shown in Figure 1. For the purpose of illustration, the high when the state Vdeep occurs; else it stays low. Due
encryption scheme applied to the s27 ISCAS ’89 benchmark to the highly recognizable structure of typical NAND/AND-
circuit is shown in Figure 2. tree based detection logic blocks, they may be susceptible to
before the effect of encryption is visible at the outputs. Using
a c-bit counter, the value of CV can range from 0 to 2c −1.
The value of c and CV can be chosen by the IP designer on
the basis of the amount of protection needed and the amount
of area overhead that can be tolerated. Since neither c nor CV
is known to the attacker, counter insertion adds another level
of protection to the encrypted design. An important point to
note is that due to the fact that Vdeep is estimated to occur
rarely, the occurrence of a logic 1 on CountOut is even more
rare, leading to a scenario where the error rate might become
Fig. 2. Encrypted Circuit for benchmark s27 too low. Such a scenario causes a decrease in security offered
by the encryption block [6]. To avoid this, combinational logic
structural analysis attacks where the attacker can identify the
is added to the Counter block to ensure that CountOut stays
detection block and extract information about the state Vdeep .
high once it attains a logic 1. This ensures that once Vdeep
To prevent such attacks, the detection logic is camouflaged3 .
occurs CV times, a wrong key applied to the key inputs will
In the Camouflaged Detection Block, the state register outputs
corrupt the payload forever forcing the circuit into a permanent
are fed to camouflaged inverter/buffer gates [3] which are
corrupted state.
connected to the detection logic to form the output of the
Camouflaged Detection Block, CamoOut. Now, the attacker D. Key Detection Block
cannot use structural analysis to directly identify the state bit If the correct key value is applied to the key inputs,
values that set CamoOut to a logic value 1 and hence the encrypted payload signals must hold the same values as the
complexity of the attack process is greatly increased with min- respective unencrypted payload signals. As discussed in the
imal overhead4 . For successful decryption, the attacker must previous section, the Corruption block corrupts the payload
either correctly identify the logic for all camouflaged gates when the state Vdeep occurs CV times, i.e. when CountOut
(usually through a destructive delayering process [22]) before goes high. At this point, if the correct key value is applied
attacking the encryption block or model the camouflaged gates to the key inputs, the corrupted payload must be corrected
as additional encryption gates [21] and significantly increase to yield the correct payload values. However, if a wrong key
the key space needed to be explored by SAT to find the correct is applied, the payload must stay corrupted. To ensure this
key assignment. Figure 2 shows the Camouflaged Detection behavior, the Key Detection Block and the Recovery Block are
Block in blue. When the state Vdeep occurs, the outputs of all designed, shown in Figure 2 in green and purple respectively.
camouflaged gates CG (in blue) turn high, in turn setting the The output of the Key Detection Block KeyOut goes high
logic at the output of the AND-tree to a logic value 1. when the correct key is applied and the state Vdeep occurs.
C. Counter Insertion For the example shown in Figure 2, the correct key value is
chosen to be the same as the state value Vdeep . This can be
Corrupting the payload on each occurrence of Vdeep can changed by changing the appropriate XNOR gates (in green) to
cause the SAT attack to successfully decrypt the circuit with XOR gates. If either the correct key is not applied or the state
true depth + 1 unrolls, where true depth is the depth of the Vdeep does not occur, KeyOut remains low. The KeyOut
state in the complete STG. Since the DESIDE techniques are signal is ANDed with the CountOut signal (output of the
inherently probabilistic and are unaware of the complete STG, grey block) and fed to the Recovery block to ensure that the
guaranteeing a very large true depth value for Vdeep is difficult. corrupted signal values will be recovered when both KeyOut
Therefore, a Counter Block with a c-bit counter is added to and CountOut become high.
the circuit. The output of the Camouflaged Detection Block,
CamoOut is connected to the input of the Counter Block, V. R ESULTS
highlighted grey in Figure 2. This block is designed such that To evaluate the effectiveness of the DESENC-SIM
the counter value increments only when the signal CamoOut (DESENC with DESIDE-SIM) and DESENC-SPA (DESENC
goes high, i.e. state Vdeep occurs. When the counter value with DESIDE-SPA) techniques against the Sequential SAT at-
reaches a certain pre-determined value CV , known only to tack, 20 benchmarks from the ISCAS ’89 suite, shown in Table
the designer, the output of the Counter Block, CountOut I, are encrypted using both techniques. These benchmarks have
connected to the Corruption Block goes high. This activates been used in related works in logic encryption [13]–[17]. The
the Corruption Block, shown in red, and corrupts the selected following sections describe the encryption methodology, attack
payload signals (output of gate G17 in Figure 2). This scheme setup and results of the experimentation. All experiments were
ensures that the state Vdeep needs to occur at least CV times run on a ThreadRipper 1950x 4GHz with 64GB memory.
3 Camouflaging is a layout-level technique of adding dummy contacts to A. Encryption Methodology
standard gates to prevent the attacker from correctly resolving the functionality We first classify the benchmarks from Table I into two
of the camouflaged gate [6], [21].
4 In our design, each camouflaged inverter adds 2 transistors whereas a groups - Group A (all benchmarks with less than 25 flip-
camouflaged buffer has an overhead of 4 transistors. flops) and Group B (all benchmarks not included in Group
TABLE I If the SAT attack returns fewer keys than asked for, the attack
B ENCHMARK CIRCUITS DESCRIPTION is considered a success for the particular value of unr. This
BENCH PI PO DFF GATES BENCH PI PO DFF GATES follows from the fact that the correct key is guaranteed to
s298 3 6 14 121 s838 34 1 32 422 be returned by the SAT attack and the attacker can obtain this
s400 3 6 21 155 s953 16 23 29 371 key by testing the encrypted netlist against the unlocked oracle
s349 9 11 15 168 s1423 17 5 74 624
s526 3 6 21 193 s5378 35 49 179 1393 circuit using all returned keys [15]. If the SAT attack returns
s444 3 6 21 202 s9234 19 22 228 2014 as many keys as asked by the algorithm, the decryption is
s420 18 1 16 208 s13207 31 121 669 3777 assumed to have failed for the particular value of unr due
s820 18 19 5 236 s15850 14 87 597 4267 to the impracticality of assuming that the attacker can test all
s510 19 7 6 253 s35932 35 320 1728 9331
s1196 14 14 18 461 s38584 12 278 1452 12202 keys for all unroll counts. Therefore, in this scenario unr is
s1488 8 19 6 521 s38417 28 106 1636 13713 incremented by 1 and the attack process is repeated. During
any of the above scenarios, if unr is found to reach a value
A). Benchmarks in both groups are encrypted using DESENC-
greater than the upper limit (=64) the decryption algorithm is
SIM. To contain the area overhead, if the number of flip-
stopped and the benchmark is considered to be SAT attack
flops in the design is greater than 32, top 32 flip-flops from
resilient. The attack methodology is adapted from [15].
V deepest are chosen to build the state Vj checked by the
For Group B, the attack method is similar to the attack
BMC. Similarly, the key size is limited to 32. Camouflaged
method of Group A with two changes. As described in [15],
gates are modelled as additional key-controlled XOR gates,
the value of the number of flip-flops on the largest flip-flop
effectively increasing the key size. T =25 sets of simulations
chain with no sneak paths determines the lower bound for
are performed for Q=100, 1,000 or 10,000 clock cycles based
unrolling the sequential design. Therefore, the attack is started
on the size of the circuit. All simulation are started from
by setting unr to the calculated lower bound value. Secondly,
the reset state Vr . For the BMC step, a bound of 50 is set
when the SAT attack returns as many keys as asked by the
for benchmarks in Group A and a bound of 100 is set for
algorithm, unr value is doubled instead of incrementing by
benchmarks in Group B. The maximum time taken to encrypt a
1. Since, for large benchmarks each unrolled copy adds a
benchmark circuit using DESENC-SIM was under 25 minutes.
large number of states explored by the SAT attack, doubling
The DESIDE-SPA algorithm starts from the least probable
the circuit copy size will allow the SAT attack to explore
state and traverses the state space in increasing order of
significantly larger number of states in the next iteration, thus
probability to determine a deep state which actually occurs.
allowing for faster decryption times.
Since the state space needed to be pruned increases expo-
nentially with a linear increase in the number of flip-flops, C. Experimental Results
the DESIDE-SPA algorithm does not scale well for large
Table II presents the results of applying the Sequential
benchmarks. Additionally, during experimentation, the UMC
SAT attack on all the benchmarks encrypted using DESENC-
step in DESIDE-SPA is performed by NUSMV [23], an open
SIM and all benchmarks from Group A (column 1) encrypted
source model checker which has limited capacity due to state
with DESENC-SPA (columns 8-13). The column unr shows
space explosion. Due to these limitations, evaluation of the
the total number of unrolls needed by the attack method
DESIDE-SPA encryption technique is performed using bench-
to successfully decrypt the benchmark. A ‘-’ in the unr
marks from Group A only. All probability values for primary
column indicates that the SAT attack was unable to find the
inputs are set to 0.5. Encryption on the largest benchmark
correct key for any value of unr less than the set maximum
circuit using DESENC-SPA was completed under 40 minutes.
value (=64). Therefore, the benchmark is considered to be
To evaluate the effectiveness of the Camouflaged Detection
SAT attack resilient. As expected, for each benchmark cir-
Block and the Counter Block, the benchmarks are encrypted
cuit, the unroll count for the CamoCount variation of the
using two variations of DESENC - (1) Naive - no counter
encrypted design is much higher than the unroll count for the
(c=0) and no camouflaged gates, and (2) CamoCount - counter
Naive variation. For almost all benchmarks, the CamoCount
width 4 (c = 4) with added camouflaged gates. For Camo-
design is SAT attack resilient. The respective tao columns
Count, the value of CV is set to the maximum value of 15.
that list the total area overhead measured in terms of the
B. Decryption Methodology percentage of gates added to the design, show that with a
For Group A, the Sequential SAT attack method [15] starts minimal increase in area overhead a significantly higher level
by unrolling the sequential design for unr(= 2) unrolls and of protection is achieved using the Camouflaged Detection
the combinational SAT attack [9] is applied on the unrolled Block and the Counter Block. Additionally, for most large
combinational equivalent circuit using the corresponding soft- benchmarks, encrypting with DESENC-SIM technique using
ware [24]. If the key size is less than 10, SAT attack is asked the Naive scheme is sufficient to make the circuit SAT attack
to return 8 keys; if key size is less than 20, 64 keys are asked resilient. This shows the ability of the DESIDE-SIM technique
from the SAT attack; else the SAT attack is asked to return in accurately finding a deep state from the design for larger
512 keys. The SAT attack is run for 12 hours for each unrolled circuits. Similar to DESENC-SIM, CamoCount scheme using
copy. If the SAT attack takes more than 12 hours to decrypt DESENC-SPA provided better attack resiliency than the Naive
the circuit, unr is doubled and the attack process is repeated. scheme. For some benchmarks, namely s400 and s526, the
TABLE II
DESENC RESILIENCY AGAINST SAT ATTACK

DESIDE-SIM DESIDE-SPA DESIDE-SIM


BENCH Naive CamoCount Naive CamoCount BENCH Naive CamoCount
unr tao ext unr tao ext unr tao ext unr tao ext unr tao ext unr tao ext
s298 15 35.5 21s - 52.9 54s 11 34.7 6s - 52.9 13m s838 - 19.9 2m - 23.7 3m
s400 17 38 2m - 52.9 2m - 35.4 5h - 52.9 16m s953 11 37.7 24s - 43.3 21h
s349 8 30.9 3m - 45.2 76h 7 30.9 2m - 45.2 26h s1423 12 10.4 5h - 16.6 7h
s526 20 31.6 4m - 42.5 28m - 29 6h - 42.5 34m s5378 8 9.8 1s - 10.7 48h
s444 18 35.9 3m - 50.0 24m - 32.9 12h - 50.0 4h s9234 - 4.3 2h - 5.5 1h
s420 - 19.2 40s - 27.4 5s - 19.7 46s - 27.4 2m s13207 - 3.8 8h - 4.3 2m
s820 6 8.4 0.5s - 15.2 1h 9 7.2 1s - 15.2 58m s15850 - 1.8 8h - 2.8 2m
s510 48 9.4 2m - 16.6 46m 32 11 37s - 17.4 45m s35932 - 4.1 - - 4.4 -
s1196 4 13.4 40s 34 18.8 24h 4 14.3 37s - 18.8 26h s38584 - 0.8 - - 1.1 -
s1488 15 8.6 30s - 12.3 5h 16 8.6 39s - 11.9 17h s38417 - 1.3 - - 1.5 -

Naive DESENC-SPA scheme is SAT attack resilient whereas [9] P. Subramanyan, S. Ray, and S. Malik, “Evaluating the security of
the Naive DESENC-SIM scheme is not. This can be attributed logic encryption algorithms,” in Hardware Oriented Security and Trust
(HOST), 2015 IEEE International Symposium on. IEEE, 2015, pp.
to the probabilistic nature of the DESENC-SIM technique. The 137–143.
execution times for decrypting each encrypted circuit are listed [10] M. Yasin, B. Mazumdar, O. Sinanoglu, and J. Rajendran, “CamoPerturb:
in the ext column. A ‘-’ in the ext column indicates that the Secure IC camouflaging for minterm protection,” in Computer-Aided
Design (ICCAD), 2016 IEEE/ACM International Conference on. IEEE,
SAT attack was unable to handle the benchmark after a certain 2016, pp. 1–8.
unr value. [11] K. Shamsi, M. Li, T. Meade, Z. Zhao, D. Z. Pan, and Y. Jin, “AppSAT:
Approximately deobfuscating integrated circuits,” in Hardware Oriented
VI. C ONCLUSION Security and Trust (HOST), 2017 IEEE International Symposium on.
This paper introduced two novel techniques to obtain deep IEEE, 2017, pp. 95–100.
state information from the gate-level netlist of a sequential [12] M. Yasin, B. Mazumdar, O. Sinanoglu, and J. Rajendran, “Removal at-
tacks on logic locking and camouflaging techniques,” IEEE Transactions
design. The first technique uses simulation to build a partial on Emerging Topics in Computing, no. 1, pp. 1–1, 2017.
STG of the design from which the deepest state is chosen. The [13] M. El Massad, S. Garg, and M. Tripunitara, “Reverse engineering cam-
second method involves using signal probabilities of the state ouflaged sequential circuits without scan access,” in 2017 IEEE/ACM
International Conference on Computer-Aided Design (ICCAD). IEEE,
register flip-flops along with a model checker to find the least 2017, pp. 33–40.
probable occurring state. Additionally, a unique encryption [14] R. Karmakar, S. Chatopadhyay, and R. Kapur, “Encrypt flip-flop: A
scheme that utilizes sequential controllability/observability novel logic encryption technique for sequential circuits,” arXiv preprint
arXiv:1801.04961, 2018.
values while incorporating key-state dependence and camou- [15] Y. Kasarabada, S. Chen, and R. Vemuri, “On SAT-based attacks on en-
flaging gates to efficiently encrypt the design for maximum crypted sequential logic circuits,” in Quality Electronic Design (ISQED),
resiliency against the Sequential SAT attack is proposed. 2019 20th International Symposium on. IEEE, 2019.
[16] R. S. Chakraborty and S. Bhunia, “Harpoon: an obfuscation-based soc
Results from decrypting various benchmarks encrypted using design methodology for hardware protection,” IEEE Transactions on
the described techniques demonstrated the effectiveness of the Computer-Aided Design of Integrated Circuits and Systems, vol. 28,
proposed schemes. no. 10, pp. 1493–1502, 2009.
[17] J. Dofe and Q. Yu, “Novel dynamic state-deflection method for gate-level
R EFERENCES design obfuscation,” IEEE Transactions on Computer-Aided Design of
[1] J. Villasenor and M. Tehranipoor, “The hidden dangers of chop-shop Integrated Circuits and Systems, vol. 37, no. 2, pp. 273–285, 2018.
electronics: Clever counterfeiters sell old components as new threatening [18] T. Meade, Z. Zhao, S. Zhang, D. Pan, and Y. Jin, “Revisit sequential
both military and commercial systems,” IEEE Spectrum (cover story), logic obfuscation: Attacks and defenses,” in Circuits and Systems
October 2013. (ISCAS), 2017 IEEE International Symposium on. IEEE, 2017, pp.
[2] M. M. Tehranipoor, U. Guin, and D. Forte, “Counterfeit integrated 1–4.
circuits,” in Counterfeit Integrated Circuits. Springer, 2015, pp. 15–36. [19] V. D. Agrawal, “Mutually disjoint signals and probability calculation in
[3] J. Rajendran, M. Sam, O. Sinanoglu, and R. Karri, “Security analysis digital circuits,” in Proceedings of the 8th Great Lakes Symposium on
of integrated circuit camouflaging,” in Proceedings of the 2013 ACM VLSI (Cat. No. 98TB100222). IEEE, 1998, pp. 307–312.
SIGSAC conference on Computer & communications security. ACM, [20] L. H. Goldstein and E. L. Thigpen, “SCOAP: Sandia controllabil-
2013, pp. 709–720. ity/observability analysis program,” in 17th Design Automation Con-
[4] S. Chen and R. Vemuri, “Improving the security of split manufacturing ference. IEEE, 1980, pp. 190–196.
using a novel beol signal selection method,” in Proceedings of the 2018 [21] M. El Massad, S. Garg, and M. V. Tripunitara, “Integrated circuit (ic)
on Great Lakes Symposium on VLSI. ACM, 2018, pp. 135–140. decamouflaging: Reverse engineering camouflaged ics within minutes.”
[5] J. A. Roy, F. Koushanfar, and I. L. Markov, “Ending piracy of integrated in NDSS, 2015, pp. 1–14.
circuits,” Computer, vol. 43, no. 10, pp. 30–38, 2010. [22] R. Torrance and D. James, “The state-of-the-art in semiconductor
[6] J. Rajendran, H. Zhang, C. Zhang, G. S. Rose, Y. Pino, O. Sinanoglu, reverse engineering,” in 2011 48th ACM/EDAC/IEEE Design Automation
and R. Karri, “Fault analysis-based logic encryption,” IEEE Transactions Conference (DAC). IEEE, 2011, pp. 333–338.
on computers, vol. 64, no. 2, pp. 410–424, 2015. [23] A. Cimatti, E. Clarke, E. Giunchiglia, F. Giunchiglia, M. Pistore,
[7] Y. Xie and A. Srivastava, “Mitigating SAT attack on logic locking,” in M. Roveri, R. Sebastiani, and A. Tacchella, “Nusmv 2: An opensource
International Conference on Cryptographic Hardware and Embedded tool for symbolic model checking,” in International Conference on
Systems. Springer, 2016, pp. 127–146. Computer Aided Verification. Springer, 2002, pp. 359–364.
[8] M. Yasin, B. Mazumdar, J. J. Rajendran, and O. Sinanoglu, “SARLock: [24] P. Subramanyan, “Decryption tool binaries and benchmark circuits,”
SAT attack resistant logic locking,” in Hardware Oriented Security and https://bitbucket.org/spramod/host15-logic-encryption, 2015, 2015.
Trust (HOST), 2016 IEEE International Symposium on. IEEE, 2016,
pp. 236–241.

View publication stats

You might also like