You are on page 1of 47

Fall 2008 EE 410/510:

Microfabrication and Semiconductor Processes


M W 12:45 PM – 2:20 PM
EB 239 Engineering Bldg.

Instructor: John D. Williams, Ph.D.


Assistant Professor of Electrical and Computer Engineering
Associate Director of the Nano and Micro Devices Center
University of Alabama in Huntsville
406 Optics Building
Huntsville, AL 35899
Phone: (256) 824-2898
Fax: (256) 824-2898
email: williams@eng.uah.edu
Modern Device Fabrication
• How do we get from 
materials to devices?
• We engineer a 
sequence of processes 
that creates  devices 1 
layer at a time.
• We then repeat the 
sequence, or a 
variation, several times  
with the needed 
precision to achieve a  2004 CNF Nanocourses part 1

device.
JDW, Electrical and Computer Engineering, 
10/16/2009 2
UAHuntsville
Standard Process Iteration
Optical Lithography

Etch Deposit

Strip Lift-off Deposit

Strip

Deposit 2nd Etch Strip

…. Recoat and repeat as needed


JDW, Electrical and Computer Engineering, 
10/16/2009 3
UAHuntsville
Lithographic Patterning of Resist

www.microchem.com

Shipley PR220 Resist Data Sheet


JDW, Electrical and Computer Engineering, 
10/16/2009 4
UAHuntsville
2 Types of Image Contrast

Dark Field Mask

Light Field Mask


2004 CNF Nanocourses part 1
JDW, Electrical and Computer Engineering, 
10/16/2009 5
UAHuntsville
Resist Process Considerations
Composition
Solvent Sensitizer Polymer Plasticizer Surfactant

Surface Preparation
Cleanliness Absorbed Water Chemical State of the Surface Surface Priming Bake

Method of Application
Environment Type of Surface Intermediate coating Coating

Roll
Humidity Rough Oxide Rpm
Dip
Temperature Smooth Metal Flood
Spray
Dust Pinholes Nitride % Viscosity
Spin
Light Board holes Polymer Thickness
Laminate
Particle Count Square/Round Ceramic Time

JDW, Electrical and Computer Engineering, 
10/16/2009 6
UAHuntsville
Resist Process Considerations
Prebake
Nature of the Bond Thickness Method Limit
Resolution
IR Heating
Brittleness
Induction Heating
Mechanical Shrinkage Decomposition
Hot plate (conduction)
Chemical Time Loss of Sensitivity
Oven (convection)
Physical Temperature
Vacuum Oven (Conduction)
Effects: exposure,
development, stripping,
glass transition,
and etching

Exposure
Spectral Sensitivity Light/Energy Source Thickness
Collimation Uniformity
Projection Heating effects Time
Wavelength Reduction Consistency Resolution
Intensity Proximity Calibration Effects of Oxygen
Dose Lamp type Intensity feedback calibration Sensitivity
Laser type Arc size Surface Reflectivity
Step and Repeat Degree of contact Standing waves
Scanned
Ebeam
X-ray
JDW, Electrical and Computer Engineering, 
Interference
10/16/2009 7
UAHuntsville
Resist Process Considerations
Development
Concentration Thickness
pH Linewidth
Tank Life Rinse
Time Temperature
Method: Puddle, Spray, Dip

Agitation: none, gravity assisted, stir,


shake, ultrasonic, megasonic

Postbake
Prebake Equipment
Effect on strippability
Time
Temperature
Thickness
Image flow
Air sensitivity

JDW, Electrical and Computer Engineering, 
10/16/2009 8
UAHuntsville
Surface Preparation
• Resist adhesion is critical to submicron exposure
• Clean surfaces are required to achieve optimal results
– Discuss clean rooms and their ratings:  # of 1 um particles / cubic foot
– Commercial processes rely on class 1 cleanrooms, ultra‐pure DI water, and 
megasonic agitation to clean substrates.
– Academic labs rely on class 100 – 1000 cleanrooms, 18 MOhm DI water, and 
rinsing to clean substrates
• The amount of adsorbed water on the surface is also a factor.  Thus, 
substrates are generally baked in a convection oven or on a hotplate at 
higher than 100oC prior to coating.
• Surface oxidation also plays a role.  Thus, HMDS (hexamethyl di‐silane) is 
often used to promote adhesion either in liquid form or in conjunction 
with a dehydration bake to prime a substrate.
– Differences in adhesion between using and not using a recommended priming 
material can be significant.

JDW, Electrical and Computer Engineering, 
10/16/2009 9
UAHuntsville
Spin Casting Photoresist
1-3 ml of resist poured onto a 4”
• Spin Casting is the primary means  substrate to create a 1-10 um
of coating photoresist on substrates thick resist coat
• It is performed by pouring a few 
milliliters of resist onto the center 
of a substrate that is then spun 
between 400 and 6000 rpm.
• The speed of the rotation shears 
the liquid and drags it across the 
surface of the substrate
• During the process, approximately 
98% of the poured resist is sheared 
off the substrate
• What remains is a thin coating of 
polymer with just a slight amount 
of solvent remaining
• Spin casting produces very uniform 
(20‐50 nm deviations) films using 
commercial process equipment 
www.brewerscience.com
JDW, Electrical and Computer Engineering, 
10/16/2009 10
UAHuntsville
Spin Casting Photoresist
• The thickness of the coat required is 
based on the surface profile of the 
Spin Profile substrate, the subsequent processes 
utilized by this mask step, and the 
viscosity of resist available
• Uniformity is governed by;
– Cleanliness
– Prior surface structure
– Resist application
– Acceleration and deceleration rates
– Solvent distribution in the spinner 

Solvent Control in the Spinner


JDW, Electrical and Computer Engineering, 
10/16/2009 11
www.brewerscience.com UAHuntsville Shipley PR220 Resist Data Sheet
Commonly Observed Errors
Comets: Most common
Fluid velocity (dispense rate) is too high
Spin bowl exhaust rate is too high
Resist sits on wafer too long prior to spin
Spin speed and acceleration setting is too high
Particles exist on substrate surface prior to
dispense
Fluid is not being dispensed at the center
Air bubbles present due of the substrate surface
to trapped air in dispense

Swirling due to over Chuck mark: Spin chuck Pin Holes: resist is too thin, Insufficient
exhausting the bowl. vacuum deforms substrate particles present in resist are resist applied
Reduce exhaust or ramp or decreases local area sheared free during coat but to
speed temperature not comet
JDW, Electrical and Computer Engineering, 
10/16/2009 12
www.brewerscience.com UAHuntsville
Overall Picture: arms hotplates,
coldplate, spin station

Track Coaters
• Commercial tools for 
priming, spinning, 
baking, and developing 
of photoresist

Robotic arms for placement

Spay on puddle developer

http://www.solitec-wp.com/
Single wafer HMDS
JDW, Electrical and Computer Engineering,  vapor prime/bake 13
10/16/2009
UAHuntsville
Prebake Considerations
• Hotplates (conduction)
• Convection ovens 
• Thick resist are sometimes baked in vacuum ovens (conduction)
• Temperature is brought above the glass transition temperature of the polymer for 
a long enough period to remove nearly all solvents and relieve stresses present 
during spin cast.
– Temperatures, times, and tooling often specified by the manufacturer
– Temps:  90‐115oC
– Oven typically 30‐60 min
– Hotplate: 60‐120 s 
• Underbaked resist contain too much solvent and will not yield high definition 
exposure.  Poor solvent removal means that the wafer will also stick to the mask, 
and/or particles it comes into contact with reducing the chance of successful 
patterning
• Overbaking resist generates a hard skin with slightly mismatched optical 
properties, and significant differences in solubility as compared to the bulk of the 
resist.  This causes optical pattern errors as well as development issues.
• Ramps (up and down) are often used to reduce stress in thick resist.  Improper 
ramp cycling causes, delamination, or cracking during development.  Skin effects 
can also become an issue in long ramp cycles.
JDW, Electrical and Computer Engineering, 
10/16/2009 14
UAHuntsville
Resist Sensitivity
• Shipley SPR 220 positive 
photoresist
• Exposure of the resist 
activates the photo‐acid 
inside the resist causing 
breakdown of the polymer
• Products of the chemical 
reaction:
– absorb less light in the 
wavelengths of interest
– Reduce excessive 
overexposure
– Allow for more penetrating 
exposure Shipley PR220 Resist Data Sheet

JDW, Electrical and Computer Engineering, 
10/16/2009 15
UAHuntsville
Positive/Negative Image Contrast
• Line broadening occurs due to scattering 
and diffraction from mask, and materials 
between the mask and substrate
– Including wafer, air, lenses etc.
• Negative Resist:
– Not only does scattering expand the pattern 
of negative resist, but it also swells when 
crosslinked Developed Negative resist: dashed lines
– Note also that thick negative resist tend to  indicate mask pattern
look more like the dotted lines in the 
positive exposure.  This is because scattering 
and diffraction eventually lead to complete 
line broadening at the top prior to 
completing the exposure at the bottom
• Positive Resist:
– Over exposure obviously leads to  Developed Positive resist: dotted lines
undercutting indicate exposure due to light scattering
– Underexposure looks more like a developed 
negative resist b/c scattered light reduces  Moreau, Semiconductor Lithography: Principles,
exposure contrast near the walls thereby  Practices, and Materials, Plenum Press, NY 1998.
preventing development deep into the resist 
near the masked region
JDW, Electrical and Computer Engineering, 
10/16/2009 16
UAHuntsville
Image Reversal
• Negative imaging of positive resist can be 
achieved by baking in NH3 ovens after 
exposure.   Ammonia bakes cause the low 
molecular weight material to recrosslink 
leaving the unexposed photo acid material 
more soluble in solution.
• Process lends well to lift‐off procedures
• Standardized process for laboratories using 
primarily positive resist.

JDW, Electrical and Computer Engineering, 
10/16/2009 17
UAHuntsville
Choice of Resist Profile
Profile Formation Application
Overexposure
Overcut High Dose
Lift off
Multi-layer
Ion Implant
Image Reversal
Positive Resist
Top surface imaging
Vertical Ion Beam Etch
Normal Dose Reactive Ion Etch
Multi-layer Lift Off
Positive Resist Wet Etch
Mid Image in resist
Undercut
Underexposure Wet Etch
Negative Resist Plasma Etch
Positive Resist
Moreau, Semiconductor Lithography: Principles, Practices, and Materials, Plenum Press, NY 1998.
JDW, Electrical and Computer Engineering, 
10/16/2009 18
UAHuntsville
Development
• Development of photoreist is a diffusion based chemical removal process
• It relies heavily on the ability to get fresh developer into the pattern and removing 
saturated material without damaging the structure
• Laboratory development is often completed in a petri dish, or small tank using a 
variety of different agitations ‐ discuss
• Commercial development is performed using spray development on an automatic 
spin coating machine.
– Spray nozzles dispense developer which is then agitated slowly by spinning or cycling the 
wafer in a radial arc back and forth for a few seconds between controlled time puddling 
of developer over the substrate.  
• After development, the patterned substrate is rinsed (almost always in water) and 
checked optically to insure the quality of the lithographic structure.   If indeed the 
sample needs more development, then it re‐immersed into developer prior to ever 
being dried.  
• Drying of a lithographically patterned substrate prior to completing its 
development is NOT recommended.  The dried polymer becomes hydrophobic and 
limits the ability to uniformly reactivate the surface.
• Dried lithographic patterns that require further polymer removal should be 
cleaned using an O2 plasma which isotropically etches all carbon materials on the 
substrate are about the same rate.  

JDW, Electrical and Computer Engineering, 
10/16/2009 19
UAHuntsville
Hard Bakes
• Sometimes referred to as Post bakes are 
performed as recommended by the resist 
manufacturer
• Post exposure bakes are sometimes required 
prior to development
• Hard bakes are often used after development 
to further harden the resist for other 
processes such as plasma etching or metal 
deposition.

JDW, Electrical and Computer Engineering, 
10/16/2009 20
UAHuntsville
UV Contact Lithography
Spectrum from a Hg Lamp
• Basic standard in modern photolithography
– Near UV (i‐line, g‐line) i-line g-line
– Mid UV (280‐300 nm, CdHg lamp)
– Near UV (240‐280 nm, CdHg lamp)
• Uses arc lamp, mirrors, and lens to evenly 
distribute a broad beam of light over the 
entire exposure area
• Mask and wafer are placed in either hard 
contact, or close proximity below the optics 
and exposed using a timed shutter 
mechanism
• Typically operated using 500 ‐1000W power 
supplies with 10‐30 mW/cm2 or emitted 
power at a particular wavelength
• Resist doses vary from a few mJ to kJ for 
thick resist

10/16/2009
JDW, Electrical and Computer Engineering,  Karl Suss MA4 21
UAHuntsville
Documentation
UV Contact Lithography
3 ⎛ z⎞
bmin = λ⎜ s + ⎟
2 ⎝ 2⎠
bmin = minimum feature size
λ = wavelength
s = gap distance
z= resist thickness

• Minimum feature (contact lithography) is about 
0.5 ‐ 2 um depending on the contact aligner
• Aspect ratios depend on the photoresist
– Typical lithographic aspect ratios are 3‐5:1   
– Thick resist aspect ratios < 15:1.
– SU‐8 (a micromachining resist) can be 
patterned to over 50:1
JDW, Electrical and Computer Engineering, 
10/16/2009 22
UAHuntsville
Improving Performance of Contact Lithography

• Suss ellipsoidal lamp housing and lenses reduce 
diffraction and thus minimum patternable feature 
size from 1 um to 0.5 um
• Vertical mask clamp technology used by Electronic 
Visions and Karl Suss reduces wedge errors and 
improves contact between mask and substrate
• Proper application of N2 and Vac used in ALL 
contact aligners improve contact performance

Karl Suss MA4 Documentation

JDW, Electrical and Computer Engineering, 
10/16/2009 23
UAHuntsville
Karl Suss MA6 Contact Aligners

JDW, Electrical and Computer Engineering, 
10/16/2009 24
UAHuntsville
Multi‐layer Structures:  Alignment
Karl Suss MA4
• Alignment is the ability to pattern  Documentation
multiple device layers on top of one 
another
• There are almost as many types of 
alignment marks as there are processes, 
but crosses and calipers are the most 
common
• When laying out alignment marks
– Light field mask: top feature smaller than 
mark on substrate
– Dark field mask: top feature larger than 
mask on substrate
– Always align EITHER to the first layer of 
the device, or to the most critical device 
layer (or both)

Aaron Hawkins of Brigham Young University,


JDW, Electrical and Computer Engineering,  Photolithographic Alignment Tutorial
10/16/2009 25
UAHuntsville
Macroscopic (Course) Alignment

1 2 3

4 5 6
Aaron Hawkins of Brigham Young University, Photolithographic Alignment Tutorial
JDW, Electrical and Computer Engineering, 
10/16/2009 26
UAHuntsville
Microscopic (Fine) Alignment

Rotate substrate and move into slight x-y misalignment

Correct x,y,fine angle alignment Move into contact, check stage slip
Re-align and move back into contact
Aaron Hawkins of Brigham Young University, Photolithographic Alignment Tutorial
JDW, Electrical and Computer Engineering, 
10/16/2009 27
UAHuntsville
Projection Lithography Basics
• Optical lenses used to collimate 
light from an arc lamp or laser 
source
• Light passes through mask then 
through a series of collimating and 
reduction lenses
• Light is focused on the resist with 
a 5 or 10X reduction in size
• Exact focal point within the resist 
depends on 
– resist thickness mask
– substrate planarity
– optical properties of the material  Reduction
immediately below the resist Lens

Substrate

JDW, Electrical and Computer Engineering, 
10/16/2009 28
UAHuntsville
Diffraction Limited Imaging
• Size reduction allows for larger patterns in the 
actual mask
– Increased pattern size provides allows for the 
capture of more optical modes though the 
mask feature
– Increases the total intensity of light 
transmitted through the mask
– Improves the image profile of the mask shape

More diffracted orders


captured = better resolution
Loss of intensity if b to small to
capture multiple diffraction orders

Dr. B. Smith, RIT; The Fundamental Limits of Optical Lithography; SPIE 1999
JDW, Electrical and Computer Engineering, 
10/16/2009 29
UAHuntsville
Stepper Dependence on NA and λ
• Limiting resolution of projection printing,
K1λ λ= wavelength
R=
NA
• K1 is an experimentally determined factor based n 
scattering criterion.  It is often defined using a modular 
transfer function to find the intensity of light transmitted  Mask plane
through a specific line grating.   The intensity function is 
then used to determine coherency and scattering constant

K1 = 0.25 → 0.3 θmax


D
• Numerical Aperture is defined as 
D 1
NA = n sin θ max = =
2F 2 f
– f is the focal length of the optic ψmax
– D is the diameter of the lens f
– F is the effective F stop number of the lens
– n is the number of diffracted orders Image plane
– 2θmax is the acceptance angle of the optic 

JDW, Electrical and Computer Engineering, 
10/16/2009 30
UAHuntsville
Stepper Dependence on NA and λ
• Limiting resolution of projection printing,

R= 1
NA
• K1 is an experimentally determined factor 
based n scattering criterion

K1 = 0.25 → 0.3
• Numerical Aperature is defined as 
D 1
NA = n sin θ max = =
2F 2 f
– f is the focal length of the optic
– D is the diameter of the lens
– F is the effective F stop number of the lens
– n is the number of diffracted orders
– 2θmax is the acceptance angle of the optic 

JDW, Electrical and Computer Engineering, 
10/16/2009 31
UAHuntsville
Depth of Focus
• Estimate of the ideal focus range possible using a projection lithography 
system
• Center of the DOF reside at half the thickness of the resist being exposed.

K 2λ
DOF = ±
(NA)2
K2 is a process dependent constant
hovering around 0.5 -1.0.

Although a Raleigh Constant, it


should be determined experimentally
for particular processes due to
influences from chemically assisted
resist, phase shift mask, etc.

OPTICAL ENGINEERING / October


1993 / Vol. 32 No. 10, pg 2350-2362
JDW, Electrical and Computer Engineering, 
10/16/2009 32
UAHuntsville
• ASML PAS 5500 • Resolution <280 nm
• i‐line Stepper (365 nm) • Throughput >100 wafers/hr
• 10X mask reduction • Field size 22 x 27.4 mm
• NA: Variable 0.48 to 0.6
Note: g-line (405 nm)
steppers with also sold
by various companies.
Reticule
(mask)

Collimating
Optics

Light Source
Unexposed
Substrates
Focusing Optics Pre-Align

Loading Robot
Imaging Spot

Note: Steppers use between


12 and 26 optical lenses to Exposed
uniformly broaden the light Substrates
source and then reduce the
mask image by up to 10 times
10/16/2009
JDW, Electrical and Computer Engineering, 
33
and focus it into the photoresist UAHuntsville
State of the Art Steppers
• ASML Twinscan XT:1950Hi w/ Hydrolith immersion
• Step and scan combined
• 193 nm stepper with 1.35 NA
• Images and aligns with a dry wafer, then immerses in 
liquid to obtain NA >1
• Allows for production of 40 nm even line spaces over 
a field of 28 x 33 mm

JDW, Electrical and Computer Engineering, 
10/16/2009 34
UAHuntsville
JDW, Electrical and Computer Engineering, 
10/16/2009 35
UAHuntsville
193 nm Immersion Lithography
• Currently used in commercial 
step and repeat systems
• Align and focus system in dry 
air or N2 gas
• Apply exact amount of liquid 
to the surface then expose
• Increases numerical 
aperature of the system from  http://www.smt.zeiss.com/c12567b0003c017a/Conte
a value of approx. 0.6 to a  nts-Frame/0358803766924803c12567b0003d5d3f

value of 1.3 or more
• Allows min size of lithographic 
pattern to be decreased from 
100 nm to approximately 40 
nm
JDW, Electrical and Computer Engineering, 
10/16/2009 36
UAHuntsville
E‐beam lithography
Georgia Tech E-beam Lithography Capability

Brendan McCarthy
E: brendan.mccarthy@tyndall.ie
T: +353 21 4904423
JDW, Electrical and Computer Engineering, 
10/16/2009 37
UAHuntsville
E‐beam lithography
• High energy electrons focused into a 
narrow beam are used to expose 
photoresist.
• No diffraction limit
• Requires field emission SEM capable of 
being operated at large currents
• Used commercially for sub micron mask 
technologies
• Used in many research labs to prototype 
new devices
• Advantages:
– Precise control of energy and dose to 
approximately 10 nm
– Beam focusing achieved using large electrostatic 
and magnetic field lenses
– No physical mask
– Ability to register accurately over small areas
– Low defect densities
– Large depth of focus allows for high aspect ratio 
devices

JDW, Electrical and Computer Engineering, 
10/16/2009 38
UAHuntsville
E‐beam lithography
• Disadvantages
– Direct write scheme.   This means that 
every line in the device must be scanned by 
the exposure beam.
– Requires ultra high vacuum system to drive 
electrons effectively
– Slow exposure
– Very sensitive to electronic and mechanical 
noise
• Proximity effect
– Resolution losses due to scattering of 
electrons within the resist surface.
– Proximity of one feature to another affects 
the applied dose
– Limitations present in patterned geometry 
due to presence of neighboring structures
– These are accurately modeled  and often 
accounted for by pattern generation 
software
http://www.dssc.ece.cmu.edu/news/sem
inars/lunch05/headsmedia/041205.pdf

JDW, Electrical and Computer Engineering, 
10/16/2009 39
UAHuntsville
E‐beam Lithography Device Details

http://www.dssc.ece.cmu.edu/news/seminars/lunch05/headsmedia/041205.pdf
JDW, Electrical and Computer Engineering, 
10/16/2009 40
UAHuntsville
Scattering in E‐beam Lithography

JDW, Electrical and Computer Engineering, 
10/16/2009 41
UAHuntsville
Very Capable for Nanofabrication 

cpfc-ccfdp.nrc-cnrc.gc.ca/lithography_e.html www.phys.sinica.edu.tw/~quela/sample.htm
JDW, Electrical and Computer Engineering, 
10/16/2009 42
UAHuntsville
SCALPEL E‐beam lithography
• Scattering with Angular Limitation 
Projection E‐beam Lithography (SCALPEL)
• Developed at Bell labs
• Combines all the benefits of step and 
repeat imaging, size reduction, and the 
narrow beam resolution of e‐beam 
lithography
• Mask: Silicon Nitride membrane (100 nm) 
patterned with 25 nm of W
• Both are transparent to electrons but the 
thickness coupled with the atomic mass of 
W provides sufficient scattering contrast
• Projection technique allowing step and 
scan with 4X reduction 
• Decreases exposure time
• Still limited by scattering and proximity 
effects
• Big question:  What is the economic 
viability? JDW, Electrical and Computer Engineering, 
10/16/2009 43
UAHuntsville
Focused Ion beam lithography
• Primarily used to direct write structures by 
ablating material from the substrate
• Low Z materials: He, H, Ar  used to pattern 
resist
• High Z materials: Ga, Ge used to mill silicon

http://dsa.dimes.tudelft.nl/usage/technology/FIB/
JDW, Electrical and Computer Engineering, 
10/16/2009 44
UAHuntsville
JDW, Electrical and Computer Engineering, 
10/16/2009 45
UAHuntsville
Interference Beam Lithography

JDW, Electrical and Computer Engineering, 
10/16/2009 46
UAHuntsville
Interference Beam Lithography
• Allows for rapid production of 40 ‐200 nm 
line structures over 1x1 cm2 area
• Used for production of lines, squares, 
cylinders, and complex three dimensional 
photonic structures 

1) J.M. Carter, et.al, “Interference Lithography,” MTL Annual Report on Submicron and Nanometer Structures,(2003) 186-188.
2) P.T. Konkola, et.al, “Scanning Beam Interference Lithography,” Proc. Am. Soc. of Prec. Eng.(2001)
JDW, Electrical and Computer Engineering, 
10/16/2009 47
UAHuntsville

You might also like