You are on page 1of 1
R F ‘Figure 13) Common Anode 7-Seament Dislayb) 7-Sermteas forall Numbers ‘Srudeats are asked devign bitay to 7 segment decoder. Use the HDL template gives wit thie labo finish yor ab assignment. Fill he woth abe ‘Note: Logie" nem the LED in the 7-segmnent lay and Logie“I" ters of the LED inthe “segment display. Inthe above the tah tables "X° means the oatpat is “donee” Daive the propriate Boolean expression weng the K maps for A,B,C,D.E.F, and. Ienplenent the bray te seven sontent dopa Function decoder using HDL foreach output LED A,B,C, DEF mG Show traneenbed image toxt Expert Answer © piacere meveea a wasthsanswerheprur [a2 | { aD 0 DESIGN MODULE: module btosevlinput SA,SBSCSD, output AB.C.DE.FG), assign A=(SB 8 ~SC& ~SD) + (-SA&-SB&-SC BSD} assign B=(SB & ~SC & SO) + (SB & SC & ~SD), assign C=(~SB & SC & ~SD); assign D=(S8 & ~SC & ~SD) + (~SB & ~SC & SD) + (SB SC& SO) assign E-SD + (SB & ~SC); assign F=(~SA & ~SB & SD) + (~SA & ~SB & SC) + (SC & SD) assign G=(-SA A ~SB & ~SC) + (SB &SC & SD) endmodule TESTBENCH MODULE:- module testben)); reg SASB.SCSD: wit ABCD.EEG: integer i, btosev bbt( SAISA), SBISB),SC(SC).SDISD), AVA), B(B).C(C). DIO) ECE). FLF).GIG): initial begin Smonitor|"SA=%b SB=%b SC=eb,SD=%ib A=Nob,B=%b,C=%eb,D=M6b,E=Mbb F=%hb G=%6b'SASB,SCSD,AB, DERG); forli=0:i

You might also like