Professional Documents
Culture Documents
// wr port
input wire wr_en ,
input wire [$clog2(DEPTH)-1:0] wr_addr ,
input wire [WIDTH-1:0] wr_data ,
// rd port
input wire [$clog2(DEPTH)-1:0] rd_addr ,
output reg [WIDTH-1: 0] rd_data
);
endmodule