You are on page 1of 72

Also in this issue

Switched Fabric Update

Dual-Core Processors

The magazine of record for the embedded computing industry Merging Model-Based and Code-Based Design

January 2006 www.rtcmagazine.com

DATA
Acquisition
Goes
DEEP
and
FAST

An RTC Group Publication
"OBUPNZPGB1SPDFTTPS"EWBODFE.$  ˜

4#4LOPXT.PEVMBS$PNQVUJOH$BSFGVMEFTJHOZJFMETTQFFEUIFSNBMFGmDJFODZ

#: $-&7&3-: */5&(3"5*/( CFTUPGCSFFE .#JOUFHSBUFE-DBDIFBOEB.)[GSPOU


TJMJDPO  4#4 5FDIOPMPHJFT ¥  IBT DSFBUFE B TJEFCVT&YUSFNFTJMJDPO%%3.)[4%3". 
QSPDFTTPS"EWBODFE.$™UIBUGVMMZFYQMPJUTUIF UIF*OUFM¥&DIJQTFUXJUI.)[
QPUFOUJBMPGUIJTOFXGPSNGBDUPS5IJOLPGJUBT TZTUFNCVTUPUIFQSPDFTTPS 
"EWBODFE.$&YUSFNF QMVT POF 1$* &YQSFTT Y
CVT XJUI (CZUFTT USBOTGFS
5IFSFT FYUSFNF CBOEXJEUI SBUF UP &UIFSOFU BOE BOPUIFS 1$*
QBSBMMFM 1$* &YQSFTT Y MBOFT  &YQSFTTYCVTXJUI(CZUFTTUSBOTGFS
EVBM(JHBCJU&UIFSOFUMJOLT BOE SBUFUPUIF"EWBODFE.$JOUFSDPOOFDU
UXP 4"5" DIBOOFMT UP UIF DBSSJFS
&YUSFNFQSPDFTTJOHQPXFSUIFMBUFTU()[ 5IFOFX5FMVN"4-1QSPDFTTPS"EWBODFE.$
FNCFEEFE *OUFM ¥ 1FOUJVN ¥ . QSPDFTTPS XJUI GSPN4#45FDIOPMPHJFT#FBVUJGVM JTOUJU

4#4LOPXT
'JOEUIF"EWBODFE.$CPBSEZPVSFMPPLJOHGPSBUXXXTCTDPNPSDBMM4#4&.%&%%&%
Departments

9 Editorial: Penguins on the Ice www.rtcmagazine.com

11 Industry Insider

66 Products&Technology

Features
A major trend in high-performance, real-
Technology in Context Data Acquisition time data acquisition boards and subsys-
tems is the move toward more flexible
14 Data Acquisition Subsystems Getting Faster, Interface-Agnostic
interfaces. For example, the 400 Mbyte/s
Ann R. Thryft
StreamStor Amazon SATA Disk Control-
18 Standardizing Digital IF Data Transfer with VITA 49 ler board (bottom) from Conduant uses a
Stephen M. Pereira, Mercury Computer Systems, Inc. modular, mezzanine approach to external
interfaces for direct-to-disk recording:
24 Shared Memory Network Targets Video-Centric Data Acquisition optional interchangeable daughtercards
Ralph Barrera, Curtiss-Wright Controls Embedded Computing, Data Communications (top) for interfaces such as FPDP, Serial
FPDP, FPDP II or the PCI bus. • Pg. 14
28 Data Acquisition Systems Track Signal Processing Technology
Andrew Reddig, TEK Microsystems

Solutions Engineering Switched Fabric Update


32 Serial RapidIO Fabric Offers Robust Scalability and Performance
Tom Cox, RapidIO Trade Association

39 Storage Systems Merge into the Express Lane – PCI Express


Steve Moore, PLX Technology

Industry Insight Dual Core Processors


42 Dual-Core Processing Drives High-Performance Embedded Systems
Matt Stevenson and John Hill, WIN Enterprises System-tracing tools can be used to opti-
mize performance in a multicore system.
46 System Tracing Tools Ease Transition to Multicore Processors • Pg. 46
Derrick Keefe and David Inglis, QNX Software Systems

Executive Interview
53 RTC Interviews Mercury Computer Systems’ James “Jay” R. Bertelli

Software & Development Tools Graphical Developmental Tools


61 Integrating Model-Driven Development with IDE Breaks Productivity Barriers
George LeBlanc, I-Logix Serial RapidIO Distributed Switch Solution
for VME Systems • Pg. 66

January 2006 
January 2006
Publisher
PRESIDENT
John Reardon, johnr@r tcgroup.com
VICE PRESIDENT, European Operations
Zoltan Hunor, zoltanh@r tcgroup.com
EDITORIAL DIRECTOR/ASSOCIATE PUBLISHER
Warren Andrews, warrena@r tcgroup.com
Editorial
EDITOR-IN - CHIEF
Tom Williams, tomw@r tcgroup.com
SENIOR EDITOR
Ann Thr y f t, annt@r tcgroup.com
MANAGING EDITOR
Marina Tringali, marinat@r tcgroup.com
STAFF EDITOR
Craig Choisser, craigc@r tcgroup.com
COPY EDITOR
Rochelle Cohn
Art/Production
CREATIVE DIRECTOR
Jenna Hazlett, jennah@r tcgroup.com
GRAPHIC DESIGNER
Melissa Gaeta, melissag@r tcgroup.com
PRODUCTION DESIGNER
Kirsten Wyatt, kirstenw@r tcgroup.com
DIRECTOR OF WEB DEVELOPMENT
Jan Garcia, jang@r tcgroup.com
WEB DEVELOPER
Marke Hallowell, markeh@r tcgroup.com
Advertising/Web Advertising
CALIFORNIA COASTAL ADVERTISING MANAGER
Diana Duke, dianad@r tcgroup.com
(949) 226 -2011
WESTERN REGIONAL ADVERTISING MANAGER
Lea Ramirez, lear@r tcgroup.com
(949) 226 -2026
EASTERN REGIONAL ADVERTISING MANAGER
Nancy Vanderslice, nancy v@r tcgroup.com
(978) 443 -2402
EMEA SALES MANAGER
Anna Brown, annab@r tcgroup.com
(949) 226 -2025
ASIAN ADVERTISING MANAGER
John Koon, johnk@r tcgroup.com
(858) 755 - 4999
Billing
Mag gie McAuley, mag giem@r tcgroup.com
(949) 226 -2024

To Contact RTC magazine:


HOME OFFICE
The RTC Group, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673
Phone: (949) 226-2000 Fax: (949) 226-2050, www.rtcgroup.com

EASTERN SALES OFFICE


The RTC Group, 96 Dudley Road, Sudbury, MA 01776
Phone: (978) 443-2402 Fax: (978) 443-4844

Editorial Office
Warren Andrews, Editorial Director/Associate Publisher
39 Southport Cove, Bonita, FL 34134
Phone: (239) 992-4537 Fax: (239) 992-2396

Tom Williams, Editor-in-Chief


245-M Mt. Hermon Rd., PMB#F, Scotts Valley, CA 95066
Phone: (831) 335-1509 Fax: 408) 904-7214

Ann Thryft, Senior Editor


15520 Big Basin Way, Boulder Creek, CA 95006
Phone: 831) 338-8228

Published by The RTC Group


Copyright 2006, The RTC Group. Printed in the United States. All rights reserved.
All related graphics are trademarks of The RTC Group. All other brand and product
names are the property of their holders.
 January 2006
“The QNX Neutrino
microkernel OS has
the perfect DNA for
multi-core processors”
Dan Dodge. QNX CEO & CTO.
Pioneer in distributed and multiprocessor computing.

Introducing the QNX® Momentics® development suite


Multi-Core Edition, the industry’s most comprehensive
software platform for multi-core systems. Powered by the
massively scalable QNX Neutrino® RTOS, this fully integrated
solution supports AMP, SMP, and BMP, a groundbreaking
technology that simplifies code migration and future-proofs QNX Unlocks the Power of Multi-Core
your designs for quad-core and beyond. It’s the latest
innovation from QNX Software Systems, the undisputed
Maximize performance. Eliminate complexity.
leader in multiprocessing technology.
Accelerate migration. Only QNX offers:

� Asymmetric Multiprocessing (AMP) for full


Maximum Choice for Multi-Core developer control and fault tolerance

� Symmetric Multiprocessing (SMP) for maximum


Only QNX gives you the power to choose the best multiprocessing concurrency and scalability
model for your multi-core design:
� Bound Multiprocessing (BMP) for the fastest
SMP BMP AMP
code migration and minimum design complexity
Seamless resource sharing
Scalable beyond dual-core Limited
� Transparent Inter-Processor Communication
Mixed OS environment (TIPC) protocol for seamless Linux connectivity
Dedicated processor by function
Inter-core messaging Fast Fast Slower � System tracing tools for fast debugging and
(OS primitives) (OS primitives) (application) optimization of multi-core applications
Thread synchronization between cores
Dynamic load balancing � Off-the-shelf BSPs for multi-core platforms based
System-wide debug & optimization on MIPS®, PowerPC®, and x86 architectures

Discover how Dan and the QNX team deliver the shortest
migration path to multi-core. Call 1 800 676 0566 or
visit www.qnx.com/innovate.

QNX, Momentics, and Neutrino are trademarks or registered trademarks of QNX Software Systems GmbH & Co. KG and are used under
license by QNX Software Systems International Corporation. All other trademarks belong to their respective owners. 301786 MC339.05
SHARE YOUR VISION.
WE’LL SHARE OUR INNOVATION.

solutions for the most demanding


embedded applications. Tell us what
you need at info@vadatech.com.
Share your vision with us. We’ll customize
our products to your requirements or partner • Comprehensive Hardware/Software
with you to develop custom solution for Intelligent Peripheral
products all the way Management Interface (IPMI) version 2.0
through deployment. • Symmetric Multi-Processing CPU for
Either way, you’ll AMC/VME Modules
get leading-edge • A complete line of ATCA
board level and AMC carriers
• High dynamic range A/D Converters

w w w. v a d a t e c h . c o m 702.896.3337
Editorial
January 2006

Penguins on the Ice


by Tom Williams, Editor-in-Chief

I
will say up front that I have not seen the movie, March of among remote experts who will need access to their data. Indus-
the Penguins, but I have seen one image of penguin behavior trial companies will increasingly need to distribute design data
that seems indicative of where one part of our industry stands. and organize teleconferences.
That’s where a bunch of penguins are crowded up at the edge of The specialized nature of many of these applications will push
the ice trying to decide whether it’s safe to go into the water. If the value-add from merely supplying high-speed pipes to provid-
there are sharks or orcas down there, of course, everybody will ing services closer to the application in the form of middleware or
take a pass. What usually happens is that there is such a crush that protocol conversion or lower-volume, more specialized hardware.
one penguin eventually gets pushed over the edge. If he doesn’t That makes it attractive to build out the bulk of the basic infra-
get eaten, the others decide it’s OK to get into the water. The wa- structure using open standards hardware. Of course, the coun-
ter, of course, is where the food is. The question is simply who is ter argument to this always is that such hardware will be driven
going to be the food. to commodity status where only huge volumes will be profit-
This seems to be where the industry is at this moment in able, putting it in the hands of only a few very big players—if
terms of ATCA and MicroTCA. A number of CEOs I have talked it is successful at all. Commoditization will surely happen. The
to recently keep saying things like, “We see an enormous poten- question is whether in such a potentially large market there is
tial,” and, “Our customers are taking a close look and testing the room for makers of specialty hardware in the same form-factor or
market.” A number have even jumped into the water, and while for makers of configuration modules (e.g., AMC and MicroTCA)
they haven’t exactly been gorging themselves, they haven’t been that will, of necessity, sell at higher margins but fit into the com-
eaten either. The last telecom debacle was traumatic and there is modity backplanes and carrier boards.
still a good deal of bad-mouthing of telecom going on in some Everybody takes glee in dissing Windows, but Microsoft’s
quarters today. But is the outlook really as discouraging as some near monopoly has provided fertile ground for a huge number
would have us believe? of successful companies, spreading wealth in all directions. By
For one thing, let us remember once again that what we re- the same token, if there is a base infrastructure founded on the
fer to as “telecom” today is a different animal than it was in the products of a relatively few large commodity suppliers, it is cer-
past. The telecom of the future is a fully digital, IP packet-based tainly possible that more specialized suppliers of software and
broadband communications network whose infrastructure is still hardware will be able to build on such a foundation.
in transition from the old POTS world of the late Ma Bell. The de- Instead of wringing our hands about whether the new
mands that will be placed on this network would have completely “telecom” industry will take off, perhaps we should think about
overwhelmed the plain old telephone system of yesteryear. where to attach ourselves when it does. The water is full of fish
The recent Consumer Electronics Show in Las Vegas may and not all of them are appetizing to orcas. There will be many
be one indication of what is starting to pull at the telecom in- specialized needs that can be served by a brand new digital
dustry. There the talk was of high-definition video and multi- telecom infrastructure and it will require imagination and daring
media, wireless connectivity, movies on demand and pervasive to identify and serve them, which will demand new hardware and
connectivity. Products are starting to emerge that will depend on software that can play in the arena. If you are the penguin on the
the existence of pervasive broadband networking. The consumer ice that gets pushed in first, there is a risk you might be eaten, but
market, however, is only one indicator. The needs of the finan- there is also a very good chance you’ll get first choice of the best
cial sector will drive the build-out of the infrastructure as will fish. Then again there are some companies (if not penguins) who
advances in medical imaging equipment. The latter can produce jump in with the sole intention of being eventually swallowed.
3D layered images of minute detail in color and some in real That doesn’t have to be a bad thing either.
time. There is a growing need to share these expensive machines

January 2006 
7 ( !4 - ! + % 3 ! 0 2 / $ 5 # 4 % 8 4 ) . # 4 

6KQN,"*LNK@Q?P?=J>A?KIAATPEJ?PHKJC>ABKNAEPOPEIA QJHAOOUKQD=RA=HKJCPANI?KI

IEPIAJPBNKIUKQN0 RAJ@KN3ANO=)KCE?CQ=N=JPAAOLNK@Q?P=R=EH=>EHEPUBKN=PHA=OPBERAUA=NO
ˆ
BNKI EPO EJPNK@Q?PEKJ @=PA  1D=P O=RAO UKQ BNKI PKOOEJC BQPQNA O=HAO EJPK PDA F=SO KB ?KILAPE
"5
PKNO>A?=QOAUKQOQ@@AJHU?=JJKPCAPUKQND=J@OKJPDA>K=N@OUKQJAA@ SDAJUKQJAA@PDAI

3ANO=)KCE?†O"TPAJ@A@)EBA U?HA-KHE?UEOFQOPKJAKBPDAOANRE?AOPD=PDAHLA@

QOA=NJPDALNAOPECEKQO3! -H=PEJQI3AJ@KN=S=N@#NKIKQN?HKOAPEAOSEPDGAU
- 
RAJ@KNOPKKQNLNA@AOECJ?KILKJAJPOPQ@EAO SAI=GAEPKQN>QOEJAOOPKATPAJ@
"EFORE+RISTIN+OONSJOINED

6ERSA,OGICS SALES SUPPORT


UKQNLNK@Q?P†OHEBA0KOEJGUKQNPAAPDEJPKPDA@AP=EHO=PSSS3ANO=)KCE??KI TEAM SHE GUIDED TOURS AT

A NATURAL HISTORY MUSEUM


LR0P=NP=HKJC HKJCPANINAH=PEKJODEL>U?=HHEJCQO=PĠ
 3HE REALLY KNOWS HOW
"-&
Ī3ANO=)KCE? KNLHHNECDPONAOANRA@
ˆ KILHAPA@AP=EHO=P3ANO=)KCE??KIHP=

TO KEEP PRODUCTS OFF THE

ENDANGEREDSPECIESLIST

-H=PEJQI3AJ@KN=S=N@>U
3AJPQNA!ARAHKLIAJP KNLKN=PEKJ Ġ

01! -H=PEJQI3AJ@KN =J@ SSS3ANO=)KCE??KILR
Industry Insider
January 2006

PICMG Responds to AMC Editorial Radio Resource


MicroTCA specification will be available under Management Spec for IEEE
While your November editorial regarding the
reasonable and non-discriminatory licensing 802 Wireless LAN Passes
AMC specification (“Flurries Around AMC Ap-
terms in accordance with PICMG policy, a pol- Milestone
pear to be Letting Up”) is pretty much correct
icy that is essentially identical to all other ma- The IEEE 802.11 Working Group
factually, there’s a tone suggesting a narrowly has passed a major milestone in
jor standards and specification development
averted disaster and a continuing threat to the the development of IEEE 802.11k,
specification. I don’t think that anyone directly organizations. “Wireless LAN Medium Access
involved in the process shares your view. What While PICMG normally does not comment Control (MAC) and Physical Layer
is happening to AMC is normal. The first ma- on the activities of other standards/specifica- (PHY) Specifications: Radio
jor version of the spec has been released, and tion development organizations (and would ap- Resource Management of Wireless
the first wave of refinements is being proposed preciate reciprocity from them), we have taken LANs,” by voting to accept a
through the normal engineering change request note of the VITA 56 activity and the many ways draft radio resource measurement
in which it draws upon the pioneering work of document as a baseline for the final
process. AdvancedTCA is moving through this
AMC. This is not the first time VITA standards standard.
process as CompactPCI did before it. Once completed, IEEE 802.11k
have drawn on the work of PICMG (witness the
Take the connector situation, for instance. will allow enhanced measurements
Your piece says that the variety of carrier con- adaptations of PICMG 2.9 and 2.16 to the VME and diagnostics for IEEE 802.11
nectors that can be used has been broad- environment), nor would we wish it to be the wireless local area networks
ened, but it is more precise to say that a last. We believe that imitation is the sincerest (WLANs) that operate in the
wider variety of connectors that are explicitly form of flattery. It is true that VITA 56 is tar- unlicensed 2.4 GHz (ISM), 4.9
compliant with the spec has been identified. geted for use in VME, VXS and VPX systems, GHz (Japan) and 5 GHz (UNII)
All of the connector types that are being ex- and is therefore smaller in its depth dimension. bands. This amendment to
The power distribution strategy is different as the IEEE 802.11 base standard
plicitly added can be used to make perfectly
well. It does not necessarily follow, however, will enable more accurate and
serviceable AMC carriers whether or not they efficient operation of WLANs
that VITA 56 is targeted at a different market
make it into the spec. Contrary to the implica- in governmental, residential,
tion of your editorial, the compression mount than AMC and MicroTCA. I don’t believe that ei- enterprise and metropolitan
connector from the first version has not been ther the AMC or VITA 56 communities are ready settings.
removed from the specification. to concede applications spaces to the other. “Next generation video streaming,
As for other change requests that might That’s something to watch! wireless VOIP and dense WLAN
have threatened backward compatibility, I don’t In closing, I’d like to thank you for seeking deployments present new challenges
believe that there was ever a serious possibil- balance between the voices of alarm and those that call for more precise WLAN
of reason. I think you made it most of the way measurements,” says Stuart Kerry,
ity that they would have been incorporated.
in terms of the facts reported in your editorial. IEEE 802.11 Working Group
The issues that they are intended to address Chair. “IEEE 802.11k will help
As I’ve said, I think the tone still needs a bit of
have been carefully examined, and are being optimize these radio environments
resolved in a way that preserves backward adjustment and I’ve tried to provide what I think so more devices can coexist even as
compatibility. is the proper spin on what is happening. it reduces wireless network traffic
The implication that some variations on Dick Somes congestion. Final approval of this
MicroTCA might be threatened by IP is also PICMG Technical Officer amendment is targeted for January
overblown. Any IP that is incorporated in the 2007.”

Brooktrout and tekVizion


Late-Breaking Results of Shock and Vibe Tests In Announce Strategic
Tom: The worst thing that happened was a cou- Partnership
When we talked a couple of months ago ple of screws in the side of one of the test chas- Brooktrout Technology and
about the status of the changes to the AMC tekVizion PVS have announced
sis came loose, but that was unrelated to the
specification, the shock and vibration tests that Brooktrout has become a
AMC or its carrier.
Premier Tenant in tekVizion
were not complete. They are now, and I’m happy So, we don’t have a mechanical problem Labs, the company’s pioneering
to report that all shock, vibration and seismic and will not be doing any mechanical re-design. third-party testing, qualification
tests passed with flying colors. The test re-
Cheers, and interoperability facility for
port can be accessed at the PICMG Web site:
Joe Pavlat, President, PICMG carrier-grade IP applications and
www.picmg.org. infrastructure. Premier Tenant

January 2006 11
Get Connected
    with companies and Get Connected
products featured in this section.
Industry Insider
       
with companies mentioned in this article.
www.rtcmagazine.com/getconnected www.rtcmagazine.com/getconnected

Get Connected with companies mentioned in this article.


Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected

Event
www.rtcmagazine.com/getconnected
status allows Brooktrout’s
customers and partners to test

Calendar Brooktrout’s SnowShore IP Media


Server with a variety of other IMS
components in tekVizion Labs
02/06-09/06 prior to deployment.
Components for Military & tekVizion helps service
Space Elec. Conference
providers achieve a smooth
Los Angeles, CA
www.cit-us.com transition to packet-voice
networks, speeding delivery
02/06-09/06 of new integrated services.
DesignCon 2006 tekVizion Labs offers several
Santa Clara, CA services including tekVizion
www.designcon.com and third-party certification
testing, remote testing, solution
02/15-17/06 testing, IMS conformance and
AUSA Winter Symposium
interoperability testing, product/
and Exhibition
Ft. Lauderdale, FL compliance assessments and
www.ausa.org outsourced testing. Remote testing
can be used for pre-certification
or ad-hoc test scenarios, whereas
The Power of 4 02/22-23/06
AFCEA Homeland formal tekVizion certification is
The New Virtex-4 range from Nallatech Security Conference conducted on-site at tekVizion
Washington, DC Labs by tekVizion engineers.
www.afcea.org
Certification can be provided
BenNUEY-PCI-X >> PCI-X DIME-II Motherboard
>> On-board Xilinx Virtex-4 FX user FPGA 02/28/06 between two products or in a
>> 64 bit/133Mhz PCI-X Interface Real-Time & Embedded multi-product solution. All lab
>> 3 DIME-II module expansion slots
>> 18 Mbytes DDR-II SRAM Computing Conference services are available to both
>> Available in Windows and Linux Melbourne, FL vendors and service providers.
www.rtecc.com/melbourne Brooktrout’s SnowShore IP
Media Server is a software-
BenBLUE-V4 >> DIME-II Expansion Module 03/02/06 based, carrier-grade IP media
>> Dual on-board Xilinx Virtex-4 LX
User FPGAs
Real-Time & Embedded
server supported by a wide
Computing Conference
>> Up to 300+ logic cells per module
Huntsville, AL
range of industry standard
>> 64 Mbytes DDR-II SRAM – 8 BANKS
www.rtecc.com/huntsville hardware platforms running on
>> 16 Gbytes/sec total SRAM memory
bandwidth Red Hat Linux. It leverages SIP,
>> Includes DDR-II SRAM FPGA IP Core 03/05-10/06 VoiceXML and MSCML to
Optical Fiber Comm. provide a cost-effective and
Conference & Expo scalable IP media server solution,
BenADC-V4 >> DIME-II Expansion Module
>> On-board Xilinx Virtex-4 LX or SX
Anaheim, CA powering a broad range of voice
User FPGA
www.ofcnfoec.org and video services for next-
>> Quad 12-bit 250 MSPS analog generation wireline, wireless and
capture channels 03/07-09/06
>> 16 Mbytes DDR-II SRAM – 2 BANKS Intel Developer Forum broadband networks including
>> Includes DDR-II SRAM FPGA IP Core San Francisco, CA the 3GPP/3GPP2 IP Multimedia
www.intel.com/idf Subsystem (IMS) network
architecture.
BenDATA-V4 >> DIME-II Expansion Module 03/14-15/06
>> On-board Xilinx Virtex-4 LX or SX
User FPGA Military Technologies Conf. QuickLogic Signs OEM
>> 1 Gbyte DDR2 SDRAM – 2 BANKS Boston, MA Agreement with Mentor
>> 16 Mbytes DDR-II SRAM – 2 BANKS www.miltechconference.com
>> 8 Gbytes/sec total memory bandwidth Graphics on FPGA Design
>> Includes SRAM and SDRAM FPGA IP Cores
03/20-23/06 Mentor Graphics has
Nat’l Manufacturing Week announced a multi-year OEM
Chicago, IL agreement with QuickLogic. The
To find out more please visit - www.nallatech.com/virtex4 www.manufacturingweek.com agreement offers QuickLogic’s
If your company produces any type customers a new synthesis
of industry event, you can get your solution, and provides for a
event listed by contacting smooth transition to the full range
North America Toll free: 1-877-44-NALLA sallyb@rtcgroup.com. of advanced FPGA synthesis
EMEA & ROW Phone: +44 (0) 1236 789500 This is a FREE industry-wide listing. technology and tools from

12 January 2006


Industry Insider

Mentor Graphics. Through the QuickMIPs and QuickPCI. Beta for its Leesburg, Virginia and market access as a result of a
agreement, FPGA designers get support is also available for the Ottawa, Ontario operations. standardized process system
immediate access to a broad range company’s newly announced AS9100 is overseen by the recognized across the aerospace
of performance and productivity PolarPro devices. International Aerospace Quality industry. Because AS9100
benefits using the Mentor QuickWorks supports Windows, Group and standardizes quality drives ongoing improvements
Graphics Precision Synthesis Sun Solaris and Linux-based management system requirements in products and processes, it
tool within the QuickLogic operating systems and provides a and delivers quality assurance in reduces errors and returns and
QuickWorks FPGA development design environment ranging from design, development, production, increases customer satisfaction,
software environment. Using schematic and HDL-based design installation and servicing. resulting in reduced transaction
a highly interactive graphical entry, HDL language editors and The standard also drives cost costs.
environment, designers gain the tutorials, logic synthesis, place reductions throughout the AS9100 compliance exceeds
flexibility to cross-probe between and route, timing analysis and aerospace industry supply chain. the ISO9001:2000 quality
HDL and schematic views. The simulation support. QuickLogic Curtiss-Wright’s accreditation standard on which it’s based,
tool performs “what if” timing has partnered with leading program was directed by Gerry with additional quality system
analysis with instant feedback, software vendors to provide Bellehumeur, Quality Director, requirements such as independent
enabling designers to make industry-leading Synthesis and Curtiss-Wright Controls Embedded validation of materials and
confident decisions for fast and Simulation tools, as well as Computing, Ottawa. The AS9100 processes. It adds approximately
accurate timing closure. provide an interface to other audit was performed by TUV 80 additional requirements
Precision Synthesis is industry standard EDA tools. America. and 18 amplifications to the
scheduled to be fully integrated Curtiss-Wright has announced ISO 9001:2000 Standard. The
into the QuickWorks FPGA Curtiss-Wright Earns that it has developed plans to standard addresses the unique,
development software in early AS9100 Aerospace Quality implement the AS9100 standard complex and highly regulated
2006. The new Precision at additional operational sites nature of the defense aerospace
Systems Certification
Synthesis QuickLogic Edition throughout the United States and industry.
Curtiss-Wright Controls
supports QuickLogic’s leading the United Kingdom. The benefits
Embedded Computing has been
programmable logic devices, of AS9100 accreditation for
awarded AS9100 certification
including Eclipse I, Eclipse II, Curtiss-Wright include expanded

Is Limited Storage Becoming a Problem?


Introducing AdvancedTCA Storage Platforms from DTI

14 Slot Modular Platforms

5 Slot Modular Platforms

Node CPU Boards

Hub Switch Blades

ATCA Storage Boards


DTI’s Targa-14E system
AMC Carrier Cards provides a complete
ATCA platform with
Customization Capabilities increased performance
and storage capabilities.

To Learn more about AdvancedTCA (ATCA) and DTI’s Products based on this standard

Call 1.800.443.2667 or visit our website at www.atcatogo.com


All trademarks and tradenames are the property of their respective owners.

January 2006 13
TechnologyInContext
Data Aquisition

Data Acquisition
Subsystems Getting Faster,
Interface-Agnostic
More and more embedded applications rely on next-generation,
high-performance data acquisition subsystems to gather large
quantities of data at high speeds and convert it into usable form.
The use of switched fabrics, FPGAs and network topologies, along
with a move toward flexible interfaces and vendor interoperability,
d
are helping designers of these subsystems keep pace.
exploration by A
 nn R. Thryft
her your goal
peak directly Senior Editor
al page, the
t resource.

A
chnology,
s high-performance embedded com-
and products
puting systems continue to achieve
new levels of functionality and per-
formance, larger amounts of data must be
gathered, processed and analyzed. Ap-
plications such as radar data acquisition
and video-centric imaging, for situational
awareness on the battlefield or high-speed
mpanies providing manufacturing
solutions nowlines, have vastly increased
the amount
oration into products, of and
technologies datacompanies.
and theWhether
speed your
at which
goal is to research the latest
plication Engineer, or jump to a company's technical page, the goal of Get Connected is to put you
it must be processed.
vice you require for whatever type of technology,
nies and products you For example,
are searching for. next-generation radar
data acquisition systems are being built
for advanced aircraft such as Northrop
Grumman’s E-2D Hawkeye. These will
help the U.S. Navy’s Sea Strike offen-
sive capabilities by increasing battlespace
awareness, providing theater air missile
defense capabilities, improving detection
and tracking, and narrowing the link be-
End of Article
tween sensor and shooter for more agile
Figure 1 A major trend in high-performance, real-time data acquisition boards
and subsystems is the move toward more flexible interfaces.
response to time-sensitive targets. For example, the 400 Mbyte/s StreamStor Amazon SATA Disk
Controller board (bottom) from Conduant uses a modular, mezzanine
approach to external interfaces for direct-to-disk recording: optional
Get Connected interchangeable daughtercards (top) for interfaces such as FPDP,
with companies mentioned in this article. Serial FPDP, FPDP II or the PCI bus.
www.rtcmagazine.com/getconnected

14 January 2006
Get Connected with companies mentioned in this article.
. www.rtcmagazine.com/getconnected
TechnologyInContext

Manufacturers of data acquisition while also delivering high performance. data capture and distribution, in addition
boards and subsystems are leveraging Meanwhile, real-time video imaging to the high I/O throughput rates needed to
existing hardware off-the-shelf building has arrived on the data collecting stage handle large video streams and preserve
blocks—such as intelligent I/O control- in a big way. Video is playing an increas- data accuracy.
lers, embedded switched fabric intercon- ingly central role in capturing additional Distributed shared memory network
nect and high-speed fiber interface PMC data and monitoring operations. On the architectures based on a ring topology are
modules—and adding existing software to factory floor as well as on the battlefield, being utilized to construct high-speed I/O
keep costs and risk down. Combined with data must be processed and fused in real networks that not only meet these needs,
the customization capabilities of onboard time and made available simultaneously but also enable remote processing far from
FPGA-based IP, these components are to all of the system’s nodes. Here, the the harsh environments where the gather-
producing subsystems that meet aggres- challenge for design engineers is to main- ing of data occurs. Data is captured at
sive size, weight and power constraints tain the low latency required for real-time multiple stations and sent to several pro-
cessors, each of which processes different
pieces of that data simultaneously.
On another front, a major break-
through has occurred in the IF data
transfer interface. The emerging VITA
49 standard defines a standard way of
transferring IF data in a digital, link-ag-
nostic format between analog front-ends
g and DSP subsystems. Instead of depend-
w offerin debug
No ware sors! Arium offers robust JTAG emulation ing on application- and/or equipment-
OS a roces specific interfaces to transmit digitized
Linux -based p ay! and development tools for today's
RM d incoming analog signal data to system
for A Call us to embedded software engineers using
targets with ARM7™/ ARM9™/ARM11™, elements, the new format defines a data
Intel XScale®, and TI OMAP™ cores and structure for the transmission of digital
Intel® Pentium® processor families. IF data between multiple sources and
destinations for both receive and trans-
• Full symbolic, source-level Linux kernel mit paths. The standard’s methodology
debug and source-level process debug; for representing digital IF data can be
seamless debug between them! No layered on top of any transport protocol
other vendor offers this powerful or physical communications link.
feature at any price. The ramifications are clear: OEMs
• Real-time, integrated ETM will no longer be tied to vendor-specific
trace data collection at 640 interfaces, but can select interoperable
MHz and a GByte of trace system and subsystem components from
memory. many vendors based on which ones best
fit their applications. As an added bonus,
• Highly integrated designers no longer must rework system
SourcePoint™ IDE with pow- hardware and software each time a source
erful, flexible code editing or destination component changes, thus
with debug integration. speeding time-to-market.
• Real-time performance
analysis for faster, more
accurate results.
• Fast, easy, intuitive run control with
robust C-like command language
facilities.
• SourcePoint debugger available for
Microsoft® Windows® and Linux hosts.

It's hard to compete without the right tools!


American Arium • 14811 Myford Road • Tustin, CA 92780 • 877.508.3970 • www.arium.com

16 January 2006
An Entire Family of High-Speed, Low-Power Universal Intel®
Pentium® M Processor Boards

The cPCI-6840 family combines Intel's latest high


performance low power processor the Pentium® M with the
855GME MCH and two different I/O controller hubs. The
Pentium® M has a highly efficient instruction execution unit
and coupled with a large L2 cache, it can outperform other
processors at the same clock speed. The 855GME has an
integrated 250MHz 32-bit 3D/2D graphics engine capable of
supporting simulations CRT and flat panel displays. The ICH
3U CompactPCI Board based on Intel® Pentium® M packs a plethora of peripherals include Serial ATA 150, PATA,
Processor with ntel® 855GME Chipset USB 2.0, watchdog timer and serial port.

The cPCI-3840 is a 3U CompactPCI Pentium® M For more info, go to:


processor board. Combined with embedded chipsets www.adlinktech.com/products
855GME and 6300ESB, the cPCI-3840 offers up to 2GB
DDR 333 memory with XVGA and flat panel graphics,
dual Gigabit Ethernet ports, and several I/O features. It
delivers higher computing power but at low-power
consumption. The cPCI-3840 is specially designed for
industrial automation and control system applications.

For more info, go to:


www.adlinktech.com/products

ETXexpress Computer-on-Module with Intel® Pentium® M


Processor

As the first member of ADLINK's ETXexpress family,


the ETXexpress-IA533 uses a low power Intel®
Pentium® M processor 760 at 2.0GHz and the Mobile
Intel® 915GM Express Chipset. Both the chipset and
processor are part of the embedded Intel®
Architecture that ensures a long production life for
applications that need extended availability. The
ETXexpress-IA533 supports dual channel DDR2
533MHz memory and comes with a single
on-board Gigabit Ethernet port. In addition to the
onboard integrated graphics, a Graphic PCI Express
x16 slot is also available. The board connects up to
four additional PCI Express x1 devices. The module
has legacy support for 32-bit PCI and ISA through
LPC.
For more info, go to: �Standard 6U CompactPCI and PICMG 2.5 H.110 CT Bus
www.adlinktech.com/products
�PICMG 2.1 Hot Swap compliant 64-bit 8-slot CompactPCI
backplane with P3 & P5 rear I/O
�2+1 Hot Swappable 500W+250W Redundant Power Supply with
Universal AC input
�Guarded Power Switch and Reset Button
�Dual AC-inlet for Dual AC-input (DC input optional)
�LED Indication for Power Status, Fan Status, Temperature Alarm
�Embedded a Chassis Management Tool to Monitor
Full-size ePCI-X SBC featuring Intel® Pentium® 4 (fan, temperature & voltage) via RS-232 port
Processor with HT Technology

The NuPRO-850 features high computing capability and


supports 800/533MHz FSB hyper-threading Pentium® 4.
This product incorporates a PCI-X bus for 64-bit/66MHz Call us toll-free at (866) 4-ADLINK
performance. It has high bandwidth to support AGP8X
performance VGA display and Serial ATA for high speed
or email info@adlinktech.com
storage. The NuPRO-850 also supports USB 2.0 and
generic features such as COM, KB, mouse and
hardware monitoring.

For more info, go to:


www.adlinktech.com/products www.adlinktech.com
TechnologyInContext
Data Acquisition

Standardizing Digital IF
Data Transfer with VITA 49
Intermediate frequency (IF) data normally passes between system elements
in analog format over coaxial cables. VITA is developing a new interconnect
standard for passing IF data between analog front-ends and DSP subsystems
in a digital, link-agnostic format.

by S
 tephen M. Pereira, Chairperson,
VITA 49 Working Group, Mercury
Computer Systems, Inc.

M
exploration any communications systems Standardizing the Digital IF “plug and play” those components. Ven-
her your goal digitize incoming analog signal Interface dors would no longer be required to re-
peak directly information with a high-speed A/ Standardizing the digital IF interface write their digital IF interconnect logic to
al page, the D converter and then route the digitized across receiver/transmitter equipment, yet another data format, saving resources
t resource.
chnology, information between system elements signal digitization and conversion equip- and increasing time-to-market. Standard-
and products for processing and analysis. Typically, ment and signal processing equipment izing digital IF could make system de-
the digitized information is intermedi- would clearly benefit both OEMs and ven- ployment faster and technology refresh
ate frequency (IF) data sent from a radio dors. System manufacturers would no lon- easier for both system manufacturers and
frequency (RF) downconverter to digital ger have to rework their systems each time their vendors.
signal processing equipment or sent from they upgraded a component. In 2004, Mercury Computer Sys-
digital signal processing equipment to an In addition, instead of being locked in tems and DRS Signal Solutions (DRS-SS)
RF upconverter. to a particular vendor, OEMs could pick formed an informal industry group that
Until
mpanies providing solutions now
now, the interface for transmit- and choose the best component for the solicited participation and input from the
ting the digitized IF data stream between application at hand from a marketplace signal acquisition and processing com-
oration into products, technologies and companies. Whether your goal is to research the latest
system
plication Engineer, elements
or jump to a company'sover thepage,
technical communica- of interoperable
the goal of Get Connected is to put youproducts and essentially munity and its OEM customers for the
vice you requiretions linktype
for whatever hasof technology,
been application- and/or
nies and products equipment-specific.
you are searching for. Often, it has also Notional Signal Receiving System
Fabric Fiber/Copper Fabric
been proprietary: the system’s digitiz- IF
ing source packages the IF data into a CN x N

unique, proprietary format, which the RF from RF


signal processing destination must know
Fiber/ Fiber/ Compute
antenna Compute
Copper Copper Node
how to unpack (Figure 1). or signal 3U/6U Node
3U/6U 3U/6U Interface Interface 3U/6U
generator Carrier 3U/6U
As a result, every time a source or des- SBC Carrier Carrier Carrier
Carrier SBC
Tuner ADC
Ctrl Ctrl
tination component changes, the interface
1/2
channels Compute

End of Article
Clock Compute Compute Compute
for passing digitized data between them also Module Node Node Node
Node
changes, and new software must be written
to achieve or restore interoperability. VME VME
Figure 1 In today’s digital intermediate frequency (IF) interface, the boards on
Get Connected either side of the fiber/copper link provide the application-specific and
with companies mentioned in this article. generally proprietary logic that encodes and decodes the digitized data for
www.rtcmagazine.com/getconnected transmission across the link.

18 January 2006
Get Connected with companies mentioned in this article.
www.rtcmagazine.com/getconnected
TechnologyInContext

development of such a standard. The in- specifying a data representation standard. Meta-data support enables a multi-
formal industry group voted to associate The working group has discussed the channel source to add meta-data with a
with the VITA Standards Organization need for three packet types. A stream- channel number to a data sample and then
(VSO), and the VITA 49 Working Group ing data packet defines the base structure interleave the samples in one data pay-
was created to design a digital IF interface for representing digital IF data, a source load. The meta-data associated with the
standard for adoption by VITA. characteristics packet enables components data sample, which contains the channel
in a distributed system to communicate number, allows the destination to deter-
The Digital IF Data their capabilities to each other, and a sta- mine to which channel a sample belongs
Representation tus change packet conveys changes in the when the destination unpacks the data.
The Digital IF Data Representation system’s state. System event support enables a source
(VITA 49) defines a data structure for the The Digital IF Data Representation to communicate information about system
transmission of digital IF data between basic standard (VITA 49.0) defines the events, such as an A/D converter overload
one or more sources and one or more des- streaming data packet. Extensions to this or a radar antenna crossing north, to a des-
tinations for both the receive and transmit standard (VITA 49.x) will define the other tination. Events that affect the entire pay-
paths. The Digital IF Data Representation two types. load can be indicated, as can events that
is link-agnostic: it defines a methodology affect only a portion of the payload.
for representing digital IF data that can Streaming Data Packet Format The packet definition also specifies
be layered on top of any transport proto- The streaming data packet is de- a configuration key for linking a stream-
col and any physical communication link signed to minimize transmission over- ing data packet to other Digital IF Data
(Figure 2). head and maximize its applicability to a Representation packet types that will be
The goal of the Digital IF Data Rep- broad range of applications. It consists of defined in the future.
resentation is to define a data structure a header, a few optional header words, a A streaming data packet can contain
that can be used by a sensor source to variable-size data payload and a trailer up to one million words of data payload.
transmit digitized data to a signal process- (Figure 4). It allows an equipment manufacturer to
ing destination, or by a signal processing Because the Digital IF Data Represen- encode digitized IF data samples of real
source to transmit digital data to an emit- tation has been designed with multi-chan- (unsigned or signed) or complex format in
ter destination. Initially, it is focused on nel beam-forming and direction-finding a comprehensive range of widths, packed
radio IF to convey digitized analog radio applications in mind, the streaming data or unpacked, and tag the data samples (or
signals between RF communication re- packet provides features that address the not) for interleaving or other purposes.
ceivers/transmitters and digital process- requirements of these applications. These The manufacturer must specify how the
ing devices (Figure 3). include timestamp support, meta-data sup- data is formatted within the data payload,
Although the Digital IF Data Repre- port and system event support. including data sample width, type, data
sentation is intended for use in both mili- Timestamp support is critical for syn- packing method and whether or not the
tary and commercial applications, it is chronizing multiple channels of information data samples have meta-data.
particularly targeted toward beam-form- in beam-forming and SIGINT applications. The data payload can also be zero to
ing and direction-finding signal-process-
ing systems, as well as communications
and signal intelligence (SIGINT) systems. VITA 49 Standard
(Data Only)
Any communications system that needs
to change an analog signal to digital in- Data
formation and then send it on for process-
ing—such as police and fire department
communications systems—is a candi- Source Destination

date for the Digital IF Data Representa-


tion. Designers of electronic intelligence Control
(ELINT) systems and software defined One or more sources, each Each channel occupies One or more destinations
radio (SDR) systems may also find this with one or more channels a fraction of a complete
standard useful. or multiple links

Figure 2 The Digital IF Data Representation is a data representation standard


Digital IF Data Representation that is layered on top of an existing transport protocol standard, such
Packet Types as Serial Front Panel Data Port (SFPDP), RapidIO, Ethernet or USB.
The Digital IF Data Representa- These, in turn, are layered on top of an existing physical link protocol
tion uses a packet-oriented approach to standard, such as fiber or copper.

January 2006 19
And you thought SHE was
sensitive?
What about your embedded calibration software with our low Ethernet, GPS, CAN, wireless,
system? drift specs designed to keep your and the operating system of your
Just like sensing the pea under a measurements constant over time. choice.
mattress, your A/D and D/A system And our wide selection of cost Call now and let our technical
must detect and measure accurate- sensitive A/D and D/A boards is team help smooth out those
ly too. So, start your system design something that will make any sensitive issues in your system.
with boards that handle real world princess happy.
irritants like temperature, drift and We invite you to check out our
electrical noise. 12, 14, 16 and 24-bit A/D and D/A
single board computers from
Sleep easier knowing your 12MHz to 533MHz,
measurements are accurate including VGA,
3730 Park Place, Montrose, CA 91020
because the effective number of CompactFlash,® Voice (818) 244-4600 Fax (818) 244-4246
bits for the whole system is close info@embeddedsys.com
to the ideal 12, 14, 16, or 24-bit
resolution of the A/D silicon. www.embeddedsys.com
Benefit from onboard fault pro-
tection circuits that ease system
integration by handling the noise
and power sequencing challenges
common to multi-board designs.
Eliminate the need for cumbersome
and complicated layers of auto-

EPIC TM
EBX PC/104

24-bit A/D
Our MPC624 provides 24-bit A/D
for PC/104 with four channels of
instrument grade voltage measure-
ment and/or four channels of direct
connect to off-the-shelf sensors.

16-bit A/D, 14-bit D/A


Our headless Pentium,® the SBC2596,
offers Ethernet, GPS, CAN, DC/DC
converter, and CompactFlash on
EBX form factor with full 16-bit
A/D and 14-bit D/A.

14-bit A/D, 14-bit D/A


Our SBC4495 is a 486/586 EPIC
form factor with 14-bit A/D and D/A,
VGA/Flat Panel, PCMCIA, wireless,
and GPS.

For more fully-featured A/D and D/A


SBC boards, visit our web site. ©2004 Micro/sys. All brand and/or product names listed are registered trademarks of their respective owners.
TechnologyInContext

enable the transmission of a header im- Notional System Using VITA 49


mediately followed by a trailer to commu- One chassis requires one SBC, one VME bus
Split chassis requires two SBCs, two separate VME buses
nicate an event. The trailer specifies the RF Fiber/Copper Fabric
type of event and the header can contain
RF from
antenna CN x N
a timestamp that indicates when the event or signal
occurred. generator
Compute
Compute
3U/6U Node
Node
Implementing the Standard 3U/6U Carrier 3U/6U 3U/6U
Carrier
3U/6U
To implement the Digital IF Data
SBC Tuner Tuner Carrier SBC
Ctrl 1/2 1/2 Ctrl
Representation, the source equipment Clock channels channels Compute
Compute
manufacturer creates the logic that en- Module Node
Node
codes the digitized data into streaming
data packet format, while the destination
equipment manufacturer creates the logic VME VME

that reads and parses the incoming packet Figure 3 The Digital IF Data Representation passing from source to destination.
and hands off the data to the next process- The A/D converter and the digital IF logic are provided in a single board,
ing stage for data payload decoding. the 3U/6U tuner component. Some signal processing logic could also be
Both source and destination equip- provided on this board.
ment manufacturers generally embed the
digital IF interface logic in FPGAs. The streaming data packet format under design a snapshot of the outgoing data from the
source equipment manufacturer must also in the demo source equipment (DRS-SS) source equipment chassis approximately
publish its compliance with the Digital IF and destination equipment (Mercury). The once per second.
Data Representation’s data payload en- companies then separately developed their A bit in the streaming data packet
coding parameters, typically in the prod- respective source and destination digital had been previously identified to mark
uct’s data sheet. In addition, if the source IF logic based on these demonstration ver- a packet as a snapshot for display. When
equipment manufacturer uses meta-data sions. the tuners receive a request from the dis-
and events, it must publish its meta-data In the demonstration configuration play computer, they set this bit in the next
and event definitions. (Figure 5), two RF signal generators gener- packet they process to mark it as a snap-
Source and destination components ate an RF signal that continuously sweeps shot for the destination equipment. The
using the Digital IF Data Representation over a frequency band to two tuners. Each tuners then send this packet to the display
are immediately interoperable for digi- of these tuners converts the incoming RF computer over the Ethernet connection
tal IF transmission over communications to IF, digitizes it at 80 Msamples/s and en- and output it over the fiber.
links. Vendors never have to rewrite those codes the digitized IF data and timestamp On the other end, the destination
products’ packing or unpacking logic. For into the prototype Digital IF Data Repre- equipment chassis examines the snapshot
example, while the logic in a destination sentation streaming data packet format. bit in the incoming packets and sends the
component that decodes the data payload The tuners then transmit the streaming snapshot packets it receives over the Eth-
will change depending on the application, data packet output over 2.5 Gbit/s Serial ernet connection to the display computer.
the logic that unpacks it will not. Front Panel Data Port (SFPDP) fiber to The display computer shows the snap-
the destination equipment chassis, which shot data from the source equipment chas-
Demonstrating the Standard reads and parses the incoming streaming sis and the destination equipment chassis
In January 2005, Mercury and DRS- data packets. in side-by-side windows. The source win-
SS began preparing a demonstration of Meanwhile, a display computer con- dow shows what was transmitted over the
the prototype VITA 49.0 Digital IF Data nected to the source and destination equip- fiber, while the destination window shows
Representation, using a version of the ment chassis via an Ethernet hub requests what the destination equipment received.
31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

Packet
Header K TS Reserved Number of Data Payload Words (0 to 1,048,575)
Type
Optional (If ‘K’ is set in the Header) V49 Configuration Key
V49 Ext Hdr
Elements Optional (If ‘TS’ >0 is in the Header) V49 Timestamp
(3 words - 1 PPS Time Reference plus 2 words of Delta Time Reference)
Data
Payload
Data Payload (0 to 1,048,575 Words)

Trailer Trailer

Figure 4 Designed to minimize transmission overhead and maximize its applicability to a broad range of applications, the
streaming data packet structure is defined in the Digital IF Data Representation basic standard (VITA 49.0).

January 2006 21
TechnologyInContext

DRS-SS Mercury standard (VITA 49.0), which contains


the streaming data packet definition. The
RF
Gen 1 Chassis Chassis
RF
Gen 2 group was expected to submit the base
standard for balloting in the fourth quar-

Concurrent Controller
ter of 2005. Extensions to the standard, for

Motorola Controller
Mercury PowerPC
10 MHz Ref

Mercury FPGA
Reference
example, the source characteristics and
Source

SI-9136C
SI-9136C
(1PPS)
source status change packet definitions,
2.5 Gb/s Fiber,
areLooking
in the planning
For More?stages and will likely to download
Visit www.rtcmagazine.com
additional technical information related to this article.
S-FPDP be released as future VITA 49.x versions.
Prototype V49
The Digital IF Data Representation
Digital IF serves as a strategic technology that will
enable OEMs to select processor-based
Ethernet Interface
Control/ Ethernet

DSP boards and digital receivers without


Status and Control/
Data Snapshot Status and
Display HUB
Data Snapshot being required to commit to a vendor-spe-
cific interface strategy.

Figure 5 In the configuration for demonstrating the prototype Vita 49 Digital Looking For More?
IF interface, DRS-SS supplied the RF signal generation and source Visit www.rtcmagazine.com
equipment, while Mercury supplied the destination equipment. to download additional technical
information related to this article.

The demonstration showed that two chassis to interoperate despite po-


the sent and received data was exactly tentially different software run-times Mercury Computer Systems
the same: time-tagged digital IF data and/or environments. Chelmsford, MA.
was moving across the fiber link from (978) 256-1300.
source to destination without becoming The Standard Today [www.mc.com].
corrupted. The demonstration also il- The VITA 49 working group has
lustrated the fact that Digital IF enables nearly completed the Digital IF basic

22 January 2006
TechnologyInContext
Data Acquisition

Shared Memory Network


Targets Video-Centric Data
Acquisition
The entry of real-time video data capture technology into data acquisition
and distribution systems is challenging design engineers to maintain the
high throughput and low latency needed by large video streams. Distrib-
uted shared memory networks not only fulfill those needs, but also allow
remote placement of the data processing system.

by R
 alph Barrera, Curtiss-Wright Controls
d Embedded Computing, Data Communications

exploration

A
her your goal
peak directly big change has taken place in data ac- measurements, such as the displacement A/D converters and discrete I/Os. A single
al page, the quisition systems over the last several of an object, the object’s acceleration or processor could easily handle and operate on
t resource.
chnology,
years, as the growing use of video its temperature. the low-level data rates required to measure
and products data in imaging systems has increased the Because throughput requirements were the object’s position, movement or size.
size and speed of the data streams these fairly low, the data could be brought into the
systems need to deliver and process. processing system via normal I/O channels Enter Video
The challenge for design engineers is using analog or discrete signals. The process- Increasingly, however, the trend in
to support the low-latency requirements ing system itself could be built using simple today’s data acquisition systems is to
of real-time data acquisition and distribu-
tion, as well as provide the high through- Control, Monitor, Analysis
put required to handle large video streams and Storage System
mpanies providing solutions now
without dropping frames or diminish-
oration into products, technologies and companies. Whether your goal is to research the latest
ingor jump
plication Engineer, the quality of the
to a company's data.page,
technical A distributed
the goal of Get Connected is to put you
vice you requireshared memory
for whatever network can provide the
type of technology,
nies and products low latency
you are and
searching for. high throughput speed
needed for these more demanding imag- V V
ing systems, while at the same time en- A
able remote placement of the processing
system away from the frequently harsh Process
A A
factory floor environment. A D Being
Controlled
Formerly, traditional data acquisition V Video Sensor

systems (Figure 1) were typically tasked


D D
A Analog Sensor

End of Article
with relatively slow data rates of perhaps
10 to 100 measurements/second at the high
D Discrete Sensor
A A

end, since they conducted simple types of Figure 1 Since traditional, centralized data acquisition systems conducted simple
types of measurements, they were typically tasked with relatively slow
Get Connected data rates of, at most, 10 to 100 measurements/second. These systems
with companies mentioned in this article. could be easily built with a single processor, simple A/D converters and
www.rtcmagazine.com/getconnected discrete I/Os.

24 January 2006
Get Connected with companies mentioned in this article.
www.rtcmagazine.com/getconnected
TechnologyInContext

add video cameras to capture additional System Control and


data and monitor operations. This trend Monitor Terminal
is, in turn, driving a need for greater I/O Data Processing and
Control Terminal
throughput rates. On a typical manufac- Data Processing and
turing line today that produces any sort Storage Terminal
of component, video images are taken of
the objects being produced. These images Ethernet Connections
are used to perform a computer compari- Ethernet Switch Ethernet Switch

son, via a video link, between the object


and a known good image, and to look for
Ethernet
specific characteristics to determine the Connections
object’s quality.
Since this use of video means a huge Data Collection and V V

increase in data, instead of hundreds of Preprocessing Station A

samples a second there is now the equiva- Process


lent of 20 Mbytes/s of data coming from
A A
A D Being
the video and being sent to the computer. V Video Sensor
Controlled

When a negative result emerges from the A Analog Sensor


D D

image comparison, the computer responds A A


Discrete Sensor
by sending control data to affect the manu-
D

facturing process. For example, the system Figure 2 The high data throughput needed for video imaging data acquisition
may divert the bad object to a dump bin. produces challenges not adequately addressed by the point-to-point
Unfortunately, factory floors can topology of an Ethernet network. Ethernet has enough bandwidth to handle
be hot, noisy, dirty and prone to large one video stream but lacks the low latency required to handle closed loop
amounts of shock and vibration. This is process control, and requires substantial processing power to provide the
a less than ideal environment for video- communications protocol.
based processing systems. Another
problem is the amount of cabling often provide the communications protocol. munications any time a new destination
required by video imaging systems. An The source node must know all of the des- node is added, or if a task using the data
imaging system that monitors multiple tination nodes and must specifically send is moved to another node. These interde-
stages of a process with multiple cameras, a message to each of them. This requires pendencies produce a network that is not
distributed over tens or even hundreds of modification of the source node’s com- easily scalable and does not easily accom-
feet, can require significant amounts of
physical cabling, which can create a po- Data Processing / Control / Data Processing / Control / Data Processing / Control /
tential hazard on a factory floor. and/or Monitor Terminal and/or Monitor Terminal and/or Monitor Terminal

The Standard Network


Approach
What’s needed is a high-speed I/O
network that enables remote processing.
Several attempts have been made to ad-
dress the need for the high data through-
put associated with video imaging, using Data Collection and V V

standard networks such as Ethernet. Un- Preprocessing Station A

fortunately, it is not possible to adequately Process


address these challenges with point-to-
A A
A D Being
point message-based networks such as V Video Sensor
Controlled
Ethernet. Although a Gigabit Ethernet D D
Analog Sensor
network has sufficient bandwidth to han-
A
A A

dle one video data stream, it lacks the low D Discrete Sensor

latency required to handle closed-loop


process control (Figure 2). Figure 3 A shared memory network utilizing a ring topology requires very little
processor overhead, supports true data broadcasting and is destination-
Substantial processing power is also
controlled. Every network node has access to all data, while network
required by an Ethernet network just to latency is minimized and throughput capability is maximized.

January 2006 25
TechnologyInContext

GT). SCRAMNet GT is a high-through-


put technology for connecting multiple
processors to form a single, real-time,
distributed processing system in which
memory is shared among the proces-
sors. It supports up to 255 nodes on a
network ring with a data throughput of
up to 210 Mbytes/s.
In a shared memory system the video
data is sent out on the network ring only
once. Because each node has access to all
the available data, if a node selects to use
and display that data it does so without
affecting any other node. Conversely, if a
node decides to drop off and not display
Figure 4 A shared memory network utilizing a high-throughput technology such any data, no other node is affected. The
as SCRAMNet GT can connect multiple processors in heterogeneous receiving station does not have to go back
computers to form a single high-speed, low-latency, real-time distributed to the source and request that the desired
processing system. Up to 255 nodes on a network ring are supported, with data be sent again.
a data throughput of up to 210 Mbytes/s.
This shared network system archi-
tecture can be compared to a television
modate growth. Although some new Eth- Using a shared memory architecture, station broadcast, which is unaffected by
ernet switches do support multi-casting, data captured at multiple inspection sta- how many viewers are watching it at any
performance and complexity would still tions can be fed to a number of proces- given time. It is sent only once, and ad-
be compromised. sors, which can take the parts of the in- ditional viewers do not affect the source.
Ethernet uses a source-controlled pro- formation they need and work on that On the other hand, when a point-to-point
tocol. This means that the source node con- data simultaneously. Another advantage network such as Ethernet distributes a
trols which destination nodes have access of shared memory systems is that more Webcast, it must send the data individu-
to the data. If multiple nodes require such computers can be easily added to the net- ally to every subscriber, significantly bur-
access, the source node simply sends the work as the system’s requirements grow. dening network throughput. SCRAMNet
data to each of them. By sending the data A shared memory network also allows a GT supports a sustainable throughput rate
multiple times the network bandwidth can heterogeneous mix of computers, so that of 210 Mbytes/s, which is comparable
be quickly used up. A single 20 Mbyte/s a specialized high-speed video processing to Ethernet in a one-time, point-to-point
video source would require 40 Mbytes/s computer can be integrated into a network connection.
bandwidth if two nodes required the data. of commercial, low-cost PCs. With Ethernet, however, if the data
If another destination node were added, the A shared memory network, in which needs to be displayed in several different
bandwidth would become 60 Mbytes/s. each node, or computer, has an exact copy places, for example in three locations, then
of the same data, enables all of the imag- the entire data set has to be sent out three
The Shared Memory Network ing system data to be distributed. Each times, tripling the throughput. As through-
with Ring Topology computer on the network can dedicate its put increases on the network it can become
To resolve these problems, a network full processing capacity to a single dis- overburdened, resulting in delays and
is needed that requires very little proces- crete task while working on the same set dropped frames. With video, this reduced
sor overhead, supports true data broad- of data. Changes to the test/manufacturing information quality can result in unaccept-
casting and is destination-controlled. A process—such as adding more sensors or ably jerky images and lost data. Images
shared memory network utilizing a ring changing the processing of the data—may that might be adequate for normal viewing
topology (Figure 3) fulfills all of these re- be easily done by simply adding another may not be acceptable for a quality inspec-
quirements. It ensures that every network computer or changing some routines in tion system using computer vision.
node has access to all data while it mini- an existing computer. The data becomes
mizes network latency and maximizes available to all nodes without the need to Remotely Located Data
throughput capability to capture and dis- change any of the network wiring. Processing
tribute the video imaging data along with To address the harsh factory floor
the low-speed sensor data and commands. The SCRAMNet GT Shared environment, shared memory enables
A shared memory network system also Memory Architecture the data processing to be located re-
lets the data processing phase be handled One example of a shared memory motely from the data acquisition. After
remotely, away from the process that is network architecture is Curtiss-Wright a computer node collects the data and
being monitored and controlled. It there- Control’s Shared Common RAM Net- pre-processes it, the data is placed in
fore eliminates much of the cabling. work, Greater Throughput (SCRAMNet shared memory. With SCRAMNet GT, the

26 January 2006
TechnologyInContext

distance between nodes can be quite high: transfer data around the network ring at sending task doesn’t need to know where
using standard shortwave laser transceiv- 20 Mbytes/s, SCRAMNet GT supports the receiving task is located.
ers, this distance can be as high as 200 to 2.5 Gbit/s data rates and a throughput As video-based imaging systems are
300 meters. With longwave transceivers, of 210 Mbytes/s with a latency of less being more widely deployed, and video
the distance between nodes can reach up than 0.5 microseconds per node. It fea- resolution and speed increase, it is essen-
to 10 kilometers. Cabling is also reduced tures a one-to-many and many-to-many tial to ensure that enough bandwidth is
because only a single fiber optic cable runs built-in broadcast capability and ensures available. In December 2005, at the I/IT-
between each computer, as compared to a that all nodes receive updated informa- SEC Conference in Orlando, Florida, Cur-
point-to-point network, where every cam- tion without intervention from either host tiss-Wright exhibited a SCRAMNet GT
era and sensor must be wired individually or user. The original system supported a system with a total throughput load of 190
back to a panel connected to the process- maximum of 8 Mbytes of memory. Each Mbytes/s. Four video sources—two DVD
ing computers. SCRAMNet GT board, whether VME, players and two video cameras—were run
Because the processing can be han- PCI or PMC, comes with 128 Mbytes of from four nodes generating 50 Mbytes/s
dled remotely, the transceivers on the fac- memory (Figure 4). of streaming video data. Another task
tory floor do not need to be integrated in Another advantage of using shared generating 120 Mbytes/s of additional
high-speed processors, since all that is re- memory is the low programming cost as- data throughput was added to burden the
quired is the simple process of pulling in sociated with application programs. The system. The result was no video data deg-
the data and putting it in shared memory. system designer must assign data only radation or lost frames.
The high-performance processors can be to specific areas of shared memory. Ap-
placed remotely in a safe lab or control plication writers then use the data vari- Curtiss-Wright Controls
room environment. ables corresponding to these addresses Embedded Computing
SCRAMNet GT is the latest version and use the variable names as they would Data Communications
of the popular shared memory archi- normally. Tasks can be moved to other Dayton, OH.
tecture that was first introduced about processors without any changes to the ap- (937) 252-5601.
15 years ago. It is the highest band- plication itself. In actual practice, a task [www.cwcembedded.com]
width shared memory system available. could be talking to another task within
Although the original SCRAMNet the same computer, or to a task on the far
had a 150 Mbit/s data rate and could side of the ring. With shared memory, the

See
CURTISS-WRIGHTat CONTROLS
the RTECC Melbourne
February 28, 2006 Hilton Melbourne Rialto Place
Join us as we discuss how physical layer switches can make interop and
test labs more efficient and cost effective. Discover from the experts at
Curtiss-Wright Controls Embedded Computing how, by automating the
connections, these switches can lower capital equipment and opera-
tion costs, decrease configuration & reconfiguration times by wiring
once, improve efficiency, and decrease time-to-market on products/
projects. Go to www.rtecc.com/melbourne to pre-register and have
your badge waiting at the door. Event Hours are 8am - 3pm.

www.rtecc.com
rtecc_new.indd 3 January 200610:32:53 AM27
1/11/06
TechnologyInContext
Data Aquisition

Data Acquisition Systems


Track Signal Processing
Technology
Signal processing systems are crunching ever larger amounts of sensor
data, in turn demanding data acquisition and recording systems that
can keep pace. Switched fabric interconnect and FPGA-based processing
make possible the development of high-performance data acquisition
and playback systems that reuse existing components and provide
application-specific tailoring where it is really needed.
d
by A
 ndrew Reddig
exploration TEK Microsystems
her your goal
peak directly

A
al page, the s processing capability continues to system can use either embedded storage acquisition systems use RACE++, which
t resource. grow, signal processing systems are technology or a PC-based data recorder. If offers up to 533 Mbytes/s per 6U VME
chnology,
and products using ever larger amounts of sen- the application requires multiple channels slot. Newer systems being developed to-
sor data—in resolution, bandwidth and to disk, from 200 Mbytes/s up to several day use VITA 41 (VXS) technology to
number of channels—to perform their Gbytes/s, the system will typically use a scale up to 2.5 Gbytes/s per 6U slot. VXS
functions. Data acquisition and record- switched fabric interconnect to provide systems can use fabrics such as PCI Ex-
ing systems are required that can test and both scalability and modularity. press or Serial RapidIO, or point-to-point
support these advanced signal processors’ A variety of switched fabrics are avail- links based on the Xilinx Aurora proto-
capabilities. Fortunately, the same tools able with off-the-shelf support for modu- col. The choice of protocol depends on the
and technologies that enable faster signal lar data recorders. Many legacy radar data interoperability requirements within the
mpanies providing solutions now
processing—switched fabric interconnect
and FPGA-based processing—can also be
oration into products, technologies and companies. Whether your goal is to research the latest
plication Engineer, or jump to a company's technical page, the goal of Get Connected is to put you
vice you requireused to implement
for whatever advanced data acquisi-
type of technology, GigE SDRAM
nies and products tion
you systems forfor.a wide range of applica-
are searching
PowerPC CPU
tions, including radar.
Console Flash

Using a Network Model


The primary mission of a data acqui-
sition system is to acquire and store data,
I/O Module Flash Bridge
and lots of it. The first design parameter
to consider is the amount of data that
End of Article
needs to be stored. If the application can
be implemented with a single channel to
PMC / RACE++ 250 MB/s
PMC / VXS 1.0 GB/s
disk, typically up to 200 Mbytes/s, the XMC / VXS 2.0 GB/s

Figure 1 Using a network model for the data acquisition system as a whole lets the
Get Connected system be viewed as a loosely coupled set of processing nodes, each with
with companies mentioned in this article. a PowerPC processor, local memory, I/O module site and bridge to the
www.rtcmagazine.com/getconnected
fabric.

28 January 2006

Get Connected with companies mentioned in this article.


www.rtcmagazine.com/getconnected
TechnologyInContext

system and the complexity of the endpoint provides four independent fiber optic in-
solution, which is typically implemented terfaces connected to an onboard FPGA.
in an FPGA on each VXS card. The module also includes two banks of
One benefit of using a switched fabric DDR buffer memory to support wire-
is the built-in support for a network model speed buffering of all four data channels.
for the system as a whole. The system can When installed on a PCI-X carrier, the
be viewed as a loosely coupled set of pro- PMC module supports full throughput, 1
cessing nodes, each with a PowerPC pro- Gbyte/s transfers between all four chan-
cessor, local memory, I/O module site and nels and the host.
bridge to the fabric (Figure 1). The FPGA can be used to implement Figure 2 In many radar data acquisition
Nodes can be configured as either stor- a wide range of protocols, including Serial systems, a PMC module,
age or I/O nodes, depending on the type FPDP, Fibre Channel and Gigabit Ether- such as TEK Microsystems’
of I/O module installed. Because each I/O net, allowing the same module to sup- JazzFiber, delivers high-
module has its own dedicated processor, port different types of interfaces through speed fiber interfaces.
the software model is very simple. If a Fi- FPGA reconfiguration. Each processing Four independent fiber
bre Channel module is installed, the node chain is independent in the FPGA, allow- optic interfaces connect to
acts as a storage server, responding to cli- ing a single module to support a mix of an onboard FPGA and two
banks of DDR buffer memory
ent requests through the fabric network. protocols if required (Figure 3).
support wirespeed buffering
Alternately, if an I/O module is installed,
of all four data channels.
the node acts as both an autonomous I/O Adjunct Data Processing
server and a storage client, managing its Channels While the adjunct data channels tend
own I/O module and requesting storage to While the primary mission of a data to be lower speed, they also tend to require
disk through the fabric network. acquisition system is to record high-speed some processing for interpretation and
sensor data, most systems also require formatting of the data. Adjunct data chan-
High-Speed Fiber Optic Data some amount of adjunct low-speed data to nels can use off-the-shelf interfaces—such
Transfer be recorded as well. This low-speed data as Ethernet, 1553, SCRAMNet and the
In many radar applications, the sen- typically gives information about the plat- like—or they may require tailored low-
sor data being recorded is converted from form itself, which provides the operating level interfaces such as serial or parallel
analog to digital outside the recorder and context necessary for analysis of the high- TTL, ECL, EIA-485 or LVDS. As long
is transferred using high-speed fiber optic speed data. In some cases, the adjunct as the interface can be implemented on
interfaces. This approach makes it easy data affects the high-speed data record- a PMC or XMC I/O module, it can eas-
to insert a data recorder into the system ing process directly, modifying the type ily be integrated into the data acquisition
without degrading the signal integrity of or amount of data being recorded in real system. The network model enforces a
the data being acquired. The data recorder time as the platform state changes. modular approach to adjunct channels,
typically implements a copy mode that re-
broadcasts the input data, allowing the re-
corder to be inserted between the sensor DDR

and its signal processor without interrupt-


ing the data flow.
sFPDP
The most common format for high- PROC

speed fiber optic transmission is Serial sFPDP PROC


Buffer DMA PCI-X
FPDP, or ANSI/VITA 17.1. Serial FPDP Manager Ctlrs Core

supports 1.062, 2.125 or 2.5 Gbit/s physi- sFPDP PROC


cal links, providing data rates of up to 247
Mbytes/s per fiber. Serial FPDP is de- sFPDP PROC
signed to be a simple, low-latency proto-
col, making it well suited for FPGA-based
implementations. DDR
In many radar data acquisition sys-
Figure 3
tems, the building block that provides A PMC module’s onboard FPGA can be reconfigured to implement
protocols such as Serial FPDP, Fibre Channel and Gigabit Ethernet,
high-speed fiber interfaces is a PMC mod-
allowing the same module to support different types of interfaces. Since
ule, such as TEK Microsystems’ JazzFi- each processing chain is independent in the FPGA, a single module can
ber (Figure 2). Each of these modules support a mix of protocols.

January 2006 29
TechnologyInContext

allowing any customization or tailoring to


Systemwide
affect only the interface in question, not From
Timebase Fabric
the other building blocks of the system.

Applying Effective, Accurate


Timestamping Serial FPDP Timestamp Window
Another common requirement for Receive Insertion Discard
data acquisition systems is the need to Buffer
accept an accurate timecode input and to Manager

apply a highly accurate timestamp to all Serial FPDP Playback Window


of the data streams being recorded. Typi- Receive Delay Insertion

cally, precise sample-to-sample timing is


maintained in the sensor, but the timing Figure 4 Applying windowing to the high-speed data as early as possible in
of each packet of data, both high-speed the processing chain maximizes efficient use of memory and fabric
and low-speed, is important for both data resources, as shown for a typical Serial FPDP processing chain for one of
analysis and for precisely reproducing the four channels.
data at a later time.
Effective and accurate timestamp- or packets for accuracies of 20 to 50 The network model can be used to
ing requires a number of elements, both microseconds. minimize the complexity of these dif-
at the system level and at the individual High-speed fiber optic interfaces ferent implementations. The I/O server
processing node. First, the system needs using FPGA-based PMC modules can software that controls the high-speed data
a system-wide timebase that is distributed support hardware-based timestamping is designed to accept windowing param-
to all of the processing nodes and is ac- through the FPGA, using the system-wide eters from other processing nodes. If the
cessible to both hardware and software in timebase provided by the carrier on the windowing parameters are static, they
each node. Second, the system needs an PMC Pn4 connector. Each fiber optic in- are simply defined at the beginning of
IRIG or other timecode input that can be put accesses the timebase at an early stage the mission and are not changed. If the
precisely synchronized to the system-wide in the processing chain, providing a time- windowing parameters are dynamic, they
timebase and the results broadcast to all stamp with an accuracy of 100 ns or better can be changed in real time by the adjunct
of the processing nodes. Third, each I/O per packet. channel processing node through a simple
channel needs a mechanism for applying During playback, the same FPGA- API call.
the system-wide timebase to input events based approach is used to precisely repro- To maximize the efficient use of
as close to the actual input as possible. duce high-speed data, using the timestamp memory and fabric resources in the sys-
In TEK Microsystems’ data acquisi- and the system-wide timebase to hold back tem, it is usually best to apply windowing
tion systems, the switched fabric intercon- transmission of each packet until the exact to the high-speed data as early as possible
nect is used along with hardware support time it is required. For applications where in the processing chain. For fiber optic
on the carrier cards to implement a sys- such timing is critical, this allows the sys- data, the FPGA processing capability on
tem-wide timebase. In RACE++-based tem to precisely reproduce the packet tim- the PMC module can easily accommodate
systems, the timebase is based on the ing that was recorded. either static or dynamic windowing as a
RACE++ XCLK and has a timing accu- part of the high-speed data procession
racy of 15 nanoseconds. In VXS systems, Applying Static or Dynamic chain (Figure 4).
the timebase is based on an adjunct ref- Windowing
erence clock signal and has a timing ac- In some applications, the high-speed Industry Standard File System
curacy of 8 ns. Hardware support on the data input to the system contains a mix of By building a data acquisition system
carrier card allows IRIG or 1 pulse per critical and non-critical data. Because it is of- using a network model, supporting a mix
second inputs to be precisely timestamped ten necessary to limit the number of attached of high-speed and adjunct channels, and
against the system-wide time. This allows RAID storage devices due to volume, weight implementing timestamping and window-
software to broadcast timing reference or cost constraints, the application often must ing, the storage nodes are presented with
points to the other processing nodes at a selectively decide to record or discard por- the right data, along with enough addi-
frequency of once per second. tions of the high-speed data streams based on tional information to make that data use-
Each processing node can perform the amount of available throughput. ful. The user still needs to be able to ac-
timestamping in either hardware or In some applications, the windowing cess the recorded data, either for analysis,
software, depending on the capability algorithm is determined in advance as a transcription or playback. Typically, data
of the I/O interface being implemented. part of the mission configuration. In other is recorded once but accessed many times
Off-the-shelf I/O modules such as 1553 applications, the algorithm is driven by after the mission is over, making the data
and Ethernet do not support hardware adjunct channel input during the mission format on disk a critical part of the overall
timestamping. Instead, they use soft- and needs to be applied to the high-speed usability and effectiveness of the data ac-
ware-driven timestamping of messages data in real time. quisition system.

30 January 2006
TechnologyInContext

One approach to data formatting is acquisition systems and largely indepen- RAID disk arrays. This makes it possible
to use a real-time implementation of the dent of the specific type of data being to develop very high-performance data
standard FAT32 file system for all data recorded. acquisition and playback systems, with
recording and playback. This file system Signal processing systems today application-specific tailoring where nec-
is directly supported by Windows, Linux make use of switched fabrics to create essary, while reusing existing hardware,
and Solaris workstations, allowing RAID modular, scalable solutions, using FPGA- software and FPGA components for the
storage arrays to be directly accessed by based processors to perform processing majority of the system.
standard workstations without requiring at higher densities than is possible with
special software or drivers. general-purpose processors. The use of
Each channel of data is written to the same tools and techniques supports TEK Microsystems
its own file on the disk, with a common a scalable and flexible approach to build- Chelmsford, MA.
format for headers and other adjunct in- ing data acquisition systems. Leverag- (978) 244-9200.
formation such as timestamps. The use of ing off-the-shelf hardware and software, [www.tekmicro.com].
a standard file system and a common for- along with tailoring when necessary
mat enables the development of a body through FPGA-based processing, allows
of transcription and verification soft- the use of industry standard components,
ware that is common to a range of data enclosures, backplanes, I/O modules and

You define success.

We design solutions.
Mercury customers span multiple industries and face unique computing challenges – whether improving
yields for semiconductor wafer inspection, increasing throughput in medical imaging, rendering high-quality
animation within a defined budget, or optimizing image exploitation to identify IEDs. Why are we so driven to
tackle these difficult computing problems? Because at Mercury your challenges drive our innovation.

Our new Dual Cell-Based Blade solution incorporating IBM® Cell technology delivers
unprecedented performance and processing power. Contact us to learn how our
products and services can optimize your challenging applications.
Let us design an innovative solution for you.
www.mc.com/rtc12 | 866-627-6951

TM

January 2006 31
SolutionsEngineering
Switched Fabric Update

Serial RapidIO Fabric Offers


Robust Scalability and
Performance
Now joining the parallel specification, Serial RapidIO builds on
compatibility and adds flexibility and scalability to a fabric
technology that can span many interfaces and media.

by T om Cox
RapidIO Trade Association

exploration

R
her your goal
peak directly apidIO technology is a fast growing
al page, the interconnect and fabric standard for Logical Specification
Part I Part II Part V
t resource.
embedded systems, providing in- Information necessary for the end point
chnology,
and products creased performance, improved efficiency to process the transaction. (i.e., transaction I/O Message Globally Future
System Passing Shared Logical
and lower cost. RapidIO technology is type, size, physical address)
Memory Spec
supported by a broad ecosystem of leading
vendors with multiple vendors shipping Part III
production switches, endpoints, FPGAs, Transport Specification
boards, software and systems. Serial RapidIO Information to transport packet from end Common
to end in the system. (i.e., routing address)
technology offers a high-speed physical layer Transport
Spec
that can be configured to match bandwidth
mpanies providing solutions now
requirements with different speed variants Part IV Part VI
oration into products, technologies and companies. Whether your goal is to research
and numbers of lanes.
the latestSpecification
Physical
plication Engineer, or jump to a company's technical page, the goal of Get Connected is to necessary
put you to move packet
Serialtype
vice you require for whatever RapidIO builds on the commu-
of technology,
Information
between two physical devices. 8/16 LP-LVDS 1x/4x LP Future
nies and products nication industry’s
you are searching for. common roadmap at (i.e., electrical interface, flow cntl) Serial Physical
the serial physical layer, using a variant of Specs
IEEE 802.3 Xilinx 10 Gigabit Attachment
Unit Interface (XAUI) today for 3.215
Gbits/s. For future 5 and 6 Gbit/s versions, Inter-Operability
it is using a variant of the work done on Specification
the Optical Internetworking Forum’s (OIF)
Common Electrical Interface (CEI).
End of Article
RapidIO architecture has no inher-
ent limitations preventing it from scaling
Compliance
Checklist
indefinitely into the future, following or
Figure 1 The RapidIO protocol can be over both serial and parallel interfaces
and is media-agnostic. Therefore, the serial specification is defined
Get Connected at the physical electrical layer and the rest of the specification is
with companies mentioned in this article.
www.rtcmagazine.com/getconnected preserved at the higher levels.

32 January 2006

Get Connected with companies mentioned in this article.


www.rtcmagazine.com/getconnected
Built to go in harm’s way.

NEW! TPPC64™ (IBM PowerPC 970FX-based SBC)

6U VME64 engine with up to two IBM® 1.8 GHz PowerPC® 970FX CPUs
Up to 4GB of DDR400 SDRAM
VME interface - Universe II VME64x interface
Two 10/100/1000 Ethernet ports
Six USB ports
AC97 audio, two serial ports
Support for Linux™ OS
Ruggedized for up to 30G shock

NEW! TA64™
(AMD Turion 64 Mobil-based SBC)

Low Power, AMD® Turion™ 64 Mobile CPU


Single/2-slot VME configurations
Up to 4GB ECC DDR memory
Four PMC slots, two USB ports
PS/2 keyboard and mouse port
Compatible with USPIIe-USB™ SBCs
64-bit Solaris 10, Windows®
and Linux support
Ruggedized for up
to 30G shock

Our ultra-rugged, mission-critical SBCS


now support more technologies than ever.
USP IIIi™ VMEbus
Computer Extreme environments that need mission-critical reliability need
Themis’ single-board computers.
Two UltraSPARC® IIIi
1.2 GHz CPUs Our high performance, high availability computers have proven
4GB 266DDR SDRAM
themselves in the harshest, most demanding applications and operating
per CPU, 8GB total
Optional TGA3D+™ graphics
environments. Where other systems fail, our systems survive –
Gigabit Ethernet port in extreme temperatures and up to 30G shock.
One 64-bit/66-MHz PMC slot
Up to three PMC And now Themis’ family includes AMD and IBM PowerPC processors
expansion slots in addition to our well-known UltraSPARC VME and Compact PCI
Dual FC-AL ports single board computers and graphic accelerators. So we can support
Additional VME- applications in Solaris, Windows, Linux, UNIX®, and more.
backplane access
Up to 30G shock
Solaris™ OS All are designed for maximum flexibility and low Total Cost of Ownership.
Because the budgeting process can be a pretty hostile environment, too.

So when your mission takes you in harm’s way, you can rely on Themis.

www.themis.com (510) 252-0870

Transformational.

© 2005. Themis Computer, Themis, Themis logo, TA64, TGA3D+, USPIIe-USB and USPIIIi are trademarks or registered trademarks of Themis Computer. All other trademarks are property of their respective owners.
SolutionsEngineering

Parallel RapidIO
Clock Rate 8-bit Mode 16-bit Mode
Sustained Sustained Sustained Sustained
PEAK PEAK
32 byte Op 256 byte Op 32 byte Op 256 byte Op
250 MHz 8 Gb/s 4 Gb/s 7.5 Gb/s 16 Gb/s 8 Gb/s 15 Gb/s
500 MHz 16 Gb/s 8 Gb/s 15 Gb/s 32 Gb/s 16 Gb/s 30 Gb/s
750 MHz 24 Gb/s 12 Gb/s 22.5 Gb/s 48 Gb/s 24 Gb/s 45 Gb/s
1GHz 32 Gb/s 16 Gb/s 30 Gb/s 64 Gb/s 32 Gb/s 60 Gb/s

Serial RapidIO
Clock Rate 1-bit Wide 4-bit Wide
Sustained Sustained Sustained Sustained
PEAK PEAK
32 byte Op 256 byte Op 32 byte Op 256 byte Op
1.25 GHz 2 Gb 1 Gb 1.8 Gb 8 Gb 4 Gb 7.2 Gb
2.5 GHz 4 Gb 2 Gb 3.6 Gb 16 Gb 8 Gb 14.4 Gb
3.125 GHz 5 Gb 2.5 Gb 4.5 Gb 20 Gb 10 Gb 18 Gb

Table 1 Comparison of data rates in different modes and clock frequencies between Parallel and Serial RapidIO.

anticipating industry requirements. RapidIO source-synchronous interface. This means Comprehensive Link Protocol
technology has evolved over the past five that a clock is transmitted along with the A unique feature of RapidIO technol-
years to a full system dataplane fabric, with associated data. Source synchronous clock- ogy is that packet transmission is managed
extensions completed and in progress for: ing allows longer transmission distances at on a link-by-link basis. In the past, with
• RapidIO Flow Control Logical Layer higher frequencies. Two clock pairs are pro- synchronous buses, a mastering device
Extensions Specification vided for the 16-bit interface to help control had to exchange handshake signals with
• RapidIO Data Streaming Logical skew. The receiving logic is able to use the the target device. These signals indicated
Layer Extension Specifications receive clock for re-synchronization of the whether a transaction was acknowledged
- Phase I: Encapsulation and Traffic data into its local clock domain. and accepted by the target device. With an
Management Framework Since the Serial RapidIO specification interface such as the RapidIO specifica-
- Phase II: Advanced Traffic is only defined in the physical layer (Rapi- tion defines, it is not practical to rely on a
Management dIO technology defines the physical layer as synchronous handshake since the receive
• RapidIO Multicast Extensions Speci- the electrical interface and device-to-device port of a link is decoupled from the send-
fications link protocol), most of the controller remains ing port. Therefore, many interconnects
• RapidIO Next Generation Physical the same. As a result, much of the design have ignored this issue and rely on an
Layer Specifications knowledge and verification infrastructure end-to-end handshake to guarantee deliv-
are preserved (Figure 1). This eases system- ery. However, this has the disadvantage of
A comparison of the data rates be- level switching between parallel and serial preventing precise detection and recovery
tween the different modes of Parallel and links. During the initial development stages of errors and forces far longer feedback
Serial RapidIO is given in Table 1. of the Serial RapidIO specifications the loops for flow control.
designers decided to preserve as many of To address this issue RapidIO uses
Flexible Physical Interface the concepts found in the RapidIO parallel embedded control symbols for link-level
The RapidIO logical packet descrip- specification as feasible. The parallel speci- communication between devices. Pack-
tion is defined to be physical-layer-inde- fication includes the concept of packets and ets are explicitly tagged between each
pendent. This means that the RapidIO pro- in-band control symbols. link with a sequence number otherwise
tocol could be transmitted over anything These were delineated and differenti- known as AckID. The AckID is inde-
from serial to parallel interfaces, from ated by both a separate frame signal and pendent of the end-to-end transaction ID.
copper to fiber media. The first physical an “S” bit in the header. In the serial link Using control symbols, the receiving de-
interface considered and defined is known specification this delineation is accom- vice indicates for each packet whether it
as the 8- or 16-bit link protocol end point plished using spare characters (“K-codes”) has been received along with additional
specification (8/16 LP-LVDS). This speci- found in the 8B/10B encoding technique. buffer status information. Receiving de-
fication is defined as having 8 or 16 data In this way, the sending device indicates vices can immediately detect a lost packet,
bits in each direction along with clock and to the receiving link partner the start of a and through control symbols, can re-syn-
frame signals in each direction. packet, end of packet or embedded control chronize with the sender and recover
The 8/16 LP-LVDS interface is a symbol using these codes. it without software intervention. The

34 January 2006
SolutionsEngineering

receiving device then forwards the packet Robust Electrical Interface reduced performance, non-standard bridg-
to the next switch in the fabric, and so on, Serial RapidIO uses differential cur- ing and more complex system design than
until the packet reaches its final target. rent steering drivers based on those de- the adoption of an application-appropriate
Serial RapidIO allows longer trans- fined in the 802.3 XAUI specifications. standard, such as RapidIO technology.
mission distances and thus involves lon- This signaling technology was developed Ethernet developed for system-to-system
ger loop latencies in providing feedback to drive long distances over backplanes. local networks requires heavy over pro-
between the receiver and transmitter on For Serial RapidIO technology, two visioning for embedded applications and
a link. Consequently, the Serial physical transmitter specifications were designated: lacks determinism, reliability and robust
layer specification increases the number a short run transmitter and a long run error handling.
of AckID values from 8 to 32. transmitter. The short run transmitter is The RapidIO fabric provides a robust
Additionally, the Serial RapidIO used mainly for chip-to-chip connections packet-switched system level intercon-
specification now defines a transmitter- either on the same printed circuit board or nect. It provides a partitioned architecture
controlled flow control scheme whereby across a single connector such as that for that can be enhanced in the future. It en-
the receiving port provides information to a mezzanine card. The minimum swings ables higher levels of system performance
its link partner about the amount of buf- of the short run specification reduce the while maintaining or reducing implemen-
fer space it has available. With this infor- overall power used by the transceivers. A tation costs. A RapidIO end point can
mation, the sending port can allocate the user can further reduce the power by low- be implemented in a small silicon foot-
use of the receive buffers of the receiving ering the termination voltages. print. Proven industry-standard signaling
port. The sending port does not have to be The long run transmitter uses larger schemes (LVDS, XAUI) are used for the
concerned that one or more of the packets “voltage swings” that are capable of driv- physical interfaces. Error management in-
shall be forced to retry. ing across backplanes. This allows a user cludes the ability
Looking For More?to detect multi-bit errors to download
Visit www.rtcmagazine.com
additional technical information related to this article.
to drive signals across two connectors and and survive most multi-bit and all single
PCS and PMA Layers common printed circuit board material. bit errors. Even with all these capabili-
The Serial RapidIO specification To ensure interoperability between driv- ties, the RapidIO protocol overhead and
uses a physical coding sublayer (PCS) and ers and receivers of different vendors and latency are comparable to current bus
physical media attachment (PMA) sub- technologies, AC coupling must be used technologies and significantly better than
layer to organize packets into a serial bit at the receiver input. local area network-based fabric technolo-
stream at the sending side and to extract The engineer’s interconnect choices gies such as Ethernet.
the bit stream at the receiving side. This may include use of proprietary, home-
terminology is adopted from IEEE 802.3. grown technologies, legacy interfaces or
Besides encoding for transmission application-appropriate emerging stan- Looking For More?
and decoding for reception, the PCS func- dard technologies. The three leading Visit www.rtcmagazine.com
tion is also responsible for idle sequence choices are Ethernet, PCI Express and to download additional technical
information related to this article.
generation, lane striping, lane alignment RapidIO technology. While the three in-
and de-striping on reception. The PCS terconnect technologies have some simi-
uses 8B/10B encoding for transmission larities, they are quite different in terms The RapidIO Trade Association
over the link. of technical merit. In many cases they can [www.RapidIO.org].
The PCS layer also provides the be highly complementary in the overall
mechanisms for automatically deter- system architecture landscape.
mining the operational mode of the RapidIO was designed specifically
port as either 1-lane or 4-lane, and pro- as a widely applicable, flexible, extensible
vides for clock difference tolerance be- system fabric for embedded infrastructure
tween the sender and receiver without equipment including networking, storage
requiring flow control. The PMA func- and communication systems. PCI Express
tion is responsible for serializing 10- was formulated as an improvement on the
bit parallel code-groups to/from a se- Peripheral Component Interconnect bus,
rial bit stream on a lane-by-lane basis. primarily for the commercial comput-
Upon receiving data, the PMA function ing market. Historically, PCI, because of
provides alignment of the received bit its ubiquitous nature and the consequent
stream to 10-bit code-group boundar- economies of scale, has been adopted
ies, independently on a lane-by-lane within embedded systems despite not nec-
basis. It then provides a continuous essarily providing optimum functionality.
stream of 10-bit code-groups to the There may be a similar desire to force-fit
PCS—one stream for each lane. The PCI Express into applications beyond the
10-bit code-groups are not observable intent of the architectural scope of that in-
by layers higher than the PCS. terconnect. However, this is likely to be
at the expense of inferior functionality,

January 2006 35
/ %"&"' "%#"%'"!
%'&%&%)

%"&"'!"*& !!"*& %'%%&'%'% %&"%'% %&"


%"&"' "%#"%'"!!'!'''&!"%"'%"(!'%&! &"'(" #!&!
#%"('& !'"!%! ,''% %&"'%%&#')"*!%&
   
 


 , ) )"#%&  "%' "!! % %!&&! '
#"*%"%"&"'.!"*& '"(%')&
''&'!(&'%%""'&%"  )%'""'$(

%"&"'. !"*&  #%")& % %'  #', '" '%


%""'& ! #"*%&  (&%%!, ! ) * !&
'"%, ""% #%&"!! '"  #%"%  !& "! ' , '
'&!"'%!!")'"!&&"!!"*&  )%'""'
$( & %&&%' '& &  &%"(& "% ! ' *"% " %""'
% &*'%""'&''% "%(!'"!!&&+#!&)

'& "% !"*&  "%& ' ' &)! '""&


"#%'! &,&'  '!""& ! '"(&!& " %)%& )
)"#%&!-&"''',)'#"*%'"'%(,!!")'

    
       
  
     
!
   
     
 )%'""'$(%!

      -' ' *'   
  "%    

""
" 


 




 


/52'IG%4/%
$/%3(!2$
2%!,4)-% $UAL#HANNEL4/%!CHIEVES

,ATENCY«SEC¢«SEC -"YTESSECAT#05
&./+$-)+#-*.#"-/"* 4+ ( 0./&*"!-*.#"-/"
,,(& /&+*/+")+/",,(& /&+* 
,+-/#0((!0,("3+,"-/&+*."*!*!-" "&1"

/"* 4//&./& .
4/".."
)&* 
 6." 
4/".,"-." +*!

2&/%0."!
)3  6."
)"*   6."
./!!"1  6."  
,+-/%(#!0,("3+,"-/&+*."*!+*(4



4/".."
2&/%0."!



 
       
       

/"* 46."  + '"/"*!&5"4/".


.OTE0ERFORMANCEDATACOLLECTEDUSING'(Z0OWER0##05SRUNNING6X7ORKS!LLTRANSFERSAREFROM#05MEMORYTOREMOTE#05MEMORY,ATENCYMEASUREDFROM
APPLICATIONSENDTOREMOTEAPPLICATIONRECEIVENOTIlCATION INCLUDING%THERNETSWITCHDELAY

15%34)/.3 .%7 N EL4/%


$UAL#HAN
.OWYOUCANUSE'IGABIT%THERNETFORYOURDATAINTENSIVEAPPLICATIONS
ANDGETTHETHROUGHPUTYOUNEEDWITHOUTSACRIlCINGYOURPRECIOUS#05
RESOURCES4HE8'%4/%0-#PROVIDESCOMPLETEOFmOADOFTHE4#0 5$0
)0 2$-!ANDI3#3)PROTOCOLSTOSILICON%XTENSIVEDRIVERANDSOFTWARE
LIBRARYSUPPORTALLOWSYOURAPPLICATIONTOEASILYACCESSTHE8'%USING
STANDARD3OCKETSORDIRECTLIBRARYCALLS

VISITOURWEBSITEATWWWCRITICALIOCOM
SolutionsEngineering
Switched Fabric Update

Storage Systems Merge


into the Express Lane –
PCI Express
What was once the domain of PCI and PCI-X in storage has given way to
PCI Express. PCIe is bringing benefits to storage-system controller boards
as well as to the add-in cards for Fibre Channel, SCSI and SATA host bus
adapters, and to RAID controllers.
Ad Index
by S
 teve Moore
PLX Technology

S
Get Connected with technology and
torage systems large and small have companies is PCIe’sproviding
scalability of bandwidth,
solutions now robust- doubled once more by moving from PCI’s
begun adopting PCI Express (PCIe) Getness and integrity
Connected is a newofresource
data transfer, reduced
for further exploration 64-bit, 66 MHz to the 64-bit, 133 MHz
technology as the interconnect stan- into products,
pin count of ASICs
technologies and simplified
and companies. Whether circuit
your goal performance of PCI-X. This worked well
dard at the card-to-card level, reflecting board
is to research thelayout—all
latest datasheetoffrom
which add up
a company, speaktodirectly
sys- enough in getting throughput to one giga-
a natural evolution from last year’s
withchip- tems
an Application that are
Engineer, faster
or jump and less technical
to a company's expensive.page, the byte per second. However, the next jump
goal of Get Connected is to put you in touch with the right resource.
to-chip level interconnect deployment Extending
Whichever level of service you requirethe bandwidth
for whatever type ofof storage
technology, in performance meant either doubling the
of PCIe. There are many reasonsGet forConnected
the controllers
will help youwas
connecteasy back
with the in theand32-bit
companies products bus width to 128 bits or doubling the bus
transition, foremost among themyou being
are searchingPCIfor. days. Throughput could be doubled rate to 266 MHz. Both have serious draw-
the availability of PCIe-based chipsets for by moving from PCI’s 33 MHz to its next-
www.rtcmagazine.com/getconnected backs. First, 128-bit bus signals would re-
storage-system controller applications. But generation 66 MHz. It was doubled again quire excessive board space for the traces
beyond that, what is driving the transition by going from 32-bit to 64-bit PCI, then and make for high-pin-count chips, adding
cost, footprint, power dissipation and noise
with all those I/Os switching. Secondly,
CPU CPU
Get Connected with technology and companies providing cranking the bus frequency up to 266 MHz
solutions now
increases the effect of clock skews and
Get Connected is a new resource for further exploration into products, technologies and companies. Whether your goal is to research the l
datasheet from a company, speak directly with an Application Engineer,makes it aextremely
or jump to difficult
company's technical page,tothemeet
goal of the
Get Connected
in
MEM
system
touch with the right resource. Whichever level of service you require for timing
whatever type ofrequirements.
technology, This is where
Get Connected will help Root a serialized architecture makes sense.
Complex with the companies and products you are searching for.
you connect
www.rtcmagazine.com/getconnected
Scalability and Reliability with
PCI Express
PCI Express PCI Express Storage interconnects such as U320
Bridge Switch SCSI, SAS, SATA2 and Multi-Gigabit Fi-
bre Channel are delivering performance
improvements with increased connection
Products End of Article
speeds. PCIe offers the ability to deploy
PCI Express multi-port adapters and RAID controllers
without creating a local I/O bottleneck.
PCI Express
Switch
End Point

Get Connected with companies and Get Connected


products featured in this section. with companies mentioned in this article.
Figure 1 PCI Express in www.rtcmagazine.com/getconnected
Storage System board. www.rtcmagazine.com/getconnected

January 2006 39
Get Connected with companies mentioned in this arti
www.rtcmagazine.com/getconnected
SolutionsEngineering

PCI-X Slots drivers are required to accommodate PCIe includes a hot plug capability,
PCIe. From the viewpoint of the system allowing users to replace add-in cards
model, each PCIe port is a virtual PCI-to- and other hardware modules to perform
PCIe device and has its own set of PCIe maintenance without powering down the
PCI-X
PCI-X Bus
configuration registers. It is through the system. Each downstream port includes a
Chipset
upstream port that the BIOS or host can standard hot plug controller. If the PCIe
configure the other ports using standard switch used in an application where one or
PCI Express PCI enumeration. The virtual PCI-to- more of its downstream ports connect to
Add-On Slot
PEX PCIe bridges within PCIe switches and PCIe slots, each port’s hot plug controller
bridges are compliant with the PCI and can be used to manage the hot plug event
8114
Host CPU
PCI-X to-PCI Express PCIe system models. The Configura- of its associated slot. Furthermore, its up-
Bridge tion Space Registers (CSRs) in a virtual stream port is a hot plug client, allowing
Figure 2 Adding PCI Express to PCI-X primary/secondary PCI-to PCIe bridge it to be used on hot-plug-capable adapter
System Boards Requires are accessible by type 0 configuration cards, backplanes and fabric modules.
Reverse Bridging. cycles through the virtual primary bus
interface—matching bus number, device System Board Uses
number and function number. PCI Express
PCIe provides a more robust inter- The earliest deployment of PCIe has
connect compared with PCI-X. This en- been for chip-to-chip interconnect on sys-
hances system reliability and data avail- tem boards, as shown in Figure 1. In this
ability. Since PCIe is a point-to-point example, a dual-host system’s CPUs and
architecture, it eliminates the shared bus memory chips are interconnected via a
that is used in PCI-X. With a shared bus, PCIe root complex. A root complex is a
it is never clear how many devices may re- specialized PCIe switch. The root com-
side and what impact the various devices plex is also connected to a standard PCIe
may have on the bus bandwidth. But with switch to provide several channels of I/O
a dedicated channel for each endpoint, fan-out. In this example, the standard
quality of service and throughput can be PCIe switch fans out the root complex to a
Figure 3 This U320 SCSI host adapter
from PLX has a PCI-to-PCI deterministic. PCIe bridge, switch and a native endpoint.
Express Bridge added to a In the PCIe architecture, the Data The PCIe bridge allows the creation of
PCI-X HBA. Link Layer (Layer 2) provides link man- PCI/PCI-X slots for various I/O functions
agement and ensures data integrity us- including legacy adapter cards and com-
As storage interconnects transition to 10 ing error detection and correction. This munications ports. The second-level stan-
Gbit/s speeds, PCIe can provide the basis layer calculates and appends a Cyclic dard PCIe switch provides fan-out for sev-
for a long-term roadmap for storage-per- Redundancy Check (CRC) and assigns eral PCIe slots. The PCIe endpoint could
formance capability. InfiniBand connec- a sequence number to the information be any number of PCIe devices, such as
tions enabled for PCIe deliver data centers sent from the data packet. The sequence PCIe-native Gigabit Ethernet controllers
a full 10 Gbits/s of clustering connectiv- number allows proper ordering of the or adapters.
ity today. Table 1 shows the performance data packets. The CRC verifies that Some designs may involve a system
scalability as a function of lane count data from link to link has been correctly board with plenty of performance, but fea-
for 2.5 GHz (Gen 1) PCIe. With Gen 2 transmitted. In addition, the PCIe speci- ture only a PCI-X interconnect and need to
(5 GHz) on the horizon, these bandwidths fication allows for providing end-to-end be able to connect to PCIe add-in cards. A
will double, from 8 Gbytes/s to 16 Gbytes/s CRC protection (ECRC) and poison-bit PCIe-to-PCI-X bridge allows the migra-
per direction, for an aggregate maximum support to enable designs to guarantee tion of an existing PCI-X storage system
bandwidth of 32 Gbytes/s. error-free packets. While these features board to accept PCIe add-in cards. This
When the system software finds a are optional in the PCIe specification, allows the creation of a PCIe system board
PCIe bridge or switch, it looks just like they are already integrated in some ven- without having to qualify a completely new
a PCI bridge; no software changes or dors’ PCIe devices. chipset. The catch here is that the bridge

Link Width x1 x2 x4 x8 x12 x16 x32 = PCI 32/66

Bandwidth in Gbits/s (raw, aggregate) 5 10 20 40 60 80 160 = PCI or PCI-X 64/66


= PCI-X 64/133
Bandwidth in Gbytes/s (aggregate) .5 1 2 4 6 8 16
Bandwidth in Gbytes/s (per direction) .25 .5 1 2 3 4 8

Table 1 PCI Express Scalable bandwidth (Gen 1, 2.5 GHz PHY). The yellow box indicates the equivalent maximum bandwidth for a
32-bit, 33 MHz PCI bus; the green, 32-bit, 66 MHz and the magenta, 64-bit, 133 MHz PCI-X functionality.

40 January 2006
SolutionsEngineering

the secondary processor assumes control list of features that build on the PCI and
PCI-X without bringing down the system. This PCI-X legacy—hot plug, ECRC, quality
Device failover operation requires a non-transpar- of service, deterministic bandwidth and
ent bridge in a PCI-X environment. With a generically more robust interconnect
CPU
PLX
PCIe, a non-transparent switch such as the based on a point-to-point topology—are
Bridge
PLX PEX 8532 provides the high-speed enabling robust storage systems for real-
interconnection and domain isolation re- time and mainstream application.
quired for dual-host operation.
PCIe has emerged as the foremost PLX Technology
interconnect standard for chip-to-chip Sunnyvale CA.
Figure 4 Non-Transparent Bridging connections and add-in cards. It provides (408) 331-6400.
between PCI and PCIe. several advantages over its predecessors [www.plxtech.com].
while maintaining software compatibility
must be capable of reverse-bridging mode, and performance scalability. PCIe’s long
which isn’t found on all PCIe bridges. With
reverse mode, the PCI-X port is the up-
stream port, and the PCIe port is the down-

1 2 3
D
D
stream port (Figure 2). DESIGN DEVELOP DEPLOY
Most of today’s high-performance
storage cards use PCI-X. Many such D

cards have a single-chip implementation


to create a one- or two-port adapter card, 0LUG)NA0OWER15)##©)))
and they use several adapter ICs to cre-
ate multi-port adapter cards. A PCI-X-to-
PCIe bridge allows the rapid deployment
of PCIe connectivity, as shown in Figure 3.
If a multi-port solution is desired, multiple
adapter devices can be connected on the
PCI-X bus, but the maximum bus rate will
depend on the total number of PCI-X de-
vices on the bus. Many PCI-X devices are
capable of handling multiple secondary
devices at frequencies less than 133 MHz.
One word of caution: Since the data flows
through a PCI-X bus and PCIe link, the
maximum throughput will be limited by
the latency of the bridge. This constric-
tion is a function of the transaction layer
packet (TLP) sizes and how many resul-
DESIGN
4HENEXT
%0XX-0R0-#"OARD
tant retries are required, but it is unlikely -0#XXUPTO-(Z $UAL'IGABIT%THERNET 23 -ONITOR0ORT
GENERATIONOF
that a full 1 Gbyte/s will be achieved with 0LANETCORE©&IRMWARE3UITE %MBEDDED/PERATING3YSTEMS/PTIONS
a x4 PCIe link. CONNECTED
/FF THE SELF 3EMI #USTOMOR$ESIGN9OUR/WN
Intelligent adapters, including RAID DEVICES
controllers, employ a processor on the card 1UALITYISDESIGNEDINTOEVERY%MBEDDED0LANETPRODUCT%ACHPRODUCTIS
in order to offload the host. Non-trans- DESIGNEDTOTAKEYOUFROMPROTOTYPETHROUGHPRODUCTIONQUANTITYVOLUMES
parent bridges have been used in PCI- and
PCI-X-based cards to achieve domain iso- DEVELOP 3UPERIOR$OCUMENTATIONENSURESTHATYOUAREUPANDRUNNINGSHORTLYAFTERYOU
OPENTHEBOX6ISITOURWEBSITETODOWNLOADOURDOCUMENTATION
lation between the host and the processor 9OURPRODUCTS
on the card. A PCIe card employs a bridge BASEDONOUR /URENGINEERSCAN3UPPORTYOURPROJECTWITHBOTHHARDWAREANDSOFTWARE
or a switch with non-transparent bridging PLATFORM EXPERTISETOHELPYOUMEETYOURTIMEANDBUDGETCONSTRAINTS
for this purpose (Figure 4).
A multiprocessor architecture can 7ECANCUSTOMIZEANYOFOURMODULESFORYOURAPPLICATION
provide high system reliability. After 6ISITOURWEBSITEORCONTACTUSTODAYFORYOUR
COMPLETESOLUTION
enumeration by the primary processor, a DEPLOY
secondary host monitors the system state, 9OURSOLUTION
2ICHMOND2D#LEVELAND /(
including the health of the primary pro- 4EL&AX
FASTER WWWEMBEDDEDPLANETCOM
cessor. If the primary processor fails, then

January 2006 41
IndustryInsight
Dual-Core Processors

Dual-Core Processing Drives


High-Performance Embedded
Systems
Dual-core processors such as the AMD Opteron can overcome the problems
associated with high-performance single-core CPUs, while delivering
performance increases. Combined with improved microarchitecture,
multithreading and HyperTransport connectivity, this technology is being
harnessed to the needs of demanding embedded applications.
d

exploration by Matt Stevenson and John Hill


er your goal WIN Enterprises
peak directly
al page, the
t resource.

D
chnology,
and products ual-core CPUs have been com- performance with each CPU generation, transmission. At the highest frequencies,
mercially available since 2000 but the current level of miniaturization of tunneling can become so extreme that it
when IBM first introduced the feature sizes is forcing IC manufacturers totally negates signal recognition.
IBM POWER4. They provide a method to look to more innovative solutions. To drive high performance across
for gaining greater performance while The problems caused by extreme smaller, more powerful transistors re-
avoiding the increases in form-factor, in- feature density are interrelated. Electri- quires more power. In turn, higher power
cremental heat and power requirements cal features in extreme proximity produce results in unacceptable levels of waste
associated with the higher feature density quantum effects, i.e., electrons that ran- heat as power (wattage) increases and
mpanies providing solutions now
of fast single-core processors. In pursuing domly tunnel across the CPU’s features produces more unwanted quantum ef-
oration into products, technologies and companies. Whether your goal is to research the latest
dual-core
plication Engineer, or jump to aCPUs, thetechnical
company's major IC manufactur-
page, causing isinterference
the goal of Get Connected to put you with normal signal fects. Machines with dense CPUs run-
vice you require ers have acknowledged
for whatever type of technology,that the historical ning at higher wattage are noisier, be-
nies and products approach of gaining
you are searching for. performance by sim- Semiconductor Technology Generations cause they require additional, more pow-
ply increasing CPU feature density has by Feature Size erful fans for cooling. Fan motors add yet
reached diminishing practical returns. (averaged across vendors) more electrical noise.
The current generation of high-per- Dual-core processors, such as the
Feature size
formance CPUs (Table 1) is 90 nanome- Year (in nanometers) Comments AMD Opteron, can mitigate these prob-
ters (nm) between surface features, thus 1982 1,500 lems while at the same time enabling sig-
entering the realm of bona fide nano- nificant increases in performance.
1993 600
technology, which is 100 nm and below.
End of Article
However, at this extreme density, there
are many unwanted effects. The industry
1998
1999
250
180
The AMD Opteron Dual-Core
Processor
has grown accustomed to ever improving 2001 130 The AMD Opteron processor is a
2003 90 current generation
high-density, 90-nm CPU, packing 233
Get Connected million transistors on a 199 mm2 die. The
2005 65 just beginning to appear
with companies mentioned in this article. chip is microarchitected to lessen un-
www.rtcmagazine.com/getconnected Table 1 wanted effects, principally through thread-

42 January 2006
Get Connected with companies mentioned in this article.
www.rtcmagazine.com/getconnected
IndustryInsight

Sandra CPU Floating Point Benchmark


level parallelism. It uses other technology,
such as HyperTransport interconnect, in
order to work smarter, not hotter. 280
Dual-core processors are most effec-
tive in applications that feature highly par-
allel processes. However, the technology 265
can realize significant gains when applied
to nearly any application that involves
all but the simplest sequential number 252 2x Opteron 252: 60099
crunching. IBM, which has incorporated
the dual-core AMD processor in some of
its servers, reports 60% faster processing 244
with a 2.2 GHz dual-core AMD Opteron
processor versus AMD’s 2.6 GHz single-
core processor in tests using the Linpack 2x Opteron 280
165
HPL benchmark. Other tests, such as [2 Core]: 110952

floating-point and integer processing, have


yielded even better gains (Figure 1).
0 20000 40000 60000 80000 100000 120000

This increase in performance has Floating-Point x8 iSSE2 (it/s)


generated interest among OEMs designing
AMD Opteron 165 [2 Core] 1.8GHz 2x1ML2
embedded systems for demanding, low-
2x AMD Opteron 244 1.8GHz 1ML2
latency, high-performance applications,
such as industrial automation, military,
2x AMD Operton 252 2.6GHz 1ML2

medical and security imaging, storage and 2x AMD Operton 265 [2 Core] 1.8GHz 2x1ML2

telecommunications. The dual-core AMD 2x AMD Operton 280 [2 Core] 2.4GHz 2x1ML2
Opteron processor enables basic reference Figure 1 In floating-point performance tests (iterations per second) conducted at
designs that can be modified to meet these WIN Enterprises, the 2.4 GHz dual-core AMD Opteron 280 shows an 85%
systems’ needs for compactness, design improvement over the 2.6 GHz single-core Opteron 252. This improvement
longevity, lower power consumption, low can be attributed to the dual-core processor’s ability to multithread its tasks.
latency and high reliability, often in harsh
environments.
Single-Core Opteron Dual-Core Opteron
In response to market forces and
evolving technology in x86 processors,
such as the AMD Opteron and Pentium M, CPU0 CPU0 CPU1
many designers of high-performance em-
bedded systems are turning from highly
1MB 1MB 1MB
specialized platforms to x86-based solu- L2 Cache L2 Cache L2 Cache
tions. These systems typically run either
Windows Embedded XP or Linux. System Request Interface System Request Interface
Regardless of the operating system
chosen, it should be dual-core-aware in Crossbar Switch Crossbar Switch
order to provide the benefit of multi-
threading. The dual-core AMD Opteron
provides improved 32-bit legacy appli-
HyperTransport HyperTransport
cation support, in addition to concur- Memory
0 1 2
Memory
0 1 2
rent 64-bit performance. This ability to Controller Controller
support legacy applications enables a
smooth upgrade path in the enterprise
market and expands the dual-core Opter-
on’s flexibility in the high-performance Figure 2 The dual-core AMD Opteron processor utilizes the same basic architecture
embedded market. as the single-core Opteron, but reduces board-level footprint. The two
Terascala, which manufactures stor- cores connect to a common crossbar that manages processing tasks and
age appliances for Linux-based clusters, a dedicated L2 cache for each core provides scalability.

January 2006 43
IndustryInsight

A high-speed, low-latency technol-


ogy, HyperTransport enables signifi-
cant increases in communication speed
between chips and I/O functions. It is
scalable and can be used in expanding
a dual-core design into a quad-core de-
sign through the use of stackable exten-
sion boards.
HyperTransport is both competitive
with, and complementary to, PCI Ex-
press. Either can be used for both chip-
to-chip and board-to-board intercon-
nection, and they can be deployed either
exclusively or together, depending on
the application. A typical dual-core
CPU design interfaces Hyper Transport
with PCI Express. This takes advantage
of PCI Express’ support for a wide se-
lection of chips as well as HyperTrans-
Figure 3 The MB-06047 EBX SBC from WIN Enterprises contains a low-power port’s throughput performance where
dual-core AMD Opteron CPU with a PCI Express slot, a CompactFlash it counts most, allowing embedded de-
socket, an ExpressCard socket, 4x SATA and a stackable HyperTransport signs to be optimized for both function
connector.
and performance.
is utilizing the dual-core Opteron CPU on der to control the dual-core CPU’s level HyperTransport features two uni-
motherboards co-designed and manufac- of waste heat production. However, even directional, point-to-point, high-speed
tured by WIN Enterprises. The combina- with multithreading techniques, higher connections that integrate chips, boards
tion of HyperTransport connectivity, im- performance is not a given. and other bus structures. The technol-
proved microarchitecture and dual-core The new x86 microarchitecture of ogy is also used in the integration of
CPUs enables these storage systems to the dual-core AMD Opteron processor is DDR memory with the CPU, enabling it
provide the high performance, scalability highly sophisticated. For instance, it fea- to reside on the same die space. Sepa-
and high I/O throughput required by their tures an integrated DDR memory control- rate HyperTransport links serve the I/O
enterprise customers. ler. On-chip local memory in the form of functions.
Since Terascala rack-mounts several L2 cache eliminates the need for the CPU
storage units into its cabinets, the benefits to constantly fetch processing loads from Applying Dual-Core Technology
of a high-performance processor with a RAM, as would be necessary with tradi- to High-Performance
smaller footprint and less waste heat are tional Northbridge bus architectures. Embedded Designs
especially important in serving data stor- Some of the Northbridge functional- WIN Enterprises was one of the
age application needs. In addition, trans- ity, such as the memory controller, is de- first board vendors to apply dual-core
action-intensive storage environments signed into the CPU for greater through- AMD Opteron technology to the needs
require the dual-core architecture’s low put, resulting in a low-latency intercon- of high-performance embedded com-
latency, which approaches real-time per- nect. This compares to the traditional puting. Working closely with AMD, the
formance (Figure 2). Northbridge/Southbridge bus architecture, company had to innovate in order to
which can gate high system performance. solve several different problems in ap-
Multithreading This design innovation in microarchitec- plying the technology to mobile servers,
Multithreading separates program- ture is a major reason for the performance imaging devices and databank manage-
ming into concurrent tasks across the gains of both single- and dual-core AMD ment applications.
two processing cores for enabling paral- Opteron processors. First, an appropriate form-factor had
lel processing. This results in more ef- to be decided upon. After evaluating a
ficient processing and system resource HyperTransport Technology range of formats, including mini ITX,
utilization. An AMD Opteron dual-core Originally begun at AMD, Hyper- EBX, PICMG 1.3, ETX and EPIC, an
design with two 2.2 GHz cores on a sin- Transport technology is a major advance- EBX SBC was selected (Figure 3), since
gle die can outperform a 2.6 GHz single- ment in chip-to-chip and board-to-board it is increasingly sought by designers of
core CPU because the dual cores can interconnection, and an important en- high-performance embedded systems,
efficiently divide their processing tasks. abling technology in multicore CPUs, partly for its small size.
This is true even though the clock fre- which is being applied to both commer- WIN decided to populate the EBX
quency of the dual-core solution is slower cial and embedded computing by several form-factor of the MB-06047 SBC with
than that of the single-core solution in or- manufacturers. state-of-the-art components. These in-

44 January 2006
IndustryInsight

cluded dual-core Opteron processors Dual-core CPU technology is at the WIN Enterprises
with HyperTransport, PCI Express, leading edge of high-performance em- N. Andover, MA.
USB 2.0, ALC850 audio and Gigabit bedded designs. Dual-core and Hyper- (978) 688-2000.
[www.win-ent.com].
Ethernet. Transport technology enable a significant
In designing this board, the nVidia advance as a standardized x86-based plat-
nForce 2200 chipset was chosen to work form that fulfills the requirements of low
with the AMD CPU. However, the two latency and high performance in a small
had never been used together in a small form-factor. This approach is seeing a
form-factor, which presented some de- high level of OEM interest, evaluation and
sign challenges. The successful mating application.
of dual-core and small form-factor was
a breakthrough for the embedded OEM
market.
Other challenges were overcome by
designing a 10-layer motherboard rather
than utilizing the traditional 6 layers.
Nextcom, a leading manufacturer
of extreme performance, mobile, small-
footprint computing products, is utiliz-
ing the Opteron dual-core CPUs on a
related design, the MB-06048, which
is a PICMG 1.3 form-factor. This is be-
ing used in a field-rugged, mobile data
communications server used by military
and government agencies. The advanced
SBC enabled Nextcom to respond to
market requirements for a distributed
computing appliance that integrates
legacy technology, performs multiple
processes simultaneously, utilizes the
advantages of COTS technology and al-
lows application customization as mar-
ket needs evolve.
The high-performance comput-
ing power of both single- and dual-core
AMD Opteron processors is being lever-
aged in Nextcom’s field-deployable units,
the FleXtreme Vigor and NextDimension
products. These small units top out at 2.6
GHz per processor. They use the stack-
able HyperTransport extension boards to
offer quad-core CPU processing capabil-
ity to military, government agency and
other customers.
A WIN PICMG 1.3 reference design
is also being used by a major workstation
vendor in its medical imaging solution.

Software Considerations
Software is increasingly a concern
in high-performance embedded designs,
and that usually means Linux. To com-
plement its efforts in high-performance
small form-factor designs, WIN devel-
oped its own standard BIOS, as well as
a downloadable Linux image for product
testing and a Linux SDK.

January 2006 45
IndustryInsight
Dual-Core Processors

System Tracing Tools


Ease Transition to
Multicore Processors
Although multicore processors can deliver higher performance per watt
and true concurrency, they require different programming models from
those used for uniprocessors. System tracing tools can ease the transition
to multicore processors by simplifying troubleshooting and design
optimization, as well as aid the process of migrating legacy code to
multicore hardware.
d

exploration
er your goal by D
 errick Keefe and David Inglis
peak directly QNX Software Systems
al page, the
t resource.

F
chnology, MPC8641D
and products aced with growing energy consump-
tion and excessive operating tem-
e600 Core e600 Core
peratures caused by high CPU clock 1 MB
L2
1 MB
L2
speeds, microprocessor vendors have ad- 32 KB 32 KB (ECC) 32 KB 32 KB (ECC)
opted a new approach to boosting system D-Cache I-Cache D-Cache I-Cache
performance: integrating multiple, inde- MSS MSS
pendent processor cores on a single chip. MPX Bus
Intel, for example, has proclaimed that all
mpanies providing solutions now
of its new CPUs will use multicore archi-
oration into products, technologies and companies. Whether your goal is to research the latest 64-bit DDR/ 64-bit DDR/
tectures
or jump toand recentlytechnical
produced a roadmap
QUEUE

QUEUE

Local MPX Coherency


lication Engineer, a company's page, the goal of Get Connected is toBus
put you MPIC Module DDRII SDRAM DDRII SDRAM
vice you require that detailstype
for whatever processors
of technology,based on two, four Controller Controller
ies and productsandyou eight cores.
are searching for.
Multicore processors are taking
root in embedded designs, with the in-
troduction of chips such as the dual-core
DMA
Freescale MPC8641D (Figure 1), the
16-bit FIFO 16-bit FIFO
dual-core Broadcom BCM1255, the quad- Perf Mon
Trace Buffer 8-bit FIFO 8-bit FIFO 8-bit FIFO 8-bit FIFO
core Broadcom BCM1455 and the dual- DUART
core PMC-Sierra RM9000x2. Processors 2x I2C
SRIO
End of Article Timers Gigabit Gigabit Gigabit Gigabit
like these will play a big role in embed- Ethernet Ethernet Ethernet Ethernet 1x/4x PCI Exp
or
ded applications, especially in networking PCI Exp
x1/2/4/8
and communications systems, which have x1/2/4/8

Get Connected Figure 1 Multicore chips such as the Freescale MPC8641D dual-core PowerPC
with companies mentioned in this article.
www.rtcmagazine.com/getconnected
processor offer much better performance per watt than existing
uniprocessor designs, as well as truly concurrent multi-tasking.

46 January 2006
Get Connected with companies mentioned in this article.
www.rtcmagazine.com/getconnected
"7"*-"#-
&
$0..&3 '30.
%1.'&"563&4 $*"-
50 '6--.*
s.4 80 ,INUX 6X7ORKSAND3OLARISALLRUNON BOARDAND
THERES08%SUPPORTFORDISKLESSBOOTINGINSECURESYSTEMS
-
s4WO'B%THERNETPORTSINCOMPLIANCEWITH6)4!FOR
BACKPLANEFABRICSWITCHING

s53" $6) )'RAPHICS 3ERIAL!4! AND0#) 8AREINTE


GRATEDINTOTHE#HIPSET

s2UGGED EXTENDEDTEMPERATUREVERSIONSAREAVAILABLEWITH XXXEZOBUFNDPNTBMFT!EZOBUFNDPN


WEDGELOCKSANDCONDUCTIONCOOLINGINCOMPLIANCEWITH
)%%%3PEED STEPCAPABLE $YNATEM )NC -ADERO 3UITE# -ISSION6IEJO #!
IndustryInsight

long pushed the limits of conventional uni-


processor technology.
Compared to uniprocessor chips, Core 0 Core 1
multicore processors offer several advan-
tages, including true concurrency and
greater performance per watt. The prob- Process 1 Process 3
lem is, most embedded software design-
ers and engineers have little or no exper-
tise in the programming models used for
multicore chips. Instead of relying on in-
creasing clock speeds to achieve greater
performance, they must now learn how
to achieve the highest possible utilization Process 2 Process 4
of every available core. Without the right
tools, however, it can be extremely difficult
to assess whether maximum utilization
has, in fact, been realized.
Migrating legacy code to multicore System-tracing view Traditional debugger view
hardware is also an issue. In a uniproces-
sor system, the OS automatically serializes Figure 2 Analyzing the system-level interactions among the multiple software
the operation of applications. Multiple tasks components of multicore systems is beyond the scope of traditional
may appear to run simultaneously, but in debuggers, especially since many of these components communicate
fact only one task runs at any point in time. across cores. Consequently, developers need system-tracing tools that
In a multicore system, multiple tasks really can analyze how the multicore system behaves as a whole.
do run concurrently, and this can quickly information stored in the processor cache. drill down to see what threads are doing
accentuate any incorrect assumptions that As a result, processes or threads that com- in a problem area. A thread-priority view
an application makes about access to shared municate frequently with one another across aids in detecting whether certain threads
system resources. Consequently, an appli- cores—for example, a process that provides are getting too much or too little CPU time
cation that runs perfectly in a uniprocessor services to client applications—can consume because of their assigned priority.
system may suddenly behave incorrectly a noticeable amount of system capacity. Using this information, the frequency
when deployed in a multicore environment. A tool that performs system-level trac- and efficiency of inter-core communica-
To address these issues, vendors such ing is invaluable in identifying this kind of tions can be gauged. From there, the de-
as QNX Software Systems have introduced behavior. Such a tool can gather a massive veloper can make an informed decision
system tracing tools. These provide a com- amount of system information, including about how to correct the problem. For in-
prehensive view of a multicore system, al- hardware interrupts, kernel calls, schedul- stance, processes that have a high affinity
lowing the developer to visualize detailed ing events, thread-state changes and vari- for one another can be bound to the same
system interactions and pinpoint potential ous forms of interprocess communication processor core. Doing so would reduce
bottlenecks such as excessive message pass- (IPC), such as signals and messages. To the overhead of inter-core communica-
ing between cores (Figure 2). Such tools be useful, however, the tool must allow tions and eliminate the attendant cache
can also help analyze resource utilization, the developer to filter out everything ex- thrashing that degrades performance. The
including CPU usage, on a per-application cept for the information relating to inter- same system-level tracing tool could then
basis and suggest how to distribute applica- core communications, in order to quickly be used to profile the new configuration
tions across cores for optimal performance. see which threads are communicating be- and measure the efficiencies gained.
tween cores and identify which cores are
Reducing Excessive IPC executing a given thread over time. Finding Opportunities for
Between Cores To further isolate the problem, the tool Parallelism
Excessive message passing between can provide statistics such as interrupts per To maximize application perfor-
cores can seriously impede system perfor- thread, which help identify threads that mance on multicore processors, the devel-
mance in a multicore design. Every time a cause excessive inter-core communication. oper must take advantage of the hardware
core sends a message, it must write the mes- The tool could also display CPU utiliza- parallelism offered by these chipsets.
sage to memory and send an interrupt to the tion to help the developer examine core First, it must be determined where paral-
receiving core. The receiving core must then utilization from a system perspective, a lelism will have the biggest payoff. Start-
service the interrupt, schedule the software process perspective and a thread-priority ing with a system-tracing tool, processes
process or thread that will handle the mes- view. A system perspective helps identify or threads within processes that consume
sage and read the message from memory. potential problem areas, such as whether large amounts of CPU time can be singled
Together, these operations entail considerable a core is underutilized or 100% utilized. out. Once a particular process or set of
overhead, especially since they might not use A process perspective helps the developer processes has been identified, an applica-

January 2006 49
IndustryInsight

apply a parallelization strategy. For in- multiple threads from accessing the resource
stance, if a compute-intensive algorithm at the same time. Thus, if two threads on sepa-
has independent steps, breaking the algo- rate cores both access resources locked by the
rithm into multiple functions and spawn- mutex, those threads can spend considerable
ing those functions as separate threads time contending for the lock. Instead of run-
can improve performance in a multicore ning concurrently with one another—which
symmetric multiprocessing (SMP) sys- is the main benefit of a multicore design—the
tem. This focused approach to parallel- threads must take turns executing.
ization using system-tracing tools helps One example of resource contention is
ease the transition to multicore platforms the routing table in a networking applica-
and enables applications to realize the in- tion. In a uniprocessor environment, only
creased throughput and performance that one process can access the routing table at
these architectures promise. a time. In a multicore environment, on the
other hand, threads on each core can access
Figure 3 Using an application profiler, a
developer can quickly pinpoint Eliminating Resource the table simultaneously, thereby creating
compute-intensive functions, Contention contention. Being able to identify the source
in this case, nanospin_clock(). The first thing a developer may no- of such contention can save a developer a
An application profiler tice after moving software to a multicore significant amount of time and frustration.
can also display call-tree environment is that performance doesn’t In fact, eliminating contention can have a
information, which helps increase as much as expected. In many huge performance payoff, even in systems
identify execution paths that cases, this slower performance results that appear to run acceptably fast.
consume the greatest number from resource contention. To help identify resource contention,
of CPU cycles. By allowing processes to run concur- a well-designed system-tracing tool may
tion profiler can be deployed. This ana- rently, a multicore processor can expose re- provide several features. For instance, it
lyzes function-level performance within source contention issues never encountered can highlight processes that are frequently
individual processes to determine which on a uniprocessor system. For instance, a ready to run but are blocked, generate sta-
code inside a process or thread is consum- common SMP bottleneck occurs when two tistics for threads that are blocked because
ing the most CPU cycles (Figure 3). or more threads share a data structure pro- of resource contention caused by threads
Once compute-intensive functions tected by a mutual exclusion lock, or mutex. on other cores and provide a graphical rep-
have been identified, the developer can A mutex protects a resource by preventing resentation of core-to-core messaging.
Other features—such as a search fa-
cility for finding specific system events
and a timeline that graphically displays
the flow of execution with high-resolution
timestamping—allow high-runner cases to
be examined and the root cause of the con-
tention to be pinpointed. Armed with this
information, the developer can decide how
best to optimize the system. For instance,
the application can be divided into more
threads to further parallelize the computa-
tion. Alternately, the source of contention
can be removed by, for example, replicat-
ing the resource across cores.

Using Performance Counters to


Pinpoint Bottlenecks
Some multicore processors allow doz-
ens of performance metrics to be captured,
which can be used to isolate performance
bottlenecks. These metrics range from ex-
tensive cache metrics to simple cycle counts,
Figure 4 System-tracing tools such as QNX Momentics can be used to optimize
performance in a multicore system. The Multicore Info panel (top left) reveals
that is, the number of CPU clock cycles that
where the greatest amount of core-to-core communication and thread occur between reads of a counter. Although a
migration is occurring, while the panel at top right identifies when a given large number of different metrics can poten-
thread is migrating from one core to another. The Overview/CPU Activity panel tially be counted, the hardware usually pro-
(bottom) shows which part of the system tracing log file is being analyzed. vides a limited number of counter registers

50 January 2006
IndustryInsight
Looking For More? Visit www.rtcmagazine.com to download
additional technical information related to this article.
to do so. Because each counter is capable This is especially true if the OS can trans- This capability makes it much simpler to
of counting one of many possible metrics, parently manage the allocation of shared eliminate identified bottlenecks, such as
configuring a given counter for a particular hardware resources in a multicore chip. excessive messaging between cores.
metric can be a daunting task. Moreover, an OS designed for multicore
A well-designed system-tracing tool processing can provide the flexibility to QNX Software Systems
can address this problem by “pre-configur- implement the best optimization strategy Development Tools Group
ing” high-runner metrics. For instance, the that the tools suggest. The QNX Neutrino Ottawa, Canada.
tool can highlight excessive cache misses, RTOS, for example, supports bound multi- (613) 591-0931.
which can indicate unnecessary thread mi- processing. This is an execution model that
gration from one core to another. The tool offers the transparent resource management Looking For More?
Visit www.rtcmagazine.com
can also highlight bus stalls, which suggest of traditional SMP, but that also allows the to download additional technical
that two concurrent threads are running in locking of any process
layout.qxd to a specific
12/16/05 4:57 core.
PM Page 1 information related to this article.
a non-optimal manner.

Reducing Unnecessary Thread


Migration
To achieve optimal performance in
a multicore system, some operating sys-
tems support soft processor affinity: the
OS scheduler will always try to dispatch
a thread to the core where the thread last
ran. That way, the core can often fetch the Unique
thread’s instructions directly from the L1 Probe Card
cache, rather than having to reload them
from the L2 cache or main memory. In
some cases, however, threads will drift
from core to core, overwriting each other’s
cached instructions and forcing the L1
cache to be continuously reloaded. Each
time a thread is rescheduled to run on an-
other core, the performance advantage of
Simulation/
using information in the L1 cache is lost.
Characterization
Unnecessary thread migration can re-
sult from higher-priority threads interrupt-
ing lower-priority threads. Each time such
an interrupt occurs, there is the possibility
that the OS will schedule the interrupt-
handling thread on another core. The more AdvancedTCA performance.
cores on the chip, the higher the probability
is that this will occur. By providing perfor- We have the evidence!
mance counter information, a system-trac- Not all ATCA backplane designs are created equal. With multi-gigabit fabric
Various Backplane
ing tool can make it much easier to diag- signals across the backplanes, you need proof of performance. Elma
Bustronic's Signal Integrity Initiative (SII) provides just that. Our unique Configurations
nose this condition. For instance, the pro-
ATCA probe card lets us characterize the backplane quickly and accurately,
filer can provide statistics on the number assuring the backplane we provide you has been designed and fabricated
of core-to-core migrations for a particular for superior results.
thread (Figure 4). By displaying these sta-
Elma Bustronic's pre-design HSPICE simulation and model extraction service
tistics graphically, the profiler can provide assures that our backplane is optimized with your integrated ATCA system.
a system-wide perspective, making it easier Simulating interconnect paths from line cards to the backplane to system
to zoom in on potential problem areas. managers, our service assures you of superior performance throughout
your system before it is ever built. Come to Elma Bustronic, the proven
A Comprehensive Approach leader in ATCA backplanes.
While the right tools can greatly sim- Visit www.elmabustronic.com to learn more about our published and
plify the task of troubleshooting and op- upcoming ATCA SII studies.
timizing a multicore design, they cannot
work in isolation. An OS, if designed cor- ELMA BUSTRONIC
rectly, can also help reduce the complexity
Tel: 510.490.7388 Fax: 510.490.1853 info@elmabustronic.com
of deploying software on a multicore chip.

January 2006 51
Data Acquisition Showcase
Featuring the latest in Data ELEKTRA
Acquisition technology 2-in-1 design: CPU + data acquisition
ST VEGA Pentium-II class processor,
200MHz, fanless
128MB RAM soldered on board
10/100Mbps Ethernet
DT9836 Series
4 RS-232, 2 USB 1.1, PS/2 keyboard/
High performance data acquisition mouse
modules for USB 2.0
Programmable watchdog timer
Simultaneous A/D sampling up to
225 KS/s per channel 16-bit data acquisition circuit with
autocalibration
6 or 12 separate A/D inputs eliminate
phase shifts -40 to +85°C operation
2 16-bit outputs, 32 DIO
Diamond Systems Corporation
2 32-bit counter timers and 3 quadra-
ture decoders. Phone: (800) 36-PC104 E-mail: sales@diamondsystems.com
Bundled with DT Measure Foundry Fax: (650) 810-2525 Web: www.diamondsystems.com
evaluation, and much more.

Data Translation
Ready-to-Go Fast Data
Phone: (800) 525-8528 E-mail: info@datx.com
Acquisition & FPGA Pro-
Fax: (508) 481-8620 Web: www.datatranslation.com cessing System (RTG001)
Fast data acquisition & FPGA pro-
cessing system
1.5M gates Xilinx Virtex-II FPGA
2 channels 210Mhz 12 bit A/D
2 channels 160Mhz 16 bit D/A
8 user programmable digital I/Os
DIAMOND-MM-32X Hi Speed USB 2.0 connection
32 analog inputs, 16-bit A/D resolution Supplied complete with software
250KHz A/D sampling rate APIs, tools, tutorials, IP & examples
Programmable input ranges
1024-sample A/D FIFO, program- Hunt Engineering
mable threshold Phone: +44 (0) 1278 760188 E-mail: sales@hunteng.co.uk
Automatic autocalibration for carefree, Fax: +44 (0) 1278 760199 Web: www.hunt-rtg.com
high accuracy measurements
4 analog outputs, 12-bit resolution
D/A waveform generator Twin Industries Part
24 digital I/O, programmable # 2000-6U-EXTM
Counter/timers for A/D and D/A timing 6U cPCI Extender Card
-40 to +85°C operation Test Points for all Signals
Power and Ground Planes
Diamond Systems Corporation Fuses for all Voltages
Phone: (800) 36-PC104 E-mail: sales@diamondsystems.com Quickturn Customization Available
Fax: (650) 810-2525 Web: www.diamondsystems.com

Twin Industries
Phone: (408) 358-2505 E-mail: sales@twinind.com
Fax: (408) 358-2506 Web: www.twinind.com

Acquire and display multiple images


RGB, DVI, HD and video inputs P/N 3690-36 (12.00”) or 3690-37
(7.0”) for Type II or Type III PC-
Display images any size, anywhere
MCIA slots
Standalone and VME formats
68-pin to 68-pin “FLEX” extenders
have excellent signal propagation
With CF or CFII adapter -used with
digital cameras, modems, handhelds
or I/O cards
Custom lengths

RGB Spectrum Vector Electronics & Technology, Inc.


Phone: (510) 814-7000 E-mail: sales@rgb.com Phone: (818) 985-8208 E-mail: inquire@vectorelect.com
Fax: (510) 814-7026 Web: www.rgb.com Fax: (818) 985-7708 Web: www.vectorelect.com
Executive
Interview

RTC Interviews
Mercury Computer Systems’
James “Jay” R. Bertelli
RTC: One of the first questions that for several years, with Freescale
comes to mind—and that Mercury has AltiVec and IBM VMX com-
been prominently in the news about—is manding performance and market
about Cell computers and Mercury’s share leadership over alternatives.
relationship with IBM. IBM’s Cell The IBM Cell BE processor
computer made a big splash in the me- enters the embedded scene at a
dia primarily as an engine for high- time when the multimedia desk-
powered games. However Mercury top market has yielded to graph-
has brought the Cell processor into the ics and gaming as a major driving
embedded-computer industry. Can you Ad Index force in high-end embedded pro-
tell our readers what your plans are for cessor innovation. So when IBM
the Cell processor and what applica- came to us, we realized that we were wit- potentially resulting in brand new appli-
tions are likely to gain the most from nessing another industry milestone. When cations that were previously not thought
Get Connected with technology and
its application? combined
companies with Mercury’s
providing solutions now expertise with possible. We are receiving interest in Cell
Getmulti-computer
Connected is a new enablement
resource for and
furtheroptimiza-
exploration hardware, software and related services
Bertelli: First of all, I want to thank RTCinto products,tion, this new processor
technologies and companies.could accelerate
Whether your goal from a wide variety of customers in semi-
magazine for the opportunity to address numerically
is to research intensive
the latest datasheet from aapplications
company, speakby as
directly conductor inspection, complex vehicle
your readership. We, at Mercury, with are anvery much
Application as two
Engineer, orders
or jump of magnitude.
to a company's technical page, the navigation, video applications, digital
goal of Get Connected is to put you in touch with the right resource.
excited about the IBM Cell Broadband Whichever level of service In order to turn
you require a processor’s
for whatever potential
type of technology, media, biotechnology and even gaming.
Engine (BE) processor. The customer Get Connected intowillreality,
help you it takeswith
connect more than justand
the companies silicon.
products In October 2005, Mercury an-
response to the Cell processor has been
you are searchingDevelopers
for. need a robust development nounced our first product, the Dual Cell-
tremendous. Leveraging Mercury’s new environment and an array of related tech-
www.rtcmagazine.com/getconnected Based Blade, which is based on Cell
Cell-based products and services, our nologies and support. We call this Mer- technology and the IBM industry-lead-
customers tell us that they expect to make cury’s MultiCore Plus Advantage—this ing BladeCenter standard. This product
a real difference in health care, interna- includes our optimized libraries, software offers 400 GFLOPS on a single blade or
tional security, oil and gas exploration tools, middleware and algorithm tuning 16 TFLOPS in a 6-foot rack. The Linux
and other application areas. expertise. We also lead the
Get Connected with technology and companies providing industry in andsolutions
Eclipse-based
now
Cell blade is targeted
The Cell processor is the most sig- creating solutions that can be deployed in at raised-floor or benign embedded envi-
Get Connected is a new resource for further exploration into products, technologies and companies. Whether your goal is to research the la
nificant architectural advance in high- datasheetthefromworld’s
a company,harshest environments.
speak directly with an Application Engineer,ronments, and it also
or jump to a company's makes
technical a great
page, the goaldevel-
of Get Connected i
performance embedded computing since in touch with theWe right signed an agreement
resource. Whichever with you
level of service IBM opment
require for whateversystem for ruggedized products,
type of technology,
Apple, IBM and Motorola (now Freescale) Get Connected to harnesswill helpthe powerwith
you connect of the
thecompanies
Cell BEand pro-
products which we willfor.announce shortly. In No-
you are searching
introduced AltiVec-enabled processors cessor for embedded designers in both
www.rtcmagazine.com/getconnected vember, we announced our second Cell-
over a decade ago. At that time, AltiVec board- and system-level products. Today based product code-named “Turismo”
leapfrogged competitive offerings and be- we are pleased to report that by the time that will pack 800 GFLOPS into a 600
came the dominant architecture in signal this article reaches readers, our first cus- cubic-inch footprint. Four Turismo boxes
and image processing. We see the Cell tomers will have taken delivery of initial in a 5U configuration are expected to
architecture as more than revolutionary; cell development systems for their work yield a peak performance of 3.2 single-
it represents a shift in the tectonic forces on existing applications in both commer- precision TeraFLOPS and more than 25
Products
acting upon embedded computing. cial, OEM and defense applications. End of Article
TeraFLOPS in a 6-foot rack. We plan to
For many years, Apple’s multimedia But I can tell you that what is really announce additional Cell-based products
applications drove the high-end processor the most exciting facet to me personally in the next several weeks.
roadmaps of Freescale and IBM. Processors is that Cell opens up new possibilities
that had been targeted at Get
this Connected
larger spacewith companies
for OEMs andand defense contractors to put Get Connected
were then retargeted at embedded comput-
products featured in this hundreds of GFLOPS in small, light-
section. with companies mentioned in this article.
www.rtcmagazine.com/getconnected
ing. This symbiotic relationship was stable weight and low-power configurations— www.rtcmagazine.com/getconnected

January 2006 53
Get Connected with companies mentioned in this artic
www.rtcmagazine.com/getconnected
ExecutiveInterview

RTC: In a recent article in the Wash- Exploitation System), which enables mul- has a world-class facility for manufac-
ington Post entitled “A Nervous Eye ticomputers to execute image exploitation turing analog and mixed-signal boards
on Defense Firms” the following para- algorithms closer to the sensors in UAVs. with very low signal noise. That type of
graph appeared: This has implications in delivering bet- expertise is a competitive advantage for
…some analysts feel the slump in ter real-time data to warfighters. Mercury us. Furthermore, there is no inherent con-
investor enthusiasm could soon trickle seeks and evaluates attractive opportuni- flict between contract manufacturers and
down to the usually buoyant stocks of ties on an ongoing basis and pursues those merchant board vendors who are in the
the industry’s small to mid-size play- in which we can add significant value—in business of doing highly optimized board
ers. Many of the firms specializing in some cases regardless of what is happen- design and multicomputer integration. In
information technology and electronics ing at the overall industry level. fact, like the system OEMs and contrac-
continue to have “premium valuations” So, to summarize, we will continue tors, it seems to me that the major mer-
that are “unwarranted,” Joseph B. evaluating current and new market oppor- chant board manufacturers are currently
Nadol, aerospace and defense analyst tunities in both commercial and defense benefiting from outsourcing trends.
for JP Morgan, said in a recent note to markets with only modest regard for com- In fact, after the restructuring of the last
clients. “As we believe defense growth mentary like the one you cited. Our focus few years, the Telecom Equipment Manu-
will slow to near-zero in 2007, we do not is much more on understanding how we facturer (TEM) development organizations
believe these companies will sustain the can add customer value across markets. have been pared back while competition has
double-digit top line growth that their grown fierce. So in our case, TEMs are com-
managements and investors are target- RTC: The ATCA specification has raised ing to us for expertise in piecing together so-
ing,” the report said. a lot of interest recently. Venture Devel- phisticated system-level solutions.
As a large component of Mercury’s opment Corp. was upbeat about its pros- In response, Mercury put together
revenue is derived from military and pects in a recent report indicating the the Ensemble AdvancedTCA system with
aerospace industries, do you believe 1) market could reach $8 billion by 2009, serial RapidIO switch infrastructure, pro-
that the above is true? And 2) is Mer- but commented that the bulk of the ac- cessor AMCs, open-standard IP where
cury moving more aggressively into tivity will be in captive manufacturing needed and a complete software environ-
other arenas such as industrial control, by end users and by contract manufac- ment. This program required us to engage
medical instrumentation and commu- turers. Do you believe the ATCA market with silicon and module vendors to ensure
nications to lessen its dependency on could grow to such dimension over the that everything would come together. We
the military market? next few years? And, do you think the managed third-party supplier schedule
merchant board and subsystem mar- slips, formed contingency plans and pre-
Bertelli: To help your readers understand ket—as opposed to captive and contract sented our customers with a fully inte-
how those observations impact Mercury, manufacturing—will be viable? grated development platform. We created
I need to explain three things. First, we all of the necessary software, middleware
have been selling in commercial markets Bertelli: As you know, Mercury ed- and stacks necessary to generate next-gen-
from Mercury’s earliest days—in fact, our ited the PICMG 3.5 AdvancedTCA and eration telecom applications. Our OEM
first customer more than 20 years ago was AMC.4 standards. Mercury has been a customers were overjoyed. They were
a semiconductor wafer-stepping equip- supporter and driver of PICMG, VITA used to doing all the integration them-
ment manufacturer. and other industry standards for many selves, and it diverted their focus away
Second, leveraging our technology years, and many of our telecommunica- from their own special sauce.
investments across multiple market seg- tions customers are showing significant
ments has been part of our strategy for a interest in AdvancedTCA. We receive a RTC: Many have been critical of the Ad-
long time. For example, our amira software wide range of projections from industry vancedMC and MicroTCA specifications
enables users to render complex 3-dimen- analysts on ATCA and AMC, but I can as being inadequate for any but the most
sional data and has applications in nearly say this, AdvancedTCA does not need to benign communications applications.
every market that we serve. The cross become an $8 billion market in order for it In addition, it has been criticized for
fertilization of our business units helps us to bring tremendous value to our custom- other limitations such as the maximum
to maintain competitiveness and benefits ers. Every major communications silicon number of layers, frailty of the connec-
our customers by providing them with a vendor is offering its newest silicon solu- tor and lack of power management. Do
broader and more dynamic solution base. tions on the AdvancedTCA form-factor, you believe such perceived limitations
Lastly, I believe broad generalizations and that makes ATCA a very convenient will limit the useful applications for the
like the one from JPMorgan don’t provide and low-risk choice for evaluating new spec? Do you think the recently started
the whole story. There are many sub-seg- technology and architectures. VITA 56 committee’s efforts will result
ments within the aerospace and defense In regard to your other point, it is in a specification that will compete with
electronics market. While some are ma- key to remember that there are profit- or co-exist with AMC?
ture, others promise dynamic growth. We able niches for small- and medium-sized
are getting traction with a solution we call firms if they are properly differentiated. Bertelli: Mercury invests in core technol-
ARIES (Airborne Reconnaissance Image For example, our Echotek product group ogies like processors, fabrics and software

54 Janaury 2006
A r tesyn Technologies equals

= infinite
customer-centric
advancements in
telecom technology
and quality

= communications w o r l d w i d e

Innovation, Commitment, Integrity, and Economic Value… These are qualities you’ll Artesyn Communication Products
often find at leading companies in today’s global economy. They’re also qualities you’ll find
8310 Excelsior Drive
at Artesyn Technologies, a worldwide leader in providing continuous advancements in Madison, WI 53717-1935 USA
Toll Free: 1-800-356-9602
technology and quality for wireless, switching, signaling, optical networking, and other
Voice: 1-608-831-5500
telecom infrastructure applications. At Artesyn, we are at the forefront of change in FAX: 1-608-831-4249
Email: info@artesyncp.com
creating the boards and software that help make worldwide Teledatacom™ networks and
real-time communication systems for Telecom OEMs possible. We continuously research,
Supercomm
test, and develop new technologies to provide our customers with solutions that are June 6-9, 2005
cost-effective, that strengthen their competitive positions, and lower their total cost of Chicago, IL
Booth # 10035
ownership. Want to glimpse the future of Teledatacom™ from the vantage point of a leader?
Visit our Website at www.artesyncp.com or call us at 1-800-356-9602. w w w. a r t e s y n c p . c o m
ExecutiveInterview

PCI Express promises to build upon PCI’s success in


serving as the bread and butter interconnect for local I/O
and small clusters of processors.

infrastructure. These capabilities transcend medical OEMs and ISVs. Additionally, we around a single technology and that state-
module form-factor so we don’t lose sleep offer professional services to help custom- ment applies to fabrics as well as proces-
over bus wars or processor wars or form- ers minimize their development time and sors, form-factors, languages, middleware
factor wars. We have a treasury of intel- cost. We believe Mercury leads in our abil- APIs and operating systems. Every fabric
lectual property and applications expertise ity to service our customer requirements at has its strengths and appropriate target
that our customers ask us to apply to either virtually any level of the value chain. markets. Again, Mercury is fortunate to
a narrow or broad area of focus. Our cus- have the scale and R&D capacity neces-
tomers work with us because we help them RTC: Mercury has been a developer sary to deploy every major interconnect
solve their problems. If their problem state- and strong supporter of RapidIO since and fabric across our diversified product
ment is phrased around a certain form-fac- its inception. Has RapidIO met Mercu- lines. This makes us uniquely qualified to
tor, we can easily accommodate that. When ry’s expectations both technically and help our customers choose the best fabric
we produce a system-level product, we in the marketplace? Do you perceive for their particular needs.
choose the technologies that are the best fit that PCI Express, or AS are directly InfiniBand still enjoys strength in su-
for target markets. competitive with RapidIO or comple- percomputing and cluster environments
It should come as no surprise that mentary? Why? like storage and blade servers. In fact,
VITA standards are stronger in defense and InfiniBand proved to be the ideal choice
PICMG standards are stronger in telecom- Bertelli: Mercury co-invented RapidIO in our BladeCenter-compatible Dual Cell-
munications and image processing. Medical with Freescale (formerly Motorola Semi- Based Blade product. Ethernet is also an
imaging, on the other hand, employs indus- conductor) back in the late 1990s and later important part of our product line. Our
try standard architectures like blades. In co-founded the RapidIO Trade Association. Momentum Series VPA-200 single board
general, these system and module form-fac- Originally, we conceived of RapidIO as a computer supports Ethernet to the back-
tors are applied very differently and do not logical evolutionary step and heir to our plane as a means to connect to adjacent
compete with one another. Unlike some of RACEway Interlink. In our collaboration gigabit class I/O devices. Ethernet is also
the other industry players, Mercury is fortu- with Freescale, we worked to address the a great generic box-to-box interconnect,
nate to have the R&D scale and breadth to broadest set of market requirements pos- aside from being the only reasonable
support the numerous standards that are crit- sible. The RapidIO Trade Association now choice for wide area networking.
ical to our customers. So which form-factor has about 50 member companies and virtu- Advanced Switching has been slow to
does Mercury choose to support? Whichever ally all of them are actively deploying, de- develop an ecosystem, but we are tracking
form-factor is best for the job at hand. This is veloping or creating products with RapidIO. it. ASI’s main value proposition appears
why you see products coming from Mercury At this point, Mercury has RapidIO sys- to be centered on its ability to tunnel PCI
in ATCA, CompactPCI, PCI, VXS (VITA tem solutions available in AdvancedTCA, traffic, but that problem has many other
41), VPX (VITA 46), VPX-REDI (VITA CompactPCI, VME VXS (VITA 41) and more mature solutions. For example, Mer-
48), IBM BladeCenter and others. Multiport form-factors. Other vendors have cury supports the encapsulation of PCI
As long as we are talking about R&D announced VME64x, VXS and VPX point Mezzanine Card (PMC) traffic on our
strategy, I should point out that in some ar- solutions using RapidIO. Mercury Rapi- PowerStream 6100 VME VXS multicom-
eas, Mercury has expanded well beyond the dIO systems are found in the Global Hawk puter, which uses a serial RapidIO back-
hardware platform. For example, we offer a UAV, the Aegis Cruiser, Micronic inspec- plane fabric.
visualization software solution called Vis- tion equipment and in some other of the PCI Express, on the other hand, is quite
ageRT that provides embedded components most rugged and demanding applications different from ASI. PCI Express promises
for accelerated reconstruction of images in the embedded landscape. No other fab- to build upon PCI’s success in serving as
in medical, life sciences and biotechnol- ric can credibly make claims of this mag- the bread and butter interconnect for local
ogy. VisageRT does bring optional GPU or nitude. People have always acknowledged I/O and small clusters of processors. When
FPGA numerical acceleration to commod- that RapidIO is better technology. Now its a problem grows to the point where a sim-
ity hardware platforms, but there is even ecosystem and customer adoption are also ple interconnect is not enough, the system
more value-add in our highly optimized rapidly moving forward. designer has to introduce backplane fabric
software tools and algorithms that integrate However, embedded computing is capability. PCI Express is architecturally
seamlessly into the existing frameworks of not ever likely to entirely consolidate identical to PCI. Mercury has successfully

January 2006 57
ExecutiveInterview

deployed RapidIO to bridge PCI clusters fected to put a customized version of that New computing capabilities in visualization
so we see RapidIO and PCI Express simi- board in your receiving department in 12 and graphics processing will also improve
larly working hand in hand. weeks instead of 37 weeks. That opens up clinical applications and optimize hospital
a whole new set of possibilities for telecom workflow, reducing cost and minimizing
RTC: There seems to be a trend in the OEMs who are under tremendous time- patient delays. For example, today we can
embedded-computer industry to at- to-market pressure. Our Momentum Se- offer a fully scalable thin client server that
tempt to supply more and more com- ries product line has an advantage that is places any clinical application in 2D, 3D or
plete systems to OEMs, thus climbing the result of a disruptive business model. 4D at the point of care in any PC or laptop
up the food chain in hopes of increasing The traditional board market can survive in the health enterprise.
revenue with the additional parts of the if vendors innovate and maintain a value- From a technical point of view, there
systems supplied. Many companies have add. This is accomplished by working with are two main factors driving computational
done this through acquisitions that al- customers, not against them. complexity in medical image reconstruc-
low the company to provide additional We read board suppliers in the de- tion: de-noising of the input data with en-
parts of systems. Do you believe the in- fense electronics market proclaim their hancement of edges, and reconstruction of
dustry will continue to consolidate in intention to become third-tier contractors. 3D or even 4D volumes from 2D views.
this way? At what point do you think This is 180 degrees counter to Mercury’s Industry-standard architectures like the PC
embedded-computer suppliers will be- strategy. We do not sell defense solutions fall far short of the computational power
gin competing with their customers? directly to the U.S. government. We stay required. 4D volumes are even more chal-
Will a time ever come when there are clearly focused on providing products and lenging, because they include 3D images
only a small handful of system mak- services that accelerate our customers’ with the added dimension of time, such as
ers and the traditional merchant board time-to-market and help them pursue their the 3D image of a beating heart.
market will go away? own differentiated value propositions. The new IBM Cell BE processor boards
Mercury’s acquisition strategy in from Mercury can perform a 3D volume
Bertelli: There was a time when it was defense speaks to our commitment to reconstruction in just a few seconds versus
considered forward thinking to bundle an continually strive to better serve our about 5 minutes on a conventional proces-
operating system and development tools customers. Our Echotek Series (radio fre- sor. We show this side-by-side comparison
with a merchant processor board. Today, quency and mixed signal), Momentum Se- in a short video available on our Web site
customers take these things for granted ries single board computers and Mercury (www.mc.com/cell/demo.cfm). Cell tech-
and ask us to add value in new ways. The DSP modules all work together in our new- nology promises to significantly speed the
key is to focus on solutions that enable our est PowerStream 6100 multicomputer. In reconstruction process, which has tradi-
customers to achieve their goals better and the future, we envision functionality from tionally been viewed as the bottleneck in
faster than they did in the past. Mercury these modules sitting together on a single the diagnostic workflow. Advances like
has been designing at the system level for card. The synergy and benefit to custom- these can improve the bottom line of the
many years, and I can tell you that we are ers is quite clear. Unlike acquisition strate- health enterprise by enabling more patients
being asked to do more and more by many gies that are focused on bulking up reve- per day per scanner.
of our customers. nue, this is a technology-driven strategy to
Having said that, customers now re- provide our customers with products that RTC: Embedded-computer technology
quest a wide variety of products and ser- enable them to do more. has continued along a growth curve fol-
vices. In one case, they may ask us to pro- lowing Gordon Moore’s law. Advances
vide a single module or to license some RTC: Other than the military mar- such as the Cell processor continue to add
of our IP for one of their own modules. ketplace, Mercury has been a major speed and density. And all along clever
In other cases, they seek an integrated set player in the medical imaging business. designers figure out things to do with
of boards or assistance in the application And, according to reports in the press, the additional computer horsepower.
space. There are even cases where custom- CT scanning equipment as well as PET Provided the gods of physics don’t get in
ers ask us to take their IP, integrate it into a scans and even E-Beam tomography the way and compute power continues to
computer system that we build, and ship it are getting better and better. What grow, what applications do you envision
all back to them. The business models that parts of the imaging technology are say, a decade away? Twenty years?
customers propose usually derive from improving because of improvements in
their own unique product-line strategy. computers? Could you tell our readers Bertelli: The industry is rich with process-
Yet, system solutions are not the only a little about the special requirements ing solutions and not all of them are proces-
formula for growth. Our Momentum Series for technology such as real-time, three- sors. Many of our Echotek Series boards
single board computers are excellent ex- dimensional scans? feature the Xilinx Virtex-4 FPGA, which
amples of how innovation can trump com- can replace 250 general-purpose processors
moditization. Designing a 3U CompactPCI Bertelli: Improvements in computational for certain algorithms. The industry is also
board with Pentium M or PowerPC proces- capability will sharpen image quality in very creative in finding ways to meet the
sors is considered to be straightforward. clinical applications, which will lead to needs of computationally intensive applica-
But Mercury has the design processes per- better, more accurate patient diagnoses. tions. The Cell BE processor is a significant

58 Janaury 2006
ExecutiveInterview

development, because it demonstrates that velopment tools in any given generation and density will help alleviate the prob-
new architectures can deliver significant of technology. lem by screening, organizing and render-
increases in performance without relying The future is difficult to predict, but ing the data in ways that allow the human
solely on brute force MHz increases and we do see some interesting commonalities analyst to work more effectively.
simple increases in gate density. in the various markets that Mercury serves. We also expect to see interesting uses
Different applications will find differ- One example is that sensor and scanner of data navigation in embedded applica-
ent answers to the Moore’s Law challenge. technologies are improving quickly and tions. This would enable a radiologist to
We find pockets of strength for Freescale creating great challenges, particularly in visually browse a 3D model of a CT scan
PowerPCs, Texas Instruments DSPs, 970, markets where human analysis is still criti- volume looking at blood vessels, bones,
Pentium M, NVIDIA GPUs and, of course, cal. In medical imaging, technologies are organs and fractures from different an-
Cell BE processors. Each has appropri- delivering more pixels per scan and more gles. In the military, sensor data could be
ate use for certain applications, depend- scans per second. These trends, combined combined together to produce panoramic
ing on the type of operations required (bit with an aging population, will put tre- 3D simulations, allowing pilots to train
operations, scaler, single- or double-preci- mendous pressure on back-end analysis in for missions using actual terrain data that
sion floating point) or the unique applica- radiology. Faster processing and better al- is updated in real time. These and other
tion constraints (cost, power, size, weight). gorithms will lead to more timely and ac- emerging applications involve highly so-
With a range of processor offerings, it’s curate diagnoses for patients. phisticated algorithms that require enor-
easier to meet demanding requirements and In the military market, airborne sen- mous processing power.
guide customers to the best overall choice. sors are evolving to collect higher resolu- The excitement over the Cell BE pro-
Our experience in development tools and tion imagery at higher rates, but 90% of cessor reinforces my conviction that tech-
middleware APIs ensures that our custom- the data collected by UAVs is unexploited nologists will never cease to push the en-
ers will develop applications productively due to limited radio frequency transmis- velope with new ideas, new ways of solv-
even as processor architectures increase in sion bandwidth. The solution is to co-lo- ing problems and new algorithms. Many
complexity. Our customers don’t necessar- cate image exploitation algorithms with of these are simply awaiting the necessary
ily know what processors will be available the sensor on the UAV, but this requires processing power to be available on a cost-
in 20 years, but they can be assured that enormous processing capability. effective, deployable platform.
Mercury will offer them the best possible In both these cases, we see a data
processing choices with the appropriate
98390_2p4c_Analog.qxd de-
1/4/06 8:20 AMglut. Increasing
Page 1 the processing capacity

■ Multi-function 12 and 16-bit analog


I/O including high density signal
conditioning & AWG

■ Digital I/O up to 120 bits including


models with counter/timers and
change of state detection!

■ 8, 16, and 32-channel digital


input/relay output boards

■ Optically isolated and multi-port


RS-232/422/485 serial
communications

Complete Integrated PC/104 Embedded Systems

ACCES ■ EBX, EPIC or PC/104 CPUs


I/O PRODUCTS, INC. ■ Fanless Operation
10623 Roselle St., San Diego, CA 92121 ■ Scalable and Flexible I/O Interfaces
Fax: 858-550-7322
email: contactus@accesio.com
■ Rackmount Versions

800-326-1649 ■ Complete Software Solutions

www.accesio.com ■ Custom Configured to Your Application

January 2006 59
RTC delivered straight to your inbox

Switch to Digital Delivery


RTC magazine now comes straight to your
Inbox! Just visit RTCmagazine.com to
convert your print magazine subscription
to Digital Delivery. Each month your
downloadable issue will include everything
in the print magazine in a searchable PDF
format. Archive your issues for portability
and easy reference!

Check out all of RTC’s Digital Services


RTC Online Edition
Visit RTCmagazine.com and browse the latest issue of RTC magazine online. You’ll find all the
keen editorial insight, detailed technical articles and product info that make the print edition a
must-read for industry insiders.

RTC Update Email Newsletter


Get the latest headlines and product news from RTC Update. The newsletter arrives in your
Inbox mid-month, keeping you up to date and on top of our fast moving industry. Sign up at
RTCmagazine.com today!

RTC Get Connected!


RTC’s Get Connected will hook you up with the right people without a lot Get Connected
of hassle. When you need important product details right from the source,
look for the Get Connected symbol in RTC’s print and digital versions.

RTC Supplemental Content


Looking for more info? RTC Supplemental Content has it. Select RTC magazine articles include additional
content posted online. Dig a little deeper into the technologies shaping the future of our industry.

RTCmagazine.com
Software&Development Tools
Graphical Development Tools

Integrating Model-Driven
Development with IDE
Breaks Productivity Barriers
Ad Index development integrated with editor, compiler, debugger,
Model-driven
RTOS and middleware manages complexity, lowers project risk and speeds
time-to-market for with
Get Connected complex software projects.
technology and
companies providing solutions now
Get Connected is a new resource for further exploration
into products, technologies and companies. Whether your goal
by G
 eorge LeBlanc
is to research the latest datasheet from a company, speak directly
with an Application Engineer, or jump to a company's technical page, the
I-Logix
goal of Get Connected is to put you in touch with the right resource.
Whichever level of service you require for whatever type of technology,
Get Connected will help you connect with the companies and products
you are searching for.

T
oday’swww.rtcmagazine.com/getconnected
software projects continue to increase in complexity first implementation of such a fully integrated environment is the
while the demands grow for completion and deployment result of a cooperative agreement between I-Logix and Green
in shorter development cycles. With this trend for intricate Hills Software.
software, developers and architects call for technology solutions The powerful benefits contained within the integration make
that can support, clarify and allow complex projects to success- designing and developing in the redefined IDE compelling to
fully meet the requirements and goals of a given design. While software architects and designers. Rather than using a traditional
Get Connected with technology and companies providing solutions now
the “wall” that once separated the systems and software sides of “waterfall” approach where separate processes are used for dif-
development has datasheet
in many organizations been dismantled, opti- ferent aspects of development, for example separating require-
Get Connected is a new resource for further exploration into products, technologies and companies. Whether your goal is to research the latest
from a company, speak directly with an Application Engineer, or jump to a company's technical page, the goal of Get Connected is to put you
mizing the process intowith
in touch onethecohesive solution
right resource. haslevel
Whichever not, until now,
of service you requirements analysis
for whatever type ofthrough
technology,design from the implementation through
been fully addressed. In recent
Get Connected months,
will help however,
you connect with theacompanies
redefinition, deployment
and products process,
you are searching for. now a tight, iterative model-based approach
or some say a revolution, has occurred in the integrated develop-
www.rtcmagazine.com/getconnected is used to rapidly construct and respond to requirements changes
ment environment (IDE), resulting in significant usability and throughout the development process (Figure 1).
productivity gains, finally offering a better way to tie together es- The unified MDD/IDE solution generates the implemen-
sential system and software development functions in one seam- tation in C, C++ and Ada source code directly from the UML
less tool environment. model, and the model is automatically updated to reflect changes
This revolution has occurred by integrating design in the made to the code, ensuring that the final product meets design
form of model-driven development (MDD), the more familiar objectives by providing traceability between the design, source
integrated development environment (IDE) and deployment—
Products
RTOS and middleware—into one seamless, bi-directional work-
End of Article
code and the requirements. Additionally, this integration short-
ens the debug cycle and improves product quality by offering
flow environment, so that systems and software engineers have a powerful visual debugging and analysis capabilities to users.
powerful, flexible tool that includes all phases of development in
oneGet
tightly integrated
Connected withtool chain.
companies andIn redefining the IDE, model- Working Get in One Integrated Environment
Connected
driven development
products featured in thisneeds
section.are covered by a graphical develop- Thewithintegration of the MDD
companies mentioned environment, IDE and RTOS
in this article.
ment and validation environment, offering the latest version of
www.rtcmagazine.com/getconnected results in a solution that addresses all phases of embedded sys-
www.rtcmagazine.com/getconnected
the industry standard Unified Modeling Language (UML) and tems development. Requirements analysis, system architecture,
the soon to be approved Systems Modeling Language (SysML);
IDE needs are represented by a set of compiler, debugger and
optimization tools; deployment needs are met by Green Hill’s Get Connected with companies mentioned in this article.
real-time operating system, a microkernel and middleware. The
Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected
www.rtcmagazine.com/getconnected

January 2006 61
Software&DevelopmentTools

Targets

Combined source and


Design-level debugging

Code Gen: C, C++, Ada


Automatic Download
Synchronized Breakpoints

Figure 1 The bi-directional synchronization and workflow between Rhapsody and Multi during development and debug. Code
generated from Rhapsody is optimized, compiled, linked and loaded into target deployment environments such as
Integrity as well as at the source code and assembly level using Multi.

1. Create project
2. Edit files
3. Build
4. Execute/Debug
5. Set breakpoints

1. Error highlight
2. File change
3. Breakpoint notify

Figure 2 Workflow showing MDD and IDE working together: As designs are constructed from within the MDD environment of
Rhapsody, code can be automatically generated or linked into existing code at any point in the process. Rhapsody
automatically invokes the necessary Green Hills tools in the chain to build the code into a complete application. This
application can run on the host or in the target with bi-directional synchronization and debugging.

62 January 2006
Software&DevelopmentTools

software design and application development are completed us- ment host system, this integration enables developers to leverage
ing a combination of SysML and UML. Application behavior the target simulator provided by the IDE to test the application as
can be validated on the host platform as the application is being it is being developed. Also, the modeling tool can draw on the use
constructed through simulation provided by the MDD execution cases and scenarios as specified during requirements analysis, or
environment. The MDD environment can then generate produc- captured during run-time, as a test harness for the application.
tion quality C, C++ and Ada source code automatically from the The modeling environment itself can automatically generate
UML models, which can be fed into the IDE’s C/C++ and Ada test vectors that can be executed on the target application, saving
compilers, and the object code then transferred to the target. the developer tremendous amounts of work in manually creat-
The developer can take programs running on the target, set ing the testing scenarios. For deployment, the integrated solution
breakpoints on the graphics in the modeling environment and generates all source code, configuration files and build files nec-
have the program stop at the same point in the IDE’s source-level essary to synthesize the generated code and any manually written
debugger. Working from the other direction, a developer can load code into a completely deployable application targeted for a spe-
and debug the code in the IDE environment and set breakpoints cific real-time operating system (RTOS) running on the target.
as well. The modeling environment will highlight the graphical Typical real-time embedded development environments are
diagrams that correspond with the breakpoints, allowing a seam- fraught with gaps in process and in the tool chain that make it
less, bi-directional workflow in a clearly understood environment extremely painful and costly to efficiently develop high-quality
that is most natural to the user’s development process. The result- applications. The typical development process often starts with
ing debugged application is then downloaded and deployed on a “rush to code,” where an organization receives a work order
the target through the IDE. Now the models from which the code and then leaps to start coding before completely analyzing the
was generated are linked to the executable code enabling run- requirements and laying out the system and software architec-
time analysis and debug. Lastly, any changes made in the model tures. The result is that the code often takes a completely differ-
or code are automatically synchronized, ensuring that the design ent path from the requirements and the design. Moreover, there is
and the code are always in step with each other. typically no effective way to deal with requirements changes as
they occur or measure their impact. Tight integration removes all
Boosting Quality and Meeting Deadlines of these concerns by enabling a single, self-documenting, MDD
This integration makes testing the application as it is devel- and IDE environment that ensures that the design and code are
oped a fundamental capability that provides tremendous quality synchronized throughout the process. With this approach the
and time-to-market benefits. Such an environment gives the de- impact of requirements changes can be easily analyzed prior to
veloper the ability to eliminate design flaws as they appear, when implementation, and any changes made to the implementation
they are cheaper to fix, long before the embedded hardware is are validated and tested against the requirements, ensuring a
available. In addition to simulating the application on a develop- closed-loop process (Figure 2).
Effort / time to release quality product

Specification
modeling

Hand-written Hand-written Hand-written Hand-written


application application application application
Application
modeling Integrated
with simulation application
and code gen development
and component
middleware
Use of Use of Use of reuse
component component component
middleware middleware middleware
Commercial Commercial Commercial Commercial Commercial
RTOS RTOS RTOS RTOS RTOS

Figure 3 Complex applications and the need to balance code quality with time-to-market pressures require new solutions. To
address these pressures, trends reveal moving away from completely hand-written applications to applications that use
commercial RTOSs, middleware and automatically generated code in one tightly integrated environment.

January 2006 63
presented by

Everyday developers are choosing Microsoft® Windows®


Embedded for their next great device.
See for yourself how Microsoft Windows Embedded can help
you optimize device design, enhance reliability and integration,
and improve time to market and development costs.
These sessions include a presentation and technical
demonstration of the following Microsoft Windows
Embedded Products, plus time to network at the exhibition.
ç Windows CE 5.0 Win a FREE Portable Media Center!
ç Windows XP Embedded with Service Pack 2
ç Windows Embedded for Point of Service
ç Windows Embedded Server Products
ç Special Guest Presentation by register today www.microsoftembedded.com

Attend this event and receive a FREE evaluation 2006 Strategic Locations
copy of Windows XP Embedded or Windows CE.
Mountain View, CA Reston, VA
January 24 April 11
Redmond, WA Boston, MA
February 24 May 23
Chicago, IL Atlanta
March 21 June 20

Exhibitors
Windows Embedded Partners
Microsoft Authorized Embedded Distributors

*Content, topics and exhibitors may vary by city. Please visit www.microsoftembedded.com for complete information.
Software&DevelopmentTools

Managing Complexity
One key reason why MDD/IDE integration is so powerful
is its ability to manage complexity. The MDD/IDE environment
allows real-time embedded system and software developers to
analyze complex problems by breaking them down into small,
manageable units. It gives developers the ability to look at prob-
lems from various perspectives and abstract away non-essential
details in order to focus on the task at hand. With this integra-
tion, developers can then accomplish manageable analysis and
development activities and build the system from its component
parts. Furthermore, this integration enables a tight coupling from
requirements to design to code, ensuring that the system under
design addresses the specific requirements as called out and
managed in the respective requirements traceability tool. These
requirements are not only an integral part of the process, but also
an integral part of the model as well. Graphical modeling and
analysis, traceability, execution, validation and visualization are
all key contributors to effectiveness in dealing with complexity.
When trying to debug complex problems, the integrated tool
chain again simplifies these problems by offering a developer the
ability to look at the specific issue at the right level of abstrac-
tion, either at the model level or the source-code level. Real-time
embedded developers need the right tools for the job, and debug-
ging complex applications is greatly facilitated by having visibil-
ity to both the UML model and the source code simultaneously,
and being able to make changes to either representation and have
the other representation automatically updated. This integration
provides the needed flexibility and visibility into the run-time demand technology solutions that will give them the necessary
environment, making it one of the most powerful mechanisms tools for the challenging task at hand. In the past, software archi-
for analyzing and debugging complex problems. tects and developers relied on an error-prone waterfall workflow
that did not address the reality of how systems are constructed,
Enhancing Productivity and have struggled to find a better way to manage these chal-
MDD/IDE integration supports faster, seamless workflows lenges. For today’s development needs, the solution is to optimize
within one tool environment. This revolutionary integration is fo- the iterative development process, improve communication and
cused on improving system and software developer productivity. provide a bi-directional workflow between the design and the
This is accomplished by providing a bi-directional workflow that implementation. Developers must be able to visualize systems
increases visibility into the application, both during the design at the appropriate level of abstraction to deal with complexity
and development phases as well as during test and debug and and manage requirements changes that occur. The solution lies
deployment. The model serves as the foundation for all develop- within the latest revolutionary step to redefine the IDE to include
ment. This level of abstraction facilitates not just the many tasks the MDD, RTOS and middleware tools in one tightly integrated
performed throughout the project’s lifecycle; it also enhances environment.
communication across the team. Models simplify the process
while at the same time increasing the speed and effectiveness I-Logix
with which a company or team can design a system, nimbly re- Andover, MA.
sponding to requirements changes, generating new implementa- (978) 682-2100.
[www.ilogix.com].
tions and testing the generated application as they go. The trend
is clearly to move away from hand coding to higher levels of ab-
straction while maintaining close linkage and control over the
code down to the final compiled and deployed result (Figure 3).
One of the best ways to boost productivity is to reuse source
code or designs that have already been proven in the field. The
MDD/IDE approach gives developers the ability to do both—that
is reuse previously constructed UML models or reuse legacy
code (C, C++ or Ada). Looking For More? Visit www.rtcmagazine.com to download
With the push for complex software in ever shorter develop- additional technical information related to this article.
ment cycles showing no signs of stopping, software developers

January 2006 65
Products&Technology
Solid-State Disk Targets Industrial Data Storage Multi-Interface PCI Serial I/O Board Is RoHS-
Data storage media used in industrial environments must possess Compliant
fast startup times so that associated industrial equipment will come on- A single-port PCI bus serial I/O adapter card
line as quickly as possible. Until recently, high-performance industrial makes field-selectable connec-
solid-state storage disks have been considerably pricier than alternative tions to PLCs, bar code read-
media with similar performance. To help lower the barrier to their use, ers and other data collection
Adtron has introduced the I25F Entry-Point Flashpak IDE solid-state devices and is compliant with
flash disk family. the European Union Restriction
The I25F family, like other Adtron of Hazardous Substances (RoHS)
Flashpak solid-state flash disks, is based directive. The ULTRA 530.LPCI
on single-level cell (SLC) NAND multi-interface PCI serial I/O board
flash technology. With a capac- from Sealevel Systems offers a select-
ity range from 256 Mbytes to able RS-232/422/485/530 interface and is universal bus-compatible
8 Gbytes, the I25F flash (3.3V or 5V).
disk family supports Compatibility with MD1 low-profile specifications makes the board
standard IDE transfer especially useful for small form-factor applications, such as network ap-
modes, PIO 0-4 and pliances, thin clients and 1U servers. Designed using the XR16C850
MultiWord DMA 0-2. UART, the ULTRA 530.LPCI supports standard PC data rates and
Options include either commercial boasts a top speed of 921.6 Kbits/s. The board provides a 128-byte FIFO
temperatures (0° to 70°C) or industrial tempera- for error-free data communications applications. UART options include
tures (-40° to +85°C). Single unit pricing for a 4 Gbyte I25F flash drive a version that allows external clocking. Sealevel’s RS-485 auto-enable
with a commercial temperature rating is $546. circuit automatically handles RS-485 driver control to facilitate com-
Adtron, Phoenix, AZ. (602) 735-0300. [www.adtron.com]. patibility with standard COM drivers.
The ULTRA 530.LPCI ships with Sealevel Systems’ SeaCOM
suite of drivers for Windows 95/98/ME/NT/2000/XP. Also included is
the WinSSD application for testing and diagnostics. Price is $229 in low
volumes. A non-RoHS version is also available.
Managed 24-Port Gigabit Ethernet Switch Sealevel Systems, Liberty, SC. (864) 843-4343. [www.sealevel.com].
Supports IPv6
One key requirement of future programs within the Department
of Defense’s Global Information Grid is the support of IPv6 for net-
work-centric warfare. A 24-port Gigabit Ethernet switch from Radstone ATCA Test Extender Board Speeds Prototyping
Embedded Computing that provides fully managed Layer 2/3 switching To provide full access for testing or debugging to a circuit card un-
also supports IPv6. der test, extender boards must bring the card completely out of its card
With a non-blocking shared memory architecture, the CPX24 cage or enclosure. A new ATCA test extender board from Elma Bus-
features a standard 24 copper GbE ports. Twenty of the ports are tronic extends both the power
PICMG2.16-compliant, and the other four are available through an op- and Intelligent Platform Man-
tional high-speed J4/J41 connector. All 24 ports can be converted to agement Bus (IPMB) signals.
fiber via a mix of onboard optics and Radstone’s OXB20 Optical Ex- With a 10-layer stripline
pansion Board, allowing operation over long distances or in electrically design, the ATCA extender
noisy environments. Two externally available 10 board is designed for the fully
GbE ports allow two CPX24s to be cou- populated fabric slot (5 ZD
pled as a 48-port GbE switch. connectors, P20 through P24)
Additional flexibility and the power connector J10.
can be provided by bring- The Zone 3 section is served
ing out the two 10 GbE ports by a blind board assembled to Zones 1 and 2 through the frame. The
to a separate, optional 10 GbE flexible design of the Zone 3 area allows customization for a minimum
expansion board for very fast sub- cost, since only the blind board must be changed to the required con-
system I/O. IPv6 support delivers ex- figuration. The complete keying system, including the Zone 3 area, is
panded available IP address space (128- assembled.
bit addressing) and improved end-to-end security, facilitating mobile The ATCA extender board has a sturdy metal frame with latching
communications, enhancing quality of service (QoS) and easing system handles. The injector/ejector handles provide a secure and reliable con-
management. The CPX24 can be ordered in any of five ruggedization nection to the chassis. Pricing is under $1,000 in volume, depending on
levels. Price for a single, level-one unit is $7,380. configuration.
Radstone Embedded Computing, Towcester, UK. Elma Bustronic, Fremont, CA. (510) 490-7388.
+44 (0) 1327 359444. [www.radstone.com]. [www.elmabustronic.com].

66 January 2006
Get Connected with companies and
products featured in this section.
www.rtcmagazine.com/getconnected

Serial RapidIO Distributed Switch Solution for Pentium M CompactPCI SBC for Harsh Industrial
VME Systems Environments
The dual Pentium 1 GHz 74587 VME PowerNode3 SBC from A system slot or stand-alone board for CompactPCI systems in sin-
Thales Computers now interconnects through PMC-RIO serial RapidIO gle Eurocard format needs only Getone slot on thewith
Connected CompactPCI bus.
companies and The featured in this
products
switch fabric PCI mezzanine cards. Thales has bundled the performance 32-bit/33 MHz F14 board from MEN Micro is built around
www.rtcmagazine.com/getconnected
of PowerNode3 with a switch fabric solution to interconnect computing a Pentium M running at up to 2 GHz or—as
nodes all together inside a signal processing node. The Serial RapidIO an alternative—the low-power
technology reduces pin counts while staying full duplex and provides a Celeron M at up to 1 GHz. With
low latency packet-based interconnect data push. Additionally, the tech- a dedicated heat sink the F14 can
nology offers a very high degree of error management and provides a be used in the extended tempera-
state-of-the-art architecture for reporting, and recovering from, trans- ture range of -40° to +85°C. To meet
mission errors. The PMC-RIO mezzanine boasts its own distributed the requirements for shock and vibra-
switch that prevents any system single point of tion the board has no plugged compo-
failure. The switch fabric al- nents. In addition the card is prepared for
lows an aggregate through- coating—for use in humid and dusty
put of up to 1.6 Gbytes/s environments.
thanks to the 400 Mbyte/s The new 915GM chipset provides
sustained link bandwidth (peer four PCI Express lanes for fast communication such as Giga-
to peer), making the bundled card bit Ethernet or graphics, and two SATA interfaces. Standard I/O at the
suitable for demanding signal process- front panel includes VGA for graphics, two Gigabit Ethernet channels
ing applications. connected over PCI Express and two USB 2.0 ports.
Thales ships the card—one PowerNode3 The F14 comprises up to 2 Gbyte fast DDR2 DRAM that is firmly
featuring dual 1 GHz, 512 Mbyte memory, 32 Mbyte Flash along with soldered for shock and vibration-prone applications. A CompactFlash
RapidIO PCI mezzanine card mounted and tested, via LRU integration slot or a 1.8” hard disk can alternatively provide unlimited storage space.
tests as a whole unit together with a complete Lynx 4.0 (and VxWorks The F14 comes with board-support packages for Windows, Linux, Vx-
6.2 in further versions) software suite. Pricing for the bundled Power- Works and QNX. Single-unit pricing starts at $1,194.
Node 3 and PMC-RIO card starts at $10,200.
MEN Micro, Lago Vista, TX. (512) 267-8883. [www.menmicro.com].
Thales Computers, Raleigh, NC. (919) 231-8000. [www.cetia.com].

Two Boards Offer Fully Packaged InfiniBand-


based ATCA VME SBC Targets Harsh Environments
An AdvancedTCA pair including a node blade and a switch blade A highly reliable COTS single board computer for mission-criti-
provide an InfiniBand-based fabric for high-performance blade systems cal VME systems, the CPC600 from Fastwel is equipped with an In-
utilizing the ATCA open architecture standard. The ATC5232 node tel Pentium M processor up to 2.1 GHz
board is a dual Intel Xeon-based PICMG 3.2-compliant processor board and supports up to 2 Gbytes of DDR
for wireless access/edge, telecom fiber transport, media gateways, soft SDRAM with ECC. The Intel Pentium
switches and Internet IP-based applications. Onboard I/O peripherals M processor runs at up to 2.1 GHz
are two auto-negotiating Gigabit Ethernet controllers for the base in- and has up to 2 Mbytes L2 on-
terface, two 10 Gbit/s x4 InfiniBand ports for the fabric interface, one die cache at CPU speed over
64-bit/66 MHz PMC site for user configuration and other peripherals a 400 MHz processor system
designed for high-performance Telco needs. Two 2.5 GHz x8 PCI Ex- bus. All components includ-
press links are available at the RTM connectors. ing CPU, SDRAM and 32
The ATS2148 Hub Board is a 3.0 and Mbyte solid-state disk may be soldered on-
3.2 Option 1 switch, which provides board thus providing superior shock/vibration resis-
separate control plane switching, tance. Four Gigabit Ethernet ports and conformance to
data plane switching and stor- the VITA 31 specification make CPC600 an appropriate
age plane switching for platform for robust redundant systems. Live Insertion support, smart
ATCA shelves. It supports temperature control, hardware monitor and watchdog timer position the
Gigabit Ethernet on the base CPC600 for mission-critical applications.
control network. The fabric features a 10 Gbit/s In- A key element of the crash safety subsystem is 32K of non-volatile
finiBand switch with built-in InfiniBand Subnet Management RAM where user applications can keep critical data and system log,
Agent (SMA) and Performance Management Agents (PMA). Multi- which should be kept even if power fails. CPC600 also has 64K EE-
pathing and automatic path migration are fully supported enabling fault PROM memory for user applications. The board can withstand high
tolerance and failover as well as providing notification that a self-healing shock and vibration with operating temperatures from 40° to +85°C.
fabric event occurred. Singe-unit pricing for the 5232 starts at $3,440 Software support includes Microsoft DOS 6.22, Fastwel DOS 6.22,
and for the ATS2148 at $4,629. Windows 2000/XP/CE, QNX and Linux. Single-unit pricing starts at
Diversified Technology, Ridgeland, MS. (800) 443-2667. $2,987.
[www.dtims.com]. Fastwel, Moscow, Russia. +7(095) 234-0639. [www.fastwel.com].

January 2006 67
Products&Technology

Industrial Computer Features Detachable Software Radio Development Platform with SCA
Display, Computer Unit Compliance
Industrial computer systems for the ever-changing factory environ- A development platform containing all hardware and software
ment must be reliable and easy to upgrade with a minimum of capital tools required for developing software-defined radio is compliant with
reinvestment. In response, Arista has released the ARP-1720AP series the Software Communication
of industrial 20.1-in. LCD panel computers with an LCD monitor and Architecture (SCA) mandated
modular computer unit that can both be detached for easy maintenance for all future U.S. military ra-
and quick upgrades. dios. The Pentek SCA 2510
The ARP-1720AP’s display is a NEMA 4 Panel Mount 20.1-in. hardware platform consists of
LCD. The unit provides a variety of configurations ranging from a PIII a Pentek 7640 software radio
CPU to a powerful P4 CPU. transceiver PCI card installed in
Up to 1 Gbyte of system mem- a PC workstation. The computer
ory is supported. Single or multiple is loaded with the Linux operat-
PCI and ISA slots are also avail- ing system, a set of development
able. The ARP-1720AP can be tools and the SCARI++ SCA core
configured with either a DC 24V framework from Communications Research Centre (CRC) Canada. The
or 100-230 VAC input power sup- hardware and software are fully integrated and the PCI card comes pre-
ply. Multiple expansion slots and an configured with drivers and libraries.
optional RAID-1 are available for The Model 7640 Dual Channel Transceiver PCI board digitizes
certain configurations. HF or IF input signals using a pair of 14-bit, 105 MHz A/D converters
The ARP-1720AP supports ei- and generates output signals with two 16-bit, 500 MHz D/A converters.
ther Windows 2000 Pro or XP Pro, and can run The 7640 is also equipped with a Virtex-II Pro VP50 FPGA that serves
virtually any control, data acquisition or SCADA software package. as a control and status engine with data and programming interfaces to
Pricing for the series starts at $4,000. each of the many onboard resources, including a four-channel digital
down-converter, a digital up-converter and a clocking and synchroni-
Arista, Fremont, CA. (510) 266-1800. [www.aristaipc.com]. zation system. The software development environment is based on the
SCARI++ SCA Core Framework, Component Development Library
and Software Defined Radio (SDR) Development Toolset.
Single-seat pricing starts at $89,995. Discounts are available for
additional seats.
3U PXI/CompactPCI Digitizers Target Fast Test
Pentek, Upper Saddle River, NJ. (201) 818-5900. [www.pentek.com].
Apps
High-speed testing applications require fast data acquisition and
testing rates. With that in mind, Acqiris has introduced the 10-bit, 4
Motor Control Developers’ Kit Delivers High
Gsample/s, 3U PXI/CompactPCI dual-channel DC152 and single-chan- Performance
nel DC122 digitizers, with input bandwidths of up to 3 GHz. Developers of low-cost, high-end motion control applications need
The single-slot digitizers incorporate Acqiris’ proprietary chipsets, solutions for building high-performance, stand-alone mo-
the XLFidelity ADC front-end and the JetSpeed II A/D converter. The tor controllers, amplifiers or intelligent drives.
dual-channel DC152, with 2 GHz of bandwidth, provides synchronous With that in mind, Performance
sampling of 2 Gsamples/s on both input channels with up to 256 Mpoints Motion Devices’ DK73110 De-
of optional acquisition memory. The single-channel DC122 offers sam- velopers’ Kit for the company’s
pling rates of up to 4 Gsamples/s with 512 kpoints of MC73110 Brushless Motor Con-
standard, or 512 Mpoints of optional, trol IC has high-efficiency on-
acquisition memory. DC122 op- board MOSFET amplifiers that
tions include standard or high- boost speed and performance.
frequency front-ends. PMD’s DK73110 is a complete,
The 50 ohm input stage of integrated intelligent amplifier that includes a MC73110
the DC122 standard front-end is IC. It incorporates a velocity loop, a current loop, commutation, high-
fully protected against overvolt- performance half-bridge MOSFET switchers and integrated motor con-
age signals. The XLFidelity pro- nections. A proportional integral current control algorithm drives the
vides input voltage ranges from power stage. The kit can also be used to develop a custom amplifier
50 mV to 5V full scale (in a 1, using external high-power switching circuits. The DK73110 drives a
2, 5 sequence) with variable voltage offset. The three-phase brushless motor at up to 10 amps, inputs analog or digital
DC122 high-frequency input front-end gives direct command signals and requires a single-voltage high-power input.
access to the XLFidelity crosspoint switch. The full-scale range is fixed The DK73110 comes with PMD’s C-Motion API, which can be used
at 1V, providing a bandwidth of 3 GHz. The input channel has an over- to write applications with standard C and C++ language commands, and
voltage protection to ±3V. The DC152 and DC122 are supported with PMD’s Pro-MotionGUI, a Windows-based program for exercising the
AcqirisLive and AcqirisMAQS software and Windows, Linux and Vx- motor hardware. Pricing for the DK73110 is $495.
Works drivers. Pricing begins at $16,480. Performance Motion Devices, Lincoln, MA. (781) 674-9860.
Acqiris, Monroe, NY. (877) 227-4747. [www.acqiris.com]. [www.pmdcorp.com].

68 January 2006
Lab Kit Simplifies Development Time for RS232 Module Enables Data Transmission up to
Temperature Sensing Apps 1.2 km
A development lab kit for temperature sensors makes develop- Data transmission via serial interfaces is both a simple and safe
ment of temperature sensing applications fast and efficient by allowing method to connect computers with each
simultaneous display and evaluation of four signals: data, minimum, other or with peripheral components. SMA
maximum and average. The TSic LABkit from ZMD America enables Computers has developed a range of plug-
designers to evaluate up to four of its TSic sensors at one time. Data can on boards, called piggybacks, which are
also be recorded in a text file that can be imported by other applications, able to adjust the serial interfaces on SMA
such as Microsoft Excel. The kit includes four TSic 306 e-line sensor modules according to the various physi-
ICs with an accuracy of +/- 0.3°C with a 1-meter cable; aTSic LABkit cal standards. Now available is a special
USB Adapter for up to four temperature sen- RS232 piggyback allowing a point-to-point
sors, including a USB cable and a recorder connection over a distance of 1.2 km.
for data acquisition, display and recording Serial data transmission according
software for PC/Windows. to the RS232 standard represents the simplest way of exchanging data
The TSic family of digital tempera- between two participants. But, the cable length is limited to approxi-
ture sensors are tested and calibrated mately 20 meters. The 422S4PB-G1 piggyback enables connections
by IST AG to provide absolute accuracy Ad Index
over a distance of up to 60 times this far.
when delivered to customers. For exam- The technology can be used with all SMA modules that have se-
ple, the TSic 506F features a resolution of rial interfaces. By using the piggyback, the interface signals TxD, RxD,
0.034°C, while the TSic 106, TSic 206 and TSic RTS and CTS of the serial interface module are converted and electri-
306 feature a resolution of 0.1°C. cally separated into differential Get Connected
RS422 levels. The with technology
receive lines and
of the
companies providing solutions now
Designed as a high-performance, cost-effective solu- RS422 interface are terminated with 120 ohm on the piggyback and
tion, the TSic also offers low power and fast response time. The devices equipped with bias resistors Get (680Connected
ohm) in order is a new resource for further exploration
to permanently apply
into products, technologies and companies. Whether your goal
are ideal for temperature sensing in automotive applications, industrial a valid voltage level (> +200
is to research the latest datasheetmodule.
mV) to the receiving The RS422
from a company, speak directly
and process control equipment, information technology products such signal levels makewithit possible to transmit data over a distance of up to
an Application Engineer, or jump to a company's technical page, the
as PCs, hard disk drives, consumer products, medical instrumentation 1.2 km when usinggoal suitable
of Get cables. The piggyback
Connected must
is to put you in touchbewith
installed
the right on
resource.
and white goods. The TSic LABkit is priced at $185. each component. The 422S4PB-G1
Whichever is priced
level of service at $78.
you require for whatever type of technology,
Get Connected will help you connect with the companies and products
ZMD America, Melville, NY. (631) 549-2666. [www.zmd.biz]. SMA Computers, Fountain Valley, CA. (714) 593-2338.
you are searching for.
[www.SMAcomputers.com].
www.rtcmagazine.com/getconnected
Digital Motor Control Development Kit Supports
MATLAB CompactPCI Express Backplanes Target Video
To improve R&D and reduce development time for digital motor Graphics Apps
control applications, Technosoft has introduced the MCK2812 Kit C Pro- A new generation of video graphics applications requires higher perfor-
MS(BL) motor control kit, which supports MATLAB’s automatic C code mance, especially in backplanes. The four-slot CompactPCI Express EXP0
generation. The kit comprises a complete motor control development backplane from Elma BustronicsGet Connected
has a 10- with technology and companies providing
platform, including necessary hardware (motor, sensors, power inverter) layer stripline design and contains a system is a new resource for further exploration into product
Get Connected
and development software, such as the MATLAB system model and slot, one Type 1 slot and two Type 2from
datasheet slots.
a company, speak directly with an Application Engineer, o
complete DSP source code for a brushless motor control application. Based on the new
in touch with the right resource. Whichever level of service you require for
GetPICMG
Connected speci-
will help you connect with the companies and products yo
The MCK2812 Kit is based on an SK2812 board with a 150 MHz fication, the EXP0 backplane is back-
www.rtcmagazine.com/getconnected
TMS320F2812, 128 Kw external RAM, 2 x 12-bit D/A outputs and RS- ward compatible to CompactPCI and
232, CAN-bus and JTAG interfaces. Hardware components include a also supports next-generation PCI Ex-
PM50 3-phase inverter power module, press architecture in the familiar 6U-160 Eurocard form-factor. Cards
a brushless motor equipped with are connected via a serial point-to-point bus with a read-only bandwidth
Hall sensors and a 500-line of up to 2.5 Gbits/s (16x) or 2.5 Gbits/s full duplex (8x). Support for sev-
encoder and a real-time serial eral different card form-factors are provided, with connectivity in 1x,
communication monitor. Soft- 2x, 4x and 8x increments. Each link is 2.5 Gbits/s full duplex. Support
ware includes PROCEV28x pro-
cessor evaluation software with ASM/C
of legacy 32- or 64-bit CompactPCI boards is accomplished by a PCIe-
Products
to-PCI bridge. Because the CompactPCI Express architecture supports
E
source code, DMCD28x-Pro Digital Motion the P3, P4 and P5 connectors in all 6U slot types, it can continue to sup-
Control Developer software with reference and trace port all existing CompactPCI secondary architectures, such as PICMG
functions, brushless motor control demos for sinusoidal 2.5, 2.20, 2.16, 2.17 and 2.18, either as functions on native cPCI Express
Get Connected with companies and
mode and a DMCode-MS(BL) Source Code library for position and cards or as legacy cards in the original cPCI form.
products featured in this section.
speed control, including a MATLAB-Simulink model of the complete Pricing for the 4-slot EXP0 backplane is under $400, depending on
www.rtcmagazine.com/getconnected
motor control structure. volume and configuration.
The kit comes with TI’s C-compiler, assembler and linker tools, as Elma Bustronic, Fremont, CA. (510) 490-7388.
well as user and reference manuals for the kit and the TMS320F2812 [www.elmabustronic.com].
DSP controller. Price is $4,995.
Technosoft, Bevaix, Switzerland. +41 32 732 55 00.
Get Connected with companies and products featured in this section.
[www.technosoftmotion.com].
www.rtcmagazine.com/getconnected

January 2006 69
is to research the latest datasheet from a company, speak directly
with an Application Engineer, or jump to a company's technical page, the
goal of Get Connected is to put you in touch with the right resource.
Whichever level of service you require for whatever type of technology,
Get Connected will help you connect with the companies and products
you are searching for.
www.rtcmagazine.com/getconnected

Advertiser Index
Get Connected with technology and companies providing solutions now
Get Connected is a new resource for further exploration into products, technologies and companies. Whether your goal is to research the latest
datasheet from a company, speak directly with an Application Engineer, or jump to a company's technical page, the goal of Get Connected is to put you
in touch with the right resource. Whichever level of service you require for whatever type of technology,
Get Connected will help you connect with the companies and products you are searching for.
www.rtcmagazine.com/getconnected

Company Page Website

ACCES I/O Products..............................................................................................59..................................................................................................www.accesio.com

ADLINK Technology, Inc.........................................................................................17.................................................................................... www.adlinktechnology.com

End of Article
Advantech Technologies, Inc..................................................................................45..............................................................................................www.advantech.com

Products
American Arium ....................................................................................................16.....................................................................................................www.arium.com

Arcom Control Systems LTD...................................................................................22....................................................................................................www.arcom.com

Artesyn Communication Products...........................................................................56.................................................................................................. www.artesyn.com


Get Connected
BitMicro Networks, Inc...with companies and Get Connected
.........................................................................................6.................................................................................................. www.bitmicro.com
products featured in this section. with companies mentioned in this article.
Critical I/O............................................................................................................38.................................................................................................www.criticalio.com
www.rtcmagazine.com/getconnected www.rtcmagazine.com/getconnected
Data Acquistion Showcase.....................................................................................52............................................................................................................................

Diversified Technology...........................................................................................13.....................................................................................................www.dtims.com

Dynatem, Inc.........................................................................................................47................................................................................................ www.dynatem.com


Get Connected with companies mentioned in this article.
Elma Bustronic Corp..............................................................................................51........................................................................................
www.rtcmagazine.com/getconnected www.elmabustronic.com
Get Connected with companies and products featured in this section.
www.rtcmagazine.com/getconnected
Embedded Planet..................................................................................................41.................................................................................... www.embeddedplanet.com

General Micro Systems, Inc...................................................................................71............................................................................................... www.gms4sbc.com

Interactive Circuits and Systems............................................................................23.................................................................................................... www.ics-ltd.com

Kontron America....................................................................................................72..................................................................................................www.kontron.com

Kontron America....................................................................................................15..................................................................................................www.kontron.com

Mercury Computer ................................................................................................31................................................................................................. www.mercury.com

Micro/sys, Inc.......................................................................................................20........................................................................................ www.embeddedsys.com

Microsoft Tour.......................................................................................................64............................................................................... www.microsoftembedded.com

Microsoft Windows Embedded........................................................................... 36,37..............................................................www.learnaboutembedded.com/robots2

Nallatech Inc.........................................................................................................12............................................................................................... www.nallatech.com

Octagon Systems................................................................................................. 2,3.....................................................................................www.octagonsystems.com

One Stop Systems.................................................................................................55.................................................................................... www.onestopsystems.com

Phoenix International..............................................................................................6................................................................................................. www.phenxint.com

QNX Software Systems Ltd.2..................................................................................7......................................................................................................... www.qnx.com

Real-Time & Embedded Computing Conference......................................................27......................................................................................................www.rtecc.com

Red Rock Technologies, Inc...................................................................................65........................................................................................... www.redrocktech.com

RTC Digital Delivery...............................................................................................60........................................................................................... www.rtcmagazine.com

SBE, Inc................................................................................................................48.......................................................................................................www.sbei.com

SBS Technologies...................................................................................................4.........................................................................................................www.sbs.com

Themis Computer..................................................................................................33................................................................................................... www.themis.com

VadaTech...............................................................................................................8.................................................................................................www.vadatech.com

VersaLogic Corporation..........................................................................................10.............................................................................................. www.versalogic.com

RTC (Issn#1092-1524) magazine is published monthly at 905 Calle Amanecer, Ste. 250, San Clemente, CA 92673. Periodical postage paid at San Clemente and at additional mailing offices.
POSTMASTER: Send address changes to RTC, 905 Calle Amanecer, Ste. 250, San Clemente, CA 92673.

70 January 2005

You might also like