You are on page 1of 221

real time semg based muscle fatigue

monitoring using low power integrated


circuits

BY

Ermis Koutsos

January 27, 2017

A thesis submitted for the degree of Doctor of Philosophy of Imperial


College London

Department of Electrical and Electronic Engineering


Imperial College of Science, Technology and Medicine
Declaration of originality

`I hereby declare that this thesis and the work reported herein was composed by and originated

entirely from me. Information derived from the published and unpublished work of others has been

appropriately referenced. Any contribution made to this research by others is explicitly acknowl-

edged in the text.'

Copyright declaration

`The copyright of this thesis rests with the author and is made available under a Creative Commons

Attribution Non-Commercial No Derivatives licence. Researchers are free to copy, distribute or

transmit the thesis on the condition that they attribute it, that they do not use it for commercial

purposes and that they do not alter, transform or build upon it. For any reuse or redistribution,

researchers must make clear to others the licence terms of this work.'
To my beloved parents and dearest brother Alexandros!
Acknowledgements iv

Acknowledgements
First and foremost, I am grateful to my supervisor Dr. Pantelis Georgiou for being extremely

supportive and providing me with help, guidance and motivation throughout this project. His

passion and expertise have shaped my personality as a researcher. But above all, he believed in me

from the rst day of this project and encouraged me to always move onwards and upwards.

Many thanks to Prof. Tor Sverre Lande (Bassen), Dr. Timothy Constandinou, Dr. Yan Liu, Dr.

Yuanqi Hu, Dr. Mohammadreza Sohbati, Dr. Song Luan, Dr. Deren Barsakcioglu, Dr. Lieuwe

Leene, Dr. Sivylla E. Paraskevopoulou, Dr. Amir Eftekhar, Dr. Paul Strutton and Dr. Chloe

Chiou for the countless hours of technical advice, guidance and discussions. Furthermore, I wish to

thank the group administrators, Wiesia Hsissen and Izabela Wojcicka-Grzesiak, and all my friends

in B422 for the warmth they bring to the group. Special mention must go to Maria Khaleeq from the

Department of Physics for her willingness to help with her bonding skills and Raymond Thompson

for his CAD contributions.

Many thanks to the students Jack Heaey, Vlad Cretu, Daiwen Sun, Usama Arif, Silvia Gallo and

fellow Ph.D. candidates and friends Nicolas Moser and Nikolaos Miscourides, that contributed to

my vision of this project.

I am thankful to my good friends Dr. Radu Berdan, Michail Daktylidis, Nikolaos Daktylidis,

Alexandros Sourlas, Katerina Sourla, Antonios Andreou and Dimitrios Andreou for sharing my

anxiety and stress, and supporting me throughout my Ph.D. with advice and fun, relaxing moments.

Special thanks to a true friend and a brilliant engineer, Ilias Pagkalos, for all the problems we solved

and all the good times we enjoyed.

I am deeply grateful to my beloved Dr. Tatiana Trantidou for her support through thick and

thin, her patience, immense help and advice during this long endeavour. Brightening my days and

encouraging me to be myself was the biggest help of all.

Last but most importantly, I would like to express my gratitude to my family, my parents Paraskevi

Koutsou, Konstantinos Koutsos and my brother Alexandros Koutsos, for all their sacrices and for

always showing me the utmost faith, support and encouragement to follow my dreams.
Abstract v

Abstract
Electromyogram (EMG), the recording of the electrical impulses of the muscles, is a rich

source of information, which can facilitate such an insight into our muscles and especially their

activation and fatigue level. Muscle fatigue has been shown to be one of the most important

biofeedback parameters of EMG in rehabilitation, ergonomics and training, by using measured

results from the body to change the way we behave, improve our performance and achieve better

compliance to rehabilitation.

This thesis addresses the challenge of reliably and eciently estimating a muscle's fatigue

state though monitoring surface EMG signals, with the use of low power integrated circuits. CMOS

technology facilitates localised real-time processing to achieve complete miniaturisation, resulting

in an information driven system rather that conventionally data driven system. Thus, reducing

requirements on data transmission, saving power and increasing the degree of freedom for the user.

Several EMG properties progressively change during muscle fatigue and can be quantied

in the time and frequency domains using dierent processing techniques. CMOS technology allows

to signicantly reduce the power and size of the developed EMG processing technology. Firstly, a

CMOS system is presented, capable of measuring the instantaneous Median Frequency (iMDF) of

the EMG signal, which is considered the golden standard for muscle fatigue assessment. Continuing,

a novel bit-stream cross-correlator design that greatly simplies the sEMG signal without any loss

of information is presented for the estimation of the EMG conduction velocity, which is associated

with the physiological changes of the muscle during fatigue. Furthermore, a new metric similar

to iMDF is introduced, combining the advantages of the bit-stream approach that can accurately

track the spectral compression of the sEMG during fatigue with one bit representation. Lastly, a

complete muscle fatigue monitoring System-on-Chip (SoC) is presented, oering complete insight

into the underlying mechanisms and physiological changes during muscle fatigue through sEMG

analysis while operating under both static and dynamic contractions. The proposed approach is

scalable, as several muscle fatigue monitoring SoCs can operate in parallel and periodically relay key

information about the muscle, thus reducing data transmission costs and bandwidth requirements.

Finally, a succession of wearable EMG devices are presented, introducing the use of custom

Application Specic Integrated Circuits in wearable electronics for unsupervised muscle fatigue

monitoring. The wearable nodes are wireless while user ergonomics, power and weight were the

primary design considerations.


vi

Contents

Acknowledgements iv
Abstract v
Contents vi
List of Figures x
List of Tables xvi
List of Abbreviations xviii
Chapter 1. Introduction 1
1.1 Motivation for Muscle Fatigue Monitors . . . . . . . . . . . . . . . . . . . . . . . . . 1

1.2 Research Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

1.3 Thesis Organisation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

References 6
Chapter 2. Fundamentals of Electromyography and Muscle Fatigue Analysis 8
2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

2.2 Electromyography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

2.2.1 Muscle contraction and EMG formation . . . . . . . . . . . . . . . . . . . . . 8

2.2.2 EMG detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

2.2.3 Standardised sEMG Detection Protocol . . . . . . . . . . . . . . . . . . . . . 14

2.3 Myoelectric Manifestations of Muscle Fatigue . . . . . . . . . . . . . . . . . . . . . . 17

2.3.1 Processing in Time Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

2.3.1.1 Root Mean Square, Spike Counting, Zero Crossing Rate . . . . . . . 19

2.3.1.2 Muscle Fibre Conduction Velocity . . . . . . . . . . . . . . . . . . . 20

2.3.2 Processing in Time-Frequency Domain . . . . . . . . . . . . . . . . . . . . . . 21

2.3.2.1 Short Time Fourier Transform . . . . . . . . . . . . . . . . . . . . . 22

2.3.2.2 Continuous Wavelet Transform . . . . . . . . . . . . . . . . . . . . . 22

2.3.2.3 Other Time-Frequency Methods . . . . . . . . . . . . . . . . . . . . 23

2.3.3 Fatigue Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24


Contents vii

2.3.4 Repeatability of sEMG Muscle Fatigue Estimates . . . . . . . . . . . . . . . . 26

2.4 Current Approaches for Muscle Fatigue Monitoring . . . . . . . . . . . . . . . . . . . 26

2.4.1 Approach in this Thesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

2.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

References 33
Chapter 3. An ASIC for sEMG Spectrum Monitoring using a Median Frequency
Estimator 45
3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

3.2 Methods for real-time iMDF tracking systems . . . . . . . . . . . . . . . . . . . . . . 45

3.3 Proposed IC iMDF Tracking System . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

3.3.0.1 iMDF Model Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . 51

3.3.0.2 iMDF Model Simulations . . . . . . . . . . . . . . . . . . . . . . . . 53

3.4 iMDF Tracker Circuit Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . 53

3.5 Measurement Results and Reections on iMDF Tracker . . . . . . . . . . . . . . . . 65

3.5.1 Design Considerations for Future Improvements . . . . . . . . . . . . . . . . . 65

3.5.2 A novel Neuromorphic based iMDF Tracking System . . . . . . . . . . . . . . 67

3.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

References 74
Chapter 4. A wearable MFM System based on the Muscle Fibre Conduction Ve-
locity 76
4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

4.2 Methods for Conduction Velocity Estimation . . . . . . . . . . . . . . . . . . . . . . 77

4.3 MFCV Tracking Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

4.3.1 Design Considerations for the CMOS Cross-Correlator . . . . . . . . . . . . . 83

4.3.2 Proposed Bit-Stream Cross-Correlator . . . . . . . . . . . . . . . . . . . . . . 88

4.4 MFCV Tracking ASIC Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

4.4.1 sEMG Signal Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

4.5 MFCV Tracking ASIC Experimental Results . . . . . . . . . . . . . . . . . . . . . . . 97

4.5.1 System Validation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

4.5.2 Validation on Human Subjects . . . . . . . . . . . . . . . . . . . . . . . . . . 101

4.5.2.1 Experimental Protocol . . . . . . . . . . . . . . . . . . . . . . . . . . 101

4.5.2.2 Results from Human Trials . . . . . . . . . . . . . . . . . . . . . . . 103

4.5.3 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

4.6 Wearable Muscle Fatigue Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

4.7 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

References 111
Contents viii

Chapter 5. A MFM ASIC exploring the iMDF and Zero Crossings relationship 117
5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

5.2 Median Frequency and sEMG Spike Duration . . . . . . . . . . . . . . . . . . . . . . 118

5.2.1 Algorithm for Spike Duration Based iMDF Approximation . . . . . . . . . . . 123

5.3 SDMF Tracking ASIC Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

5.3.1 sEMG Front End Signal Processing . . . . . . . . . . . . . . . . . . . . . . . . 129

5.3.1.1 Choice of Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

5.3.1.2 Analogue Front End . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

5.3.1.3 sEMG Filtering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

5.4 SDMF Tracking ASIC Experimental Results . . . . . . . . . . . . . . . . . . . . . . . 134

5.4.1 System Validation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

5.4.2 Physiological Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

5.4.3 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

5.4.4 The second version of the Wearable Muscle Fatigue Monitor . . . . . . . . . . 144

5.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

References 148
Chapter 6. A sEMG Analysis System-on-Chip for Muscle Fatigue Monitoring 152
6.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

6.2 sEMG SoC Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

6.3 Adaptation for Dynamic Contractions . . . . . . . . . . . . . . . . . . . . . . . . . . 155

6.4 Circuit Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

6.4.1 Digital Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

6.5 Mucle Fatigue Monitoring ASIC Overview . . . . . . . . . . . . . . . . . . . . . . . . 162

6.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

References 165
Chapter 7. Conclusion 167
7.1 Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

7.2 Recommendations for Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

7.2.1 System Optimisation and Enhancement . . . . . . . . . . . . . . . . . . . . . 168

7.2.2 Next Generation Wearable Electronics . . . . . . . . . . . . . . . . . . . . . . 169

7.2.3 Multimodal Biosensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

List of publications 170


Appendix A. 171
A.1 VHDL code for neuromorphic iMDF tracker . . . . . . . . . . . . . . . . . . . . . . . 171

Appendix B. 175
B.1 VHDL code for MFCV ASIC (OPTIMUS) . . . . . . . . . . . . . . . . . . . . . . . . 175
Contents ix

B.2 VHDL code for SDMF ASIC (BATMAN) . . . . . . . . . . . . . . . . . . . . . . . . 179

B.3 VHDL code for MFM ASIC (ATLAS) . . . . . . . . . . . . . . . . . . . . . . . . . . 185

Appendix C. 195
C.1 Bit-Stream Cross-Correlator Modelling . . . . . . . . . . . . . . . . . . . . . . . . . . 195

C.2 Noise Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199


x

List of Figures

1.1 CMOS technology can unlock the potential of future EMG biofeedback systems by overcoming

the limitations current technologies are facing. Integration of detection and processing stages will

signicantly reduce data transmission and allow several EMG channels to be processed concurrently,

thus increasing the insigh and eectiveness of biofeedback in the future. . . . . . . . . . . . . . 3

2.1 (a) Muscle anatomy showing motor unit, motor neuron and muscle bre. Figure from [2], (b) Muscle

cell anatomy. Figure from [3]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

2.2 (a) The actin-myosin binding cycle. Figure from [3], (b) Muscle cell membrane potential due to N a+

and (K + ) concentrations. Once the membrane threshold is exceeded, the contraction/relaxations

cycle of the muscle begins, based on the sliding lament model. . . . . . . . . . . . . . . . . . 11

2.3 Factors aecting the shape, amplitude and frequency of the detected MUAP on the skin surface

during a sustained contraction. Figure from [6]. . . . . . . . . . . . . . . . . . . . . . . . . . 12

2.4 The eect of monopolar, bipolar (single dierential) and double dierential electrode congurations

on the recorded sEMG signal. Figure from [15]. . . . . . . . . . . . . . . . . . . . . . . . . . 13

2.5 Spatial ltering eect of (a) monopolar , (b) bipolar and (c) double dierential electrode congura-

tions. (left) conguration topology, (middle) spatial lter impulse responce and (right) lter output

signal. Figure from [16]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

2.6 Electrode positioning between myotendonous junction and innervation zone. . . . . . . . . . . . 15

2.7 Eect of interelectrode distance and electrode location on the sEMG amplitude. Figure from [21]. . 16

2.8 The power spectral density of the sEMG signal during a static, sub-maximal, fatiguing contraction. 19

2.9 Progression of the spectral compresion of the sEMG signal during fatigue. The iMDF is marked in

red. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

2.10 The progression of dierent sEMG variables in the time and frequency domian during a fatiguing

contraction. Figure from [63]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.11 Joint Analysis of myoelectric Spectrum and Amplitude decision case algorithm. . . . . . . . . . . 26

3.1 (a) Power Spectral Density function of EMG signal during fatigue, (b) top: sEMG signal from static

fatiguing cobntraction, bottom: iMDF of the recorded sEMG signal. . . . . . . . . . . . . . . . 46


List of Figures xi

3.2 Partitioning the sEMG spectrum with the use of two tunable lters (high-pass and low-pass) with

the same corner frequency. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

3.3 System architecture for the estimation of iMDF, utilising tunable lters and a feedback controller.

Recreated from [3]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

3.4 iMDF estimation algorithm: The power of the up-modulated sEMG signal is partitioned using a

high order bandpass lter. The dierence in power of the original singal and the up-modulated one

is integrated and used to control the VCO frequency. . . . . . . . . . . . . . . . . . . . . . . 48

3.5 System-level block diagram of the chosen iMDF tracking implementation. . . . . . . . . . . . . . 49

3.6 Simulink model for tracking iMDF. The signal passes through an antialliasing lter and then proc-

cesed by the algorithm described above. The output of the system is the dierence between fc and

fV CO . The output is low-pass ltered for a smoother response. . . . . . . . . . . . . . . . . . . 50

3.7 A 250 ms sEMG waveform is sown in a) and the corresponding signal transformations as it goes

through nodes B-F in the system model Fig. 3.6 (b-f respectively). . . . . . . . . . . . . . . . . 54

3.8 IMDF tracking during fatiguing contraction from muscles a) LES T12, b) RES T12, c) LES L4, d)

RES L4, e) L RA and f) R RA. Blue line is iMDF using system described. Red line serves as a guide. 54

3.9 Circuit schematic of the gm-C VCO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

3.10 Frequency responce of the gm-C VCO with a bias current in the range of 100-300 nA. . . . . . . . 56

3.11 Current mode, four quadrant, translinear loop multiplier. . . . . . . . . . . . . . . . . . . . . 56

3.12 Harrison-amplier architecture [7] for a variable gain, subtracting amplier. . . . . . . . . . . . . 57

3.13 Dierential in/out switched-capacitor integrator with oset compensation. . . . . . . . . . . . . 58

3.14 Schematic of the trasmition gate used with MOSFET capacitors for charge injection absorbtion. . . 58

3.15 FLF eliptic lter architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

3.16 Biquad architecture circuit diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

3.17 OTA used in biquad lter with source degeneration. . . . . . . . . . . . . . . . . . . . . . . . 60

3.18 Current mode adder circuit diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

3.19 Simulation of the bandpass elliptic lter gain response: a) ideal lter, circuit implementation and

cascaded lter response, b) closeup of a) around the 3dB point, c) Monte-Carlo extremities of the

cascaded lter and d) eect of Q and centre frequency variation on the FLF structure frequency

response. Figure from [11]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

3.20 Blue line: cadence simulation iMDF tracking during fatiguing contraction from muscles a) LES T12,

b) RES T12 and c) LES L4. Red line: iMDF tracking using MATLAB® simulation. . . . . . . . 64

3.21 Die micro-photograph of the iMDF tracking ASIC. . . . . . . . . . . . . . . . . . . . . . . . 65

3.22 (a) The dierential output of the PGA durring and after a RESET period, (b) The dierential

output of the SC integrator following the PGA durring the same period. . . . . . . . . . . . . . 66

3.23 Block diagram representation of the second version of the iMDF Tracking System. . . . . . . . . 67
List of Figures xii

3.24 Second order Gm -C High Pass Filter Schematic. By operating current source transistors M13 and

M14 in the weak inversion region, the cuto frequency depends on the biasing current. An array of

binary scaled current mirrors is used to control the bias current and adjust the cuto frequency of

the lter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

3.25 Analogue Current Mode Squarer Circuit. The circuit also acts as a transconductor which converts

the voltage mode signal into current mode signal which can be used to drive the integrate-and-re

neuron circuit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

3.26 Integrate-and-Fire Neuron Circuit Implementation. The circuit integrates the signal power over

an adjustable time window which gives the average signal power. The integrated signal power is

represented as the amount of spikes red from the neuron circuit. The neuron is tuned to be linear. 70

3.27 Blue Trace: Median Frequency during fatigue using proposed system from (a) Subject 1, (b) Subject

2, (c) Subject 3, Red Trace: Median Frequency using MATLAB® medf req() function. . . . . . . 71

4.1 Distance between reference points: a) Seven sEMG signals detected along the muscle bre, b)

Alignment of EMG segments from reference points. Figure from [20]. . . . . . . . . . . . . . . . 79

4.2 Cross-correlation result of two EMG signals detected along the muscle bre path. (a) Reference and

Comparison (delayed) EMG signals, (b) Cross-correlation coetient. Figure from [26]. . . . . . . 81

4.3 The propagation of MUAPs along the muscle bre in two directions, originating from the innervation

zone. Figure from [28]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

4.4 Cross correlation detection points on bicepts brachii muscle. (a) single electrode, monopolar detec-

tion, (b-c) double electrode, bipolar detection. Figure from [32]. . . . . . . . . . . . . . . . . . 82

4.5 Lande et al. bit-stream cross correlator. The two signals are buered and latter correlated using an

AND or XOR gate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

4.6 Proposed architecture of the bit-stream cross correlator. The buering blocks have been replaced

with delay blocks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

4.7 Performance of the proposed bit-stream cross-correlator with varying threshold, correlation window

and sampling frequency: (a) MARD between MATLAB® xcorr() function and modelled system

with a varying threshold, (b) MARD between MATLAB® xcorr() function and modelled system,

where W is the correlation window (s). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

4.8 Correlation stage with delay block, counter and correlator (XNOR). . . . . . . . . . . . . . . . 91

4.9 Correlation stage signals. (a) EMG signal, (b) xd (n) bit-stream, (c) x(n) bit-stream delayed by

10 previous correlation stages, (d) x(n) bit-stream delayed by all previous stages, (e) XNOR bit

correlation result between (b) and (c), (e) counter result after adding all XNOR values in real time. 92

4.10 Maximum Function Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

4.11 Timing control unit circuit diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94


List of Figures xiii

4.12 Timing Control Unit signal ow. (a) sampling clock, (b) correlation time clock, (c) delay clock, (d)

enable maximum function, (e) read data for output and (f) reset counters. . . . . . . . . . . . . 95

4.13 System block architecture of the Muscle Fibre Conduction Velocity tracking ASIC. . . . . . . . . 95

4.14 Die micro-photograph of the MFCV tracking ASIC. . . . . . . . . . . . . . . . . . . . . . . . 97

4.15 (a) Input-referred noise measurement (red) and simulation (black) results of the IA channel 1, (b)

Common-mode rejection ratio measurement of the IA (chan. 1) using a 500mVpp input signal. . . . 99

4.16 Top: sMEG segment from Channel 1, middle: sMEG segment from Channel 2. Delay between the

two channels is easily detectable by eye, bottom: Comparator output from channel 2 for reference. . 100

4.17 Cross-correlation delay estimation under static contraction from rst test subject. sEMG was

recorded from the inner left biceps brachii. Sampling clock is 10 kHz and window size clock is

1 Hz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

4.18 The recorded sEMG signals from Subject 2 are played back to the system using a funciton generator.

Thus, the eect of thresshold can be studied. . . . . . . . . . . . . . . . . . . . . . . . . . . 101

4.19 Experimental set-up protocol. The subjects keep the force output constant and within limmits with

the aid of a visual, real-time representation of the applied force. . . . . . . . . . . . . . . . . . 102

4.20 Left: Architectural diagram of experimental setup. Middle: Electrode conguration for bipolar

single dierential sEMG amplication. Muscle zones are displayed. Right: Experimental setup

including microcontroller for data transmission, clock generation and threshold position. Faraday

cage used in noise measurements only. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

4.21 (a) MFCV trial results from subject 11. The rested state MFCV is 6 sec
m
and progresses to 4 sec
m

as the muscle fatigues, (b) Fatigue trial results comparison between MFCV tracking ASIC and

MATLAB® . The MARD is presented in percentile. . . . . . . . . . . . . . . . . . . . . . . . 105

4.22 (a) Minimum area for 4 electrode conguration. (b) PCB dimensions constrains based on 4 electrode
conguration. (c) Bottom side of the case with electrode snap sockets. (d) Completed PCB with

soldered components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

4.23 Exploded view of the case with the PCB inside.Snap sockets are screwed in place in the hole

oppenings. The device is attached on the user by the electrode adhesive. . . . . . . . . . . . . . 108

4.24 (a) Calibrate parameters tab of the Android application. The used can specify the common mode

voltage, threshold voltage, sampling frequency and correlation window, (b) Live capture tab of the

Android application. The user can visualise sEMG and muscle fatigue data, as well as EEPROM

saved data and choose to export the recorded values. . . . . . . . . . . . . . . . . . . . . . . 109

4.25 (a) Wearable Muslce Fatigue Tracking System, showning the Android application and the wearable

device, (b) Complete Muslce Fatigue Tracking System, showning the Android application and the

wearable device attached on a user. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109


List of Figures xiv

5.1 sEMG segment indicating the zero crossings and the time interval between these crossigns. . . . . 120

5.2 SDMF and iMDF plots of biceps brachii sEMG recordings of three dierent subjects (a), (b), (c)

respectively). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

5.3 (a) sEMG segment showing the addition of low frequency (10 Hz) motion artefacts, (b) iMDF

computed for the unaltered and the altered sEMG signal. . . . . . . . . . . . . . . . . . . . . 122

5.4 SDMF and iMDF plots of biceps brachii sEMG recordings of three dierent subjects (a), b), (c)

respectively). The SDMF was computed using the artefact infected sEMG signal and the iMDF

using the clean sEMG signal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

5.5 Architectural block diagram illustration of the proposed SDMF tracking algorithml. . . . . . . . . 124

5.6 (a) is the undelayed positive toggle bit-stream, (b) the undelayed negative toggle bit-stream, (c) the

already delayed positive toggle bit-stream and (d) the comparison between the two versions of the

same bit-stream. If the two signals overlap, then the toggle length is greater or equal to the added

delay at that stage and the comparison output increments a counter. . . . . . . . . . . . . . . . 125

5.7 SDMF tracking system circuit implementation. . . . . . . . . . . . . . . . . . . . . . . . . . 125

5.8 Circuit implementation of the delay & overlap stage, including an overlap correction circuit. . . . 126

5.9 (a) the counter value from all stages at three distinct points in time. All three plots show a decreasing

trend with time. (b) the counter values for three distinct stages for the complete duration of the

sEMG signal of a fatiguing contraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

5.10 Estimated SDMF comparison between the median() function in MATLAB® and the proposed

algorithm. The error between them is given by MARD = 6.5%. . . . . . . . . . . . . . . . . . 128

5.11 System block architecture of the SDMF tracking ASIC. . . . . . . . . . . . . . . . . . . . . . 128

5.12 Skin-electrode impedance model for dry and wet electrodes. . . . . . . . . . . . . . . . . . . . 129

5.13 (a) transconductance, (b) transimpedance (single ended), (c) DC servo and (d) transimpedance

(double ended) circuit implementations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

5.14 Second order, low pass lter, gm-C architecture. . . . . . . . . . . . . . . . . . . . . . . . . . 133

5.15 Die micro-photograph of the SDMF tracking ASIC. . . . . . . . . . . . . . . . . . . . . . . . 134

5.16 (a) Input-referred noise measurement results of the analogue front-end signal path (IA, PGA, LPF),

(b) Common-mode rejection ratio measurement of the IA using a 500mVpp input signal. . . . . . . 136

5.17 Transient responce of the IA start-up. The ouput reached a stadle operating point after 10 seconds

due to the large time constant involved with the DC servo loop. . . . . . . . . . . . . . . . . . 137

5.18 PCB measurement board for the SDMF ASIC. The dry electrodes are made of steel disks, 1cm in

diameter. The ASIC communicates withthe microcontroller via SPI and the microcontroller to the

PC via UART. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

5.19 Transient reconding from the upper part of the inner left biceps brachii muscle. ECG heartbeats

were detected and shown in detail in the left gure. . . . . . . . . . . . . . . . . . . . . . . . 138


List of Figures xv

5.20 Shown are an sEMG recording and the position of the selected hysteresis thresholds. Hysteresis is

introduced with the aid of positive feedback, ass seen in the bottom left conrer. . . . . . . . . . . 139

5.21 (a) sEMG recording of a contraction starting from a rested state until exhaustion, (b) smoothed

sEMG amplitude using a 300 ms moving average window. . . . . . . . . . . . . . . . . . . . . 140

5.22 (a) Comparison of the SDMF (red) and iMDF (blue) trends before and after the muscle contraction

(green regions), (b-f) SDMF (red), iMDF (blue) and their smoothed (black) trends for Subjects 1-5. 142

5.23 (a) Smoothed SDMF responce with sEMG playback from Subject 1 and a varying PGA gain from

1 to 7, (b) SDMF plot and smoothed responce with sEMG playback from Subject 2 and a varying

PGA gain from 3 to 8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

5.24 (a) Revised Wireless MFM node, bottom view, (b) Revised Wireless MFM node, top view, (c) Size

comparison between old and new MFM versions, (d) Size comparison between old and new MFM

versions with their cases on, (e) Size comparison between wet electrode sockets and custom made

dry electrodes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145

5.25 (a) Application window where the user can select number and location of wireless MFM nodes, (b)

Application window where the user can save muscle names and locations used. . . . . . . . . . . 146

6.1 Onset detector circuit on prototyping board. . . . . . . . . . . . . . . . . . . . . . . . . . . 155

6.2 (a) sEMg recording of a dynamic contraction, (b) close-up section of dynamic contraction, (c) SDMF

output for the dynamic contraction recording, (d) synthesised dynamic contraction (blue) and the

onset pulse (red), (e) SDMF output for the synthesised dynamic contraction and (f) SDMF output

for the synthesised dynamic contraction with the onset detector on. From (a) it is clear that the

SDMF algorithm is better at detecting the start/end point of the contraction. . . . . . . . . . . 158

6.3 Onset detector circuit implementation for the MFM ASIC. . . . . . . . . . . . . . . . . . . . . 159

6.4 (a) Frequency responce of the fth order SC LPF with CLK = 4 kHz, (b) Transient responce of the

onset detector. The sEMG signal is approximated with a 100 Hz sine wave. . . . . . . . . . . . . 159

6.5 FSM state diagram. The SPI[15:14] bits control the state transitions. . . . . . . . . . . . . . . . 161

6.6 System block architecture of the Muscle Fatigue Monitoring ASIC. . . . . . . . . . . . . . . . . 162

6.7 Die micro-photograph of the MFM ASIC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163


xvi

List of Tables

2.1 Repeatability of Initial Values of Mean Power Spectral Frequency (MNF), Average

Rectied Value (ARV), and Muscle Fibre Conduction Velocity (MFCV) during Iso-

metric Voluntary and Electrically Elicited Contractions in Dierent Muscles. Table

recreated from [8]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

2.2 Major commercial EMG acquisition systems. . . . . . . . . . . . . . . . . . . . . . . 29

2.3 Comparison amongst the presented methods for muscle fatigue tracking. . . . . . . . 31

3.1 6th order FLF bandpass elliptic lter parameters. . . . . . . . . . . . . . . . . . . . . 59

3.2 Biquad circuit parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

3.3 Comparison between circuit simulations and MATLAB


® . . . . . . . . . . . . . . . . 62

3.4 System-Level power breakdown (C35, 3.3V). . . . . . . . . . . . . . . . . . . . . . . . 63

3.5 Results comparison between proposed system and MATLAB® . . . . . . . . . . . . . . . . . . 71

3.6 Power Consumption Breakup (C35, 3.3V) . . . . . . . . . . . . . . . . . . . . . . . . 72

4.1 Factors aecting MFCV estimates. Each primary factor call inuence MFCV esti-

mates depending on sEMG generation and detection [5]. . . . . . . . . . . . . . . . . 83

4.2 Error results between xcorr() and the linear t of the added time delay between

the two sEMG recordings. Correlation windows and sEMG sampling frequency are

varied to obtain optimum working region. . . . . . . . . . . . . . . . . . . . . . . . . 85

4.3 Error results between xcorr() and the linear t of the added time delay between the

two resulting bit-streams. Correlation windows and sEMG sampling frequency are

varied to obtain optimum working region. . . . . . . . . . . . . . . . . . . . . . . . . 87

4.4 Error results between the cross-correlator proposed in [33] and the linear t of the

added time delay between the two resulting bit-streams. Correlation windows and

sEMG sampling frequency are varied to obtain optimum working region. . . . . . . . 87

4.5 MFCV ASIC parameters, size and power breakdown (C35, 3.3V). . . . . . . . . . . . 98

4.6 Subject trial results: a) subject number, b) change in MFCV from chip, c) change in

MFCV from MATLAB


® , d) MARD and e) MVC force. . . . . . . . . . . . . . . . . 104

4.7 Comparison between MFCV physiological values. . . . . . . . . . . . . . . . . . . . . 104


List of Tables xvii

4.8 Summary of MFCV tracking ASIC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

4.9 Summary of Muscle Fatigue Tracking Wearable Device. . . . . . . . . . . . . . . . . 110

5.1 Correlation coecient values between the original and smoothed iMDF and SDMF. . 121

5.2 Correlation coecient values between the original and smoothed iMDF and SDMF

with the presence of simulated motion artefacts. . . . . . . . . . . . . . . . . . . . . . 122

5.3 SDMF ASIC power breakdown (C35, 3.3V). . . . . . . . . . . . . . . . . . . . . . . . 135

5.4 Correlation coecient values between the smoothed iMDF and measured SDMF. . . 141

5.5 Summary of SDMF tracking ASIC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

6.1 Examples of dynamic contractions and the eect of the onset detector and pausing

algorithm on SDMF accuracy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

6.2 Input and output SPI control sequences. . . . . . . . . . . . . . . . . . . . . . . . . . 161


xviii

List of Abbreviations

AC: Alternating Current

ADC: Analogue to Digital converter

Ag/AgCl: Silver/Silver chloride

ANN: Articial Neural Network

AP: Action Potential

ASIC: Application Specic Integrated Circuit

ATP: Adenosine Triphosphate

BLE: Bluetooth Low Energy

BMIs: Brain Machine Interfaces

BW: Bandwidth

Ca2+ : Calcium ions

CMOS: Complementary Metal-Oxide Semiconductor

CMF: Common Mode Feedback

CMRR: Common Mode Rejection Ratio

CSV: Comma Separated Value

CWD: Choi-Williams Distribution

CWT: Continuous Wavelet Transform

DAC: Digital to Analogue Converter

DC: Direct Current

DFF: D-type Flip Flop

DFT: Discrete Fourier Transform

ECG: Electrocardiography

EEG: Electroencephalogram

EEPROM: Electrically Erasable Programmable Read-Only Memory


List of Abbreviations xix

EMG: Electromyography

EOC: End of Conversion

ESD: Electrostatic Discharge

HPF: High Pass Filter

FFT: Fast Fourier Transform

FLF: Follow the Leader Feedback

FSM: Finite State Machine

GBW: Gain Bandwidth Product

IA: Instrumentation Amplier

IC: Integrated Circuit

ICC: Intraclass Correlation Coecient

IED: Inter Electrode Distance

iEMG: integrated EMG

iMDF: instantaneous Median Frequency

iMNF: instantaneous Mean Frequency

ISI: Inter Spike Interval

JASA: Joint Analysis of myoelectric Spectrum and Amplitude

K+ : Potassium ions

LPF: Low Pass Filter

LSB: Least Signicant Bit

MARD: Mean Absolute Relative Dierence

MAV: Mean Absolute Value

ME: Myoelectric

MFCV: Muscle Fibre Conduction Velocity

MFM: Muscle Fatigue Monitoring

MMF: Multivariable Mapping Functions

MOSFET: Metal-Oxide Semiconductor Field Eect Transistor

MSP: Mean Spike Length

MU: Motor Unit

MUAP: Motor Unit Action Potential


List of Abbreviations xx

MVC: Maximum Voluntary Contraction

Na+ : Sodium ions

NMOS: n-channel Metal-Oxide semiconductor

NSEM: Normalised Standard Error of the Mean

opamp: Operational Amplier

OTA: Operational Transconductance Amplier

PCA: Principal Component Analysis

PCB: Printed Circuit Board

PGA: Programmable Gain Amplier

PLD: Pulse Length Detector

PMOS: p-channel Metal-Oxide semiconductor

POR: Power-on-Reset

PSD: Power Spectral Density

PV: Polarization Voltage

PWM: Pulse Width Modulation

RMS: Root Mean Squared

SC: Switched-Capacitor

SDMF: Spike Duration based Median Frequency approximation

sEMG: surface Electromyography

SENIAM: Surface Electromyography for Noninvasive Assessment of Muscles

SNR: Signal to Noise Ratio

SoC: System-on-Chip

SOIC: Small Outline Integrated Circuit

SPI: Serial Peripheral Interface

STFT: Short Time Fourier Transform

SW: Spike Width

T/A: Turns per unit time

TC: Transconductance

TI: Transimpedance

THD: Total Harmonic Distortion


List of Abbreviations xxi

UART: Universal Asynchronous Receiver/Transmitter

USB: Universal Serial Bus

VCM: Common Mode Voltage

VCO: Voltage Controlled Oscillator

VHDL: Very high speed integrated circuit Hardware Description Language

WVD: Winger-Ville Distribution

ZCR: Zero Crossing Rate


1

Chapter 1

Introduction

1.1 Motivation for Muscle Fatigue Monitors

E
LECTROMYOGRAPHY (EMG) is a technique used to evaluate the electrical activity of

muscles. Etienne-Jules Marey was the rst to record the electrical activity of muscles in

1890, introducing the term electromyography [1]. Muscle activity is controlled by the nervous

system and the resulting muscle contractions give rise to electrical currents which in turn form the

EMG signal. The EMG signal appears to be random, yet it is a rich source of information which

can facilitate such an insight into our muscles and especially their activation and fatigue level.

Muscles are vital for strength, balance, heat, posture and movement. Feedback driven from

the way our muscles behave and fatigue has the potential to improve the quality of life of millions

of people suering from muscular related disorders and set the foundations for ground breaking

rehabilitation technologies. To date, there are no reliable metrics of fatigue and point-of-care au-

tonomous systems are still a dream. This work addresses the challenge of reliably and eciently

estimating a muscle's fatigue state and the implementation of integrated systems to detect it.

There exist three types of fatigue; localised muscle fatigue, central fatigue (nervous system)

and peripheral fatigue. Localised muscle fatigue analysis, has numerous applications. The demand

for EMG analysis and biofeedback in rehabilitation (surgery recovery, stroke recovery, spinal cord

injuries, brain injuries) and its application in conjunction with Electroencephalogram (EEG) for

identifying neuropathies, brain disorders and assisting Brain Machine Interfaces (BMIs) is rapidly

growing in translational healthcare. EMG analysis is advantageous in these areas by using measured

results from the body to change the way we behave, improve our performance and achieve better

compliance to rehabilitation through the process of biofeedback.


1.1 Motivation for Muscle Fatigue Monitors 2

EMG analysis has been widely applied in ergonomics to isolate, understand and treat muscle

pain; fatigue analysis has been leveraged to study the eects of exposures at work. In heavy work

environments, mechanical overstress (fatigue) from prolonged low-level contractions can be harmful,

causing injuries and leading to muscular disorders [2]. Another example involves long distance truck

drivers, where excessive fatigue in the shoulder muscles can lead to driver loss of focus. In pursuit

of identifying the underlying causes of back and neck pain, a condition aecting almost 80% of

all people, EMG has been used to assess changes in muscle fatigue, recruitment and coordination

[3, 4]. EMG and fatigue analysis plays a vital role in biofeedback rehabilitation. For example,

knee rehabilitation after surgery due to osteoarthritis focuses on maintaining a balance between the

two large muscles that hold the patella (knee cap) in place, Vastus Lateralis and Vastus Medialis.

Careful tracking of muscle fatigue of these two muscles can provide essential adjustments to the

rehabilitation procedure and avoid patellar dysfunction [5]. Finally, strength training and movement

analysis in sports science have greatly beneted from EMG feedback. Monitoring muscle activity

and adjusting training regimes can maximise eectiveness and avoid injuries due to excessive fatigue.

Modern fatigue analysis tools involve collecting large amounts of EMG data, using either

wireless or wired approaches. Decoding the EMG and extracting specic information is compu-

tationally demanding and is performed in workstations, also involving advanced signal processing

techniques and dedicated personnel continuously monitoring the process. Using wires introduces

noise sources, which deteriorate the quality of the EMG signal and limit the mobility of the user

in various exercises. Moreover, these techniques limit considerably the possible applications based

on muscle fatigue, because they reduce the user's degree of freedom and the number of available

measuring sites. On the other hand, wireless approaches continuously digitise and transmit the

raw EMG signal. To increase eectiveness of biofeedback, numerous sensors are needed to monitor

several muscle groups. However, the sheer amount of transmitted data and processing requirements

form a bottleneck and challenge biofeedback feasibility.

Consider the following example of a wireless device employing Bluetooth transmission. The

theoretical limit of Bluetooth Low Energy throughput is 84 kbps. Assuming a suitable for EMG 10

bit Analogue to Digital Conversion at 1.5 kHz, the maximum number of EMG channels that can be

transmitted with one device is n=5. On the other hand, muscle fatigue evolves very slowly (sub-Hz).

As a result, transmitting fatigue relevant information only would signicantly compress the EMG

content. Therefore, increasing the number of channels while reducing power consumption can be
1.1 Motivation for Muscle Fatigue Monitors 3

Current Technologies EMG Fatigue Analysis Applications Future of Biofeedback


Wireless Wired
Biomechanics
Strength training
Orthopedic research Active therapy training
Posture analysis Surgery rehabilitation
Risk prevention
Design improvements
product certification
www.athos.com
www.delsys.com
+ Wired systems are accurate, ideal for clinical use CMOS Technology + Local detection and processing
+ Portable systems extend user mobility + Information driven system
-- Post processing of EMG + Low power and small form factor + Scalable, integrated electronics
-- Gap between recording systems and analysis tools + Embeded custom algorithms + Multimodal, real-time monitoring
-- Limmited application range + Combiled EMG detection and processing + Continious, multi-site monitoring
-- Lack of real-time feedback + Real-time biofeedback feasibility + Real-time biofeedback

Figure 1.1: CMOS technology can unlock the potential of future EMG biofeedback systems by
overcoming the limitations current technologies are facing. Integration
Limited of detection and processing
power consumption.
stages will signicantly reduce data transmission and allow
Localseveral
processingEMG channels
to reduce transmissiontopower.
be processed
Extended degree of freedom and applications.
concurrently, thus increasing the insigh and eectiveness of biofeedback in the
Information driven system. future.
Multi
node, real time monitoring.
Bortec Electronics
No need for post processing of data.
AD Instruments Delsys Non obstructive monitoring.
Cadwell Biometrics
Myotronics
achieved by introducing localised processing techniques and Real
Myon
thus
timereducing
feedback. the data rate. Eorts to There is a gap between mod
Motion Lab Systems Monnit analysis tools/algorithms an

Noraxon date back over three decades. At that time, most of the designs platforms capable of analysi
create a portable fatigue monitor
fatigue.

involved either custom analogue circuits or microcontroller based, digital implementations [612].

Due to the limited resources of the time, the designs oered limited tracking capabilities and involved

bulky equipment. Even though modern microcontrollers are becoming more power ecient and

more capable, microcontroller based systems are still limited by the number of EMG channels that

can be processed in parallel and range of processing methods that can allow ecient computation.

Whilst the current approaches oer invaluable insight, real-time biofeedback outside a controlled

environment remains a critical barrier. Consequently, there is a clear need for a portable, compact

and wearable system, capable of unsupervised EMG fatigue analysis. Fig. 1.1 depicts the limitations

of the current approaches for EMG muscle fatigue analysis along with the application areas that

will benet in the future from real-time biofeedback systems. Most of the EMG applications shown

in Fig. 1.1 are limited to clinical/laboratory environments and have not made their way in our daily

lives due to the lack of real-time biofeedback systems. However, with the use of CMOS technology,

EMG detection and processing can be eciently combined to create a miniaturised EMG system

which can be easily scaled to monitor several muscles concurrently and eciently, allowing for real-

time, continuous operation. Thus, CMOS technology can be exploited to unlock the feasibility of

biofeedback in the future.


1.2 Research Objectives 4

1.2 Research Objectives

This research is aimed in developing a muscle fatigue monitoring System-on-Chip (SoC) capable of

ecient independent processing and reduced data transmission. This aims to deliver an autonomous,

low power and portable surface EMG (sEMG) monitoring platform to increase the accessible muscle

sites and activity range, unlocking the potential of biofeedback applications.

CMOS technology facilitates the integration of amplication and processing stages to achieve

complete miniaturisation and reduced power consumption. Furthermore, it enables the develop-

ment of application-specic algorithms to increase the versatility and eciency of the system.

Subsequently, this work is targeted towards providing some insight into answering the following

questions:

1. Which sEMG attributes are most accurate and suitable for muscle fatigue monitoring?

2. What SoC topology and on-chip processing techniques are most suited to tracking muscle
fatigue metrics with low power and reduced transmission requirements?

3. What are the limiting factors for real-life unsupervised operation and how can a system in
CMOS technology adapt to these?

1.3 Thesis Organisation

This thesis is organised in a chronological order, with each chapter building upon the work presented

in preceding chapters.

Chapter 2 provides an overview of the mechanics of muscle contraction, sEMG formation and

their dening role in the interpretation and analysis of muscle fatigue. The most helpful sEMG signal

variables along with the most promising signal processing techniques for muscle fatigue tracking are

identied. Limitations of current approaches and further opportunities for research are highlighted.

Following this, in Chapter 3 the properties of the sEMG power spectrum during fatigue, the

benets of instantaneous Median Frequency (iMDF) for spectral tracking and possible circuit im-

plementations were thoroughly investigated. Moreover, two iMDF tracking systems were presented

along with their strengths and weaknesses, as one was a completely analogue implementation and

the other was based on a mixed-signal approach.

In Chapter 4, a novel method for estimating the muscle bre conduction velocity based on a

bit-stream cross-correlator is presented. This approach simplies the sEMG signal without any loss
1.3 Thesis Organisation 5

of information, thus reducing computational complexity and minimising power consumption. The

developed technology is evaluated in a clinical study and embedded in a wireless node operating

with commercially available wet electrodes.

Chapter 5 introduces a new metric similar to iMDF combining the advantages of the bit-

stream approach developed in Chapter 4. This was achieved by exploiting a link between zero

crossing and median frequency. The developed technology was tested with human subjects, demon-

strating that the validity of the proposed fatigue metric. A second wireless node making use of dry

electrodes is designed to accommodate the new microchip, further reducing the size and weight of

the previously developed node.

Chapter 6 builds upon the systems developed in Chapters 4 and 5 to deliver the rst SoC

oering complete insight into the underlying mechanisms and physiological changes during muscle

fatigue through sEMG analysis. This is achieved by exploiting both amplitude and spectrum pa-

rameters of the sEMG signal. The new platform was designed to operate under both static and

dynamic contractions to maximise impact in real-life applications.

Chapter 7 summarises the accomplished work, highlights the contributions of this PhD thesis

and nally provides future directions for this work.


REFERENCES 6

References
[1] M. Reaz, M. Hussain, and F. Mohd-Yasin, Techniques of emg signal analysis: detection,

processing, classication and applications, Biological procedures online, vol. 8, no. 1, pp. 11

35, 2006.

[2] G. Caer, D. Heinecke, and R. Hinterthan, Surface emg and load level during long-lasting

static contractions of low intensity, International Journal of Industrial Ergonomics, vol. 12,

no. 1-2, pp. 7783, 1993.

[3] S. H. Roy, C. J. De Luca, L. Snyder-Mackler, M. S. Emley, R. L. Crenshaw, and J. P. Lyons,

Fatigue, recovery, and low back pain in varsity rowers, Med Sci Sports Exerc, vol. 22, no. 4,

pp. 4639, 1990.

[4] R. Merletti and P. A. Parker, Electromyography: physiology, engineering, and non-invasive


applications, vol. 11. John Wiley & Sons, 2004.

[5] M. Cifrek, S. Tonkovi¢, and V. Medved, Measurement and analysis of surface myoelectric

signals during fatigued cyclic dynamic contractions, Measurement, vol. 27, no. 2, pp. 8592,

2000.

[6] J. Petrofsky, Filter bank analyser for automatic analysis of the emg, Medical and Biological
Engineering and Computing, vol. 18, no. 5, pp. 585590, 1980.

[7] L. D. Gilmore and C. J. De Luca, Muscle fatigue monitor (mfm): second generation, Biomed-
ical Engineering, IEEE Transactions on, no. 1, pp. 7578, 1985.

[8] F. B. Stulen and C. J. De Luca, Muscle fatigue monitor: a noninvasive device for observing

localized muscular fatigue, IEEE Transactions on Biomedical Engineering, no. 12, pp. 760

768, 1982.

[9] R. Merletti, D. Biey, M. Biey, G. Prato, and A. Orusa, On-line monitoring of the median

frequency of the surface emg power spectrum, Biomedical Engineering, IEEE Transactions
on, no. 1, pp. 17, 1985.

[10] A. Peyton, Circuit for monitoring the median frequency of the spectrum of the surface emg

signal, IEEE transactions on biomedical engineering, vol. 5, no. BME-34, pp. 391394, 1987.
REFERENCES 7

[11] J. Duchêne and F. Goubel, Acquisition and processing of surface emg signals with a low-cost

microprocessor based system, Journal of biomechanics, vol. 15, no. 10, pp. 791793, 1982.

[12] G. Inbar, O. Paiss, J. Allin, and H. Kranz, Monitoring surface emg spectral changes by the zero

crossing rate, Medical and Biological Engineering and Computing, vol. 24, no. 1, pp. 1018,

1986.
8

Chapter 2

Fundamentals of Electromyography and


Muscle Fatigue Analysis

2.1 Introduction

T
HIS chapter provides a basic introduction to the fundamentals of surface EMG (sEMG) for-

mation. Understanding the mechanisms that create the sEMG signal and how it propagates

along the surface will provide a signicant insight in muscle fatigue. Furthermore, the factors aect-

ing the EMG signal as well as its manifestations in muscle fatigue are presented. The limitations

of current approaches for muscle fatigue monitoring and analysis of existing sEMG methods for

fatigue assessment will guide the approach of this thesis.

2.2 Electromyography
2.2.1 Muscle contraction and EMG formation

The muscle consists of cells called muscle bres. Muscle bres group together into forming motor

units (MU) and many MUs make up a muscle. Every MU has a dedicated motor neuron that excites

it. Hence, all the muscle bres comprising the MU act as one unit, exing or relaxing together. A

diagram of the MU can be seen in Fig. 2.1a. An elastic tissue called epimysium acts as a connective

means covering the muscle and holding it in place upon the large forces generated under contraction.

Similarly, the endomysium surrounds and reinforces every muscle bre cell. Muscle bres contain

cylinders of muscle proteins that allow the muscle to contract, called myobrils. Specically, they

contain two key protein laments, one thin (actin) and one thick (myosin). At either end of the

repeating pattern of the laments there is a connective structure called the Z-line (or Z-disk).

Consider Fig. 2.1b for a detailed diagram of a muscle bre. Running along the Z-lines are small
2.2 Electromyography 9

(a) (b)

Figure 2.1: (a) Muscle anatomy showing motor unit, motor neuron and muscle bre. Figure from
[2], (b) Muscle cell anatomy. Figure from [3].

tubes called T-tubules which are part of the cell membrane (sarcolemma) that extends inside the

bre. Along the bre axis and in-between the T-tubules is a membrane called the sarcoplasmic

reticulum, which stores and releases the calcium ions (Ca


2+ ) that trigger the muscle contraction

[1].

When the muscle is relaxed, the two protein laments, actin and myosin, cannot bind to

each other because they are blocked by two other proteins, tropomyosin and troponin. To contract

a muscle, an electrical impulse from the motor neuron travels to the muscle and when it reaches

the neuron synapse, it releases a chemical message, which in turn causes an action potential in the

muscle cell (AP). The AP travels in the muscle cell though the sarcolema and enters the myobrils

through the T-tubules. Subsequently, the AP triggers the ion gates of the sarcoplasmic reticulum.

As a result, calcium ions are released and ow in the protein laments area (cytoplasm). Calcium

ions bind with troponin-tropomyosin molecules and this causes a shape change which moves them

away from the actin laments. Hence, myosin and actin are free to bond to each other, causing a

contraction. The myosin grabs on actin by forming cross-bridges and pulls actin past them, making

the sarcomere shorter. After the AP has passed, the calcium ion gates close and pumps remove

the Ca2+ from the cytoplasm. Thus, troponin-tropomyosin return to their normal shape and cover

the actin-myosin binding cites. As a result, the muscle relaxes. Consider Fig. 2.2a for a graphical

representation of the actin-myosin binding cycle. In order for this activity to take place, energy is

required. Muscles get energy from adenosine triphosphate (ATP). ATP is made in the mitochondria
2.2 Electromyography 10

inside the muscle bre cells. During an anaerobic respiration, glucose is broken down to lactic acid

and ATP. During an aerobic respiration, glucose, glycogen, fats and amino acids are broken down

with oxygen and ATP is produced. Muscles consist of two basic types of bres; fast twitch and slow

twitch bres. Fast-twitch bres are capable of developing greater forces, contracting faster and have

greater anaerobic capacity. In contrast, slow-twitch bres develop force slowly, they can maintain

contractions longer and they have higher aerobic capacity [1].

So far, the muscle contraction mechanisms are presented. However, for the sliding lament

model to take place, the contraction has to be triggered by a muscle AP. The muscle cell membrane

(sarcolema) is a semi-permeable membrane. When the muscle is relaxed, the membrane pumps

+
sodium ions (N a ) out of the cell and potassium ions (K
+ ) into the cell. Hence, the concentration

of sodium ions outside and potassium ions inside the muscle bre cell is increased. As ions carry a

charge, their concentration dierence generates an electric potential gradient. An ionic equilibrium

between the inner and outer places of a muscle cell forms a resting potential (-70 mV). Upon the

presence of an external chemical stimulus such as a neurotransmitter, the membrane's permeability

to sodium ions locally increases. Hence, N a+ rush into the cell, modifying the membrane potential

to an extent that an excitation threshold is crossed. Beyond that point, sodium ions increasingly

rush in and further raise the membrane potential. At this point, the membrane potential is approx-

imately +30 mV. In the meantime, the shift of the membrane voltage to zero, triggers the opening

of K+ channels, causing the release of potassium ions. The outow of positive charges counteracts

the potential increase due to the inow of sodium ions, so the membrane voltage starts to fall

toward zero. Hence, N a+ channels start to close and membrane potential drops even further, re-

turning to the resting potential. Consider Fig. 2.2b for an illustration of the muscle cell membrane

potential due to N a+ and (K


+) concentrations. Once the membrane threshold is exceeded, the

contraction/relaxations cycle of the muscle begins, based on the sliding lament model. This depo-

larization wave propagates along the surface of the muscle bre and leads to the release of calcium

ions in the intra-cellular space, which trigger a shortening of the muscle cell [1]. This excitation is

the muscle bre action potential. Since all muscle bres inside a MU contract simultaneously, each

MU gives a single AP (MUAP), formed by the superposition of all the muscle bre APs. As more

force is needed, more motor units are recruited and the ring rate is increased. The superposition

of many MUAPs form a bigger wave and as this wave travels, an increasing potential dierence is

measured between the surface electrodes.


2.2 Electromyography 11

Na+ channels K+ channels

Relative membrane permeability


close open

Membrane potential (mV)


+30

ion

Rel

Muscle tension
0

ract

axa
Na+

t
Na+

tion
Con
channels
open K+ Threshold
-55
-70
0 1 2 3 4 5
Time (ms)

(a) (b)

Figure 2.2: (a) The actin-myosin binding cycle. Figure from [3], (b) Muscle cell membrane potential
due to N a+ and (K + ) concentrations. Once the membrane threshold is exceeded, the contraction/re-
laxations cycle of the muscle begins, based on the sliding lament model.

2.2.2 EMG detection

There are two ways to detect and record EMG signals; by using invasive needle-electrodes inserted

in the muscle or non-invasive surface electrodes. With needle electrodes one can identify individual

MUAPs. Since the aim of this thesis is to deliver an easy to use, non-invasive system, only surface

electrodes will be used. The recorded sEMG signal is a superposition of all MUAPs from the

neighbouring MUs close to the skin electrode. The sEMG signal appears random in nature and has

an amplitude distribution in the range of 0-5 mV prior to amplication. Thus, a signal amplier is

required. Typically, a dierential amplier is used as a rst stage amplier [4]. As any biomedical

signal, sEMG is aected by noise. Noise accumulates from several sources; inherent noise of the

electronics used, ambient noise (large oset) and motion artefacts -arising from electrode interference

and electrode cables [4]. The bandwidth of the sEMG signal is between 10 and 500 Hz [5]. The

limited bandwidth of the signal makes it easier to remove motion artefacts, DC osets and high

frequency noise.

The properties of the sEMG signal are related to the biochemical and physiological changes

in muscles during fatiguing contractions. With increasing force levels, an increase in the sEMG

amplitude is observed. As mentioned earlier, one by-product of muscle energy production is lactic

acid. The lactate concentration depends on the type, size and number of muscle bres, force levels,

type of contraction and blood ow. Increasing lactate concentration is responsible for changes in
2.2 Electromyography 12

MOTOR UNIT ACTION POTENTIAL WAVEFORM

MUSCLE FIBRE DEPOLARIZATION ZONE


SPATIAL FILTERING
CONDUCTION VELOCITY OF MUSCLE FIBRES

DIAMETER OF INTRAMUSCULAR MEMBRANE


INTRAMUSCULAR pH
MUSCLE FIBRES TEMPERATURE PROPERTIES

H+ H+
GENERATED REMOVED
FIBRE
DEPTH

ELECTRODE FIBRE TYPE BLOOD FLOW CONSTANT-FORCE


CONFIGURATION
ISOMETRIC
SUSTAINED
FORCE LEVEL CONTRACTION

Figure 2.3: Factors aecting the shape, amplitude and frequency of the detected MUAP on the
skin surface during a sustained contraction. Figure from [6].

the intracellular pH. This in turn aects the Muscle Fibre Conduction Velocity (MFCV), the shape

of the MUAP and hence the shape and frequency of the detected sEMG signal. Moreover, the

electrode size, position and distance from the bres can aect the sEMG signal. Consider Fig. 2.3

for a breakdown of the factors that aect the sEMG signal.

The recorded sEMG signal is a spatially localised recording of the muscles electrical activity

using skin attached electrodes. Thus, sEMG amplitude, power spectrum and quality are highly

dependent upon muscle geometry, electrode position, force levels and skin-electrode contact [5, 7

10]. As a result, electrode conguration and location can aect the reproducibility of measurements

and is still a major barrier on clinical sEMG monitoring [8, 1114]. Moreover, the electrode location

with respect to the lateral edge of the muscle and detection area will determine the amount of

crosstalk from nearby muscles that may be detected by the electrode. As the muscle exes and

extends, the distance between the MUs and the skin electrode constantly changes, while the muscle

bres also change length. Since the tissue between MUs and the skin acts as a volume conductor,

the detected sEMG potential is inversely proportional to this distance (MU - skin distance). The

volume conductor between the surface electrode and the excited MU acts as a spatial low-pass lter.

Hence, MUs closer to the surface would generate a steeper response at higher frequencies than that

of MUs located deeper in the muscle.

One or more electrodes can be used to record the sEMG signal at a single muscle location. A
2.2 Electromyography 13

Figure 2.4: The eect of monopolar, bipolar (single dierential) and double dierential electrode
congurations on the recorded sEMG signal. Figure from [15].

monopolar conguration uses a single electrode and a reference signal, usually the body ground, for

detection and amplication. Although this conguration contains the entire information available

from the detected signal, it is very sensitive to common mode signals, thus it is not suitable for

real-life applications. A bipolar conguration uses two electrodes and is the most widely used con-

guration as it oers increased robustness to common mode variations [8]. Higher order electrode

structures, such as the double dierential conguration (three electrodes) or four electrode cong-

urations (normal double dierentiating conguration) can be used to limit the detection volume,

reduce crosstalk and increase spatial selectivity. Furthermore, literature has shown that a reduction

in the Interelectrode Distance (IED) can limit the detection volume of the electrodes [8].

The use of a monopolar conguration does not have any impact on the recorded sEMG fre-

quency. However, it exhibits poor selectivity and individual MUs cannot be distinguished. Consider

the last trace in Fig. 2.4. The monopolar conguration has a very large pick-up area (illustrated as

half circles). The use of two or more electrode congurations can increase the selectivity and poten-

tially allow for single MU excitations to be isolated and recorded. This is observed in the top three

traces in Fig. 2.4, where the rst two are a result of a double dierential conguration and the third

is the result of a bipolar conguration. As the number of detection cites is increased, the detection

area is decreased. The addition of more recording sites with a dierent weight factor allows for the

creation of spatial lters. Hence, the downside of high selectivity with surface electrodes is that the

spectral components of the sEMG are aected. The inner distance between the surface electrodes

acts as a spatial lter and determines the bandwidth of the dierential conguration. Moreover,

electrode location can change both the amplitude and frequency spectrum of the recorded sEMG
2.2 Electromyography 14

Figure 2.5: Spatial ltering eect of (a) monopolar , (b) bipolar and (c) double dierential electrode
congurations. (left) conguration topology, (middle) spatial lter impulse responce and (right) lter
output signal. Figure from [16].

signal. The use of more than one electrodes can provide more elaborate spatial ltering techniques,

as demonstrated by Reucher et al [15, 16]. Fig. 2.5 shows the dierent connection schemes and

their equivalent spatial transfer function H(fx ) and measured output signals. fx is the spatial

frequency depending on interelectrode distance D. Thus, the use of spatial ltering can aid sEMG

signal analysis. However, the small interelectrode distances limit the use of commercially available

electrodes and require custom-made, not easily available, sensing electrodes.

2.2.3 Standardised sEMG Detection Protocol

Before the 1990s most eorts to understand and use sEMG were taking place locally, hence dierent

research laboratories developed dierent methodologies for sEMG detection. However, the shape,

surface area and distance between the electrodes as well as the placement conguration and location

aect the sEMG signal. As mentioned above, these factors can alter the amplitude and frequency

content of the signal [5]. Hence, dierent sEMG studies could not share results or exchange data.

The Surface Electromyography for Noninvasive Assessment of Muscles (SENIAM) was a European

initiative in 1996 in an eort to standardize sEMG detection procedures. The goal was to provide

guidelines for key variables such as sensors, electrode placement, signal processing and modelling by

examining over 140 journal articles. The following paragraphs summarise the ndings and guidelines

[8]:
2.2 Electromyography 15

Muscle “belly” Muscle

Electrodes
Tendinous Insertion

Innervation Zone

Figure 2.6: Electrode positioning between myotendonous junction and innervation zone.
Muscle “belly” Muscle

Electrodes
Tendinous Insertion

Innervation Zone

Electrode Material

The most common material used is the silver/silver chloride pre-gelled electrode. They are quick

and easy to handle, hygienic, disposable and oer a very low skin-electrode impedance as well as a

exible mechanical layer (gel) that provides a good contact even with electrode or skin movement.

However, steel electrodes can also be used.

Electrode Shape and Size

The shape and size of the electrode refers to the conductive area of the electrodes that is in contact

with the skin. Circular electrodes are more common. SENIAM recommends that sEMG users

should always state the shape and size used in their study. Also, the size of the electrode in the

direction of the muscle bres should not exceed 10 mm. Smaller electrodes are preferred to avoid

excessive low-pass ltering.

Sensor Construction

This refers to the physical connection of the electrodes and cables to the amplier. Any movement

of the construction can create motion artefacts on the sEMG signal. It is recommended to have a

lightweight construction and to rmly x the location of the cables.

Location, Orientation and Interelectrode Distance

Electrodes should be placed between the myotendonous junction and the nearest innervation zone

(neuromuscular junction, located approximately at the middle of the muscle) on the most prominent

bulge of the muscle (muscle belly") and should be oriented perpendicularly to the length of the

muscle bres [5]. Consider Fig. 2.6 for a correct electrode placement.

IED is the centre-to-centre distance between two electrodes in a bipolar conguration. The

recommended IED should be no more than 20 mm. If the muscle is very small, then the IED should
2.2 Electromyography 16

Figure 2.7: Eect of interelectrode distance and electrode location on the sEMG amplitude. Figure
from [21].

be less that 1/4 of the muscle bre length and both electrodes should be on the same side of the

innervation zone.

The recorded sEMG amplitude is a function of IED and electrode placement location. When

electrodes are over the innervation zone, the signal is small and noisy, and carries little information

because of the cancellation eect due to the bidirectional propagation. It is also extremely sensitive

to small displacements between the electrodes [11, 1720]. Consider Fig. 2.7 for an illustration of

the eect of IED and electrode location on the sEMG amplitude. The traces on the top show sEMG

signals that were detected using a bipolar conguration and a linear array. The IED was set to 10

mm and the electrodes were 1 mm thick and 10 mm long. The signal traces on the bottom show

the bipolar sEMG signal obtained by changing the IED to 10, 20, 30, 40, and 50 mm.

Placement Procedure

Proper skin preparation is essential to provide a good, repeatable and reliable skin-electrode contact.

Firstly, hair should be removed from the placement site. An abrasive material should be used to
2.3 Myoelectric Manifestations of Muscle Fatigue 17

remove dead skin cells and medical alcohol can be used to clean the skin from dirt, oils and sweat.

The skin should have a light red colour, indicating a good skin impedance condition.

sEMG Amplication

Due to the limited bandwidth of the signal, band-pass ltering can reduce the eects of added

noise, DC osets and motion artefacts. The dierential electrode conguration was chosen as the

preferred topology for this work, as a balance between common mode immunity, selectivity and

spatial ltering side-eects. The front-end amplier and band-pass ltering recommendations are

listed bellow:

High-pass lter corner frequency ⇒ ≤ 10Hz

Low-pass lter corner frequency ⇒ ∼ 500Hz

Input referred noise ⇒ ≤ 1µVRM S (10-500Hz)

Input impedance (gel electrodes) ⇒ ≥ 100M Ω

Input impedance (dry electrodes) ⇒ ≥ 1000M Ω

Common Mode Rejection Ratio (CMRR) ⇒ ≥ 80dB)

Gain ⇒ Suitable for adequate Analogue to Digital Converter (ADC) resolution (≥ 40dB))

It is clear that high input impedance, high CMRR and low noise are mandatory features of a sEMG

amplier.

2.3 Myoelectric Manifestations of Muscle Fatigue

Although we experience fatigue everyday, dening or quantifying muscle fatigue is not a simple task.

Fatigue is interpreted as a feeling of weakening, muscle pain or performance decrease. However,

these feelings are not suitable for measurement or quantication. Fatigue itself is not a physical

value. Hence, quantifying muscle fatigue is proven to be dicult, as there is no universal index

for it. The inability to maintain a certain muscle force, to perform a certain task or to generate

the same level of Maximum Voluntary Contraction (MVC) force, sometimes associated with pain,

serves as the clinical denition of localised muscle fatigue [22].


2.3 Myoelectric Manifestations of Muscle Fatigue 18

As a result, muscle fatigue is dened by measuring physical variables such as force, level of

MVC, power produced upon contraction or angular velocity of a joint. Moreover, it can be dened by

myoelectric (ME) variables such as MU ring rates, conduction velocity, muscle activation, sEMG

amplitude and sEMG spectral estimates. For non-invasive, real-time and realistic applications

measurement of fatigue is based on the analysis of sEMG and not on physical variables. Fatigue

is better evaluated in time, showing progressive changes in the muscle during a contraction. Thus,

fatigue is assessed from the beginning to the end of a muscle contraction [8].

In 1912 Piper was the rst to observe a progressive slowing" of the sEMG during voluntary

static contractions [8, 23]. Due to the random nature of the sEMG, it is easier to quantify this

spectral compression in the frequency domain. In addition, Cobb and Forbes noted an increase of

the sEMG amplitude during static, sub-maximal, fatiguing contractions [8, 24]. These myoelectric

changes precede the mechanical manifestations of muscle fatigue. Although there are several my-

oelectric manifestations of muscle fatigue, there are many diculties for establishing a universal

fatigue index. Firstly, the EMG signal varies in terms of amplitude and frequency between muscles

(size and number of muscle bres) and people. Secondly, some sEMG attributes depend on the force

levels exerted by the muscles. For example, in sub-maximal eort, sEMG signal shifts to higher

amplitudes and lower frequencies as the muscle fatigues. In maximal eort, as seen in Fig. 2.8,

the EMG signal shifts to lower amplitude and again lower frequencies. Due to the force-sEMG

relationship [2528], a high sEMG amplitude is attributed to a strong contraction. Finally, fatigue

manifestations must be observable and reliable in static, as well as dynamic contractions. A static

(isometric) contraction refers to a muscle contraction where there is no movement in the angle of a

joint. In a dynamic (isotonic) contraction, the force generated by the muscle causes movement in the

joint. Static contractions are most commonly used for research purposes, because they can easily

be controlled and assessed. Dynamic contractions better represent real life scenarios and physical

activities. As with any signal, information in the sEMG signal is carried in the time domain and in

the frequency domain. Thus, sEMG signals can be processed in the time domain (amplitude and

timing characteristics) or in the time-frequency domain (frequency content of the ME signal).

It has been reported in literature that neighbouring MUs tend to synchronise and regularly

discharge at or near the time that other motor units discharge [29]. Researchers have observed an

increased tendency of MUAP synchronisation during muscle fatigue [30, 31]. However, the eect

of synchronisation cannot be solely attributed to muscle fatigue. MUAP synchronisation has also
2.3 Myoelectric Manifestations of Muscle Fatigue 19

Px

P(W/Hz)
P’x
During
fatigue

0 500
f (Hz)

Figure 2.8: The power spectral density of the sEMG signal during a static, sub-maximal, fatiguing
contraction.

been correlated with physical tremor [32, 33] or in cases where the muscle spindle feedback ( sensory

receptors in the muscle that detect length changes) is impaired, while in [34] it was observed that

synchronisation is more evident in subjects who frequently exert large brief forces. This phenomenon

has not been analysed as extensively as other MU properties. Although it has been observed to be

more evident during fatigue, it is not used as a myoelectric manifestation of muscle fatigue [29].

2.3.1 Processing in Time Domain

Over the years the sEMG amplitude has been studied for its use in the development of algorithms

for myoelectrically controlled limb prosthetics. Furthermore, sEMG amplitude has been used to

study muscle coordination and activation intervals, as well ass in gait analysis to determine muscle

activity. This thesis focuses on the sEMG amplitude properties as they can be indicators for MU

conduction velocities, MU recruitments and other mechanisms occurring during fatigue [6, 8].

2.3.1.1 Root Mean Square, Spike Counting, Zero Crossing Rate

Several amplitude properties of the sEMG signal can be used as indicators of muscle fatigue. The

number of spikes decreases with fatigue and ring rates of MUs increase. The Root Mean Square

(RMS) or the Mean Absolute Value (MAV) of the signal after band-pass ltering and rectication

can be used to track those changes in all contractions [35, 36]. Similarly, the integral of the RMS per

unit time (integrated EMG - iEMG) or a linear envelope of the rectied and low-pass ltered sEMG

(third order Butterworth, fc = 2.5 Hz) [37] can be used. Another amplitude measure that can be

included in the fatigue analysis suite is the signal's peak-to-peak value [38]. Similarly, mean spike
2.3 Myoelectric Manifestations of Muscle Fatigue 20

amplitude, mean spike duration, mean spike slope, mean number of peaks per spike and average

number of spikes per unit time also show evidence of correlation with muscle fatigue [39, 40].

The number of zero crossings (signal crossing the baseline) or Zero Crossing Rate (ZCR) is

another measure indicative of muscle fatigue [1]. The ZCR correlates with the spectral compression

of the sEMG signal, however it can be sensitive to the Signal to Noise Ratio (SNR) of the signal.

The number of turns per unit time (T/A), dened as potential reversals more than 100 µV of

the signal, can also aid in muscle fatigue tracking and has been used for distinguishing between

myopathies and neuropathies [41].

The aforementioned time domain processing techniques can be used to analyse sEMG in

both static and dynamic conditions. However, these methods of muscle fatigue tracking depend

the type and eort of the contraction; static/dynamic, maximal/sub-maximal or high/low force

contractions will yield dierent results and aect the accuracy of the estimation of muscle fatigue

[6, 36, 39, 40, 42]. Furthermore, these methods could prove ineective at low force levels. Finally,

in order to extract reliable myoelectric spike information, careful threshold selection is required.

2.3.1.2 Muscle Fibre Conduction Velocity

As the muscle fatigues lactic acid and K+ accumulate in the extracellular muscle space, impairing

the conduction of action potentials across the muscle membrane, thus slowing down MUAPs [36].

Furthermore, fast-twitch muscle bres dominate high force production but get easily fatigued and

drop-out. Following that, fatigue resistant, slow-twitch muscle bres take over. These have smaller

amplitudes since they are located deeper inside the muscle and exhibit slower conduction velocities,

associated with the observed spectral compression. MFCV is a measure of the travelling speed of

MUAPs along their propagation in muscle tissue. MUAPs originate from the innervation zone of

the muscle and will propagate to the tendon region. As the muscle fatigues, MFCV decreases while

the sEMG power spectrum compresses to the left [39].

Lindstrom et al. developed a mathematical model shown in Eq. 2.1, linking MFCV with

the observed EMG power spectrum [43]. In this equation, P (f ) is the EMG power spectrum,
 
f
v is conduction velocity and G v is the shape of the spectrum of the detected surface action

potential. However, changes in the spectral content of the sEMG signal are disproportionately

larger than decreases in MFCV. Furthermore, recoveries in frequency are more rapid than lactate

removal in the muscle. Thus, MFCV can provide a more detailed insight on muscle fatigue and
2.3 Myoelectric Manifestations of Muscle Fatigue 21

muscle recovery [44, 45]. One of the advantages of MFCV is that it is reliable under static and

dynamic contractions [44]. Nevertheless, alignment of two MUAPs is a dicult and potentially

complicated task [42, 46, 47].

 
1 f
P (f ) = 2 G (2.1)
v v

2.3.2 Processing in Time-Frequency Domain

The Power Spectral Density (PSD) function of the ME signal undergoes a progressive compression

towards lower frequencies and change in shape during fatigue [48, 49]. This change can be attributed

to dierent muscle bre properties. During a fatiguing contraction, force production arises from

fast twitch muscle bres which get easily fatigued. As time progresses, fatigue resistant, slow twitch

muscle bres take over. Action potentials from slow twitch muscle bres have smaller amplitudes

and slower conduction velocities in the muscle tissue, hence lower frequencies are observed. Thus,

many researchers in an eort to quantify muscle fatigue decided to analyse the frequency spectrum of

the EMG signal. The instantaneous Median Frequency (iMDF) and instantaneous Mean Frequency

(iMNF) can be used to track this PSD compression. The iMDF and iMNF are described by Eq. 2.2

and Eq. 2.3 respectively:

Z iM DF (t) Z ∞
S(t, f ) df = S(t, f ) df (2.2)
0 iM DF (t)

Z ∞
f S(t, f ) df
iM N F (t) = Z0 ∞ (2.3)
S(t, f ) df
0

Where S(t, f ) is the time-frequency spectrum. Fig. 2.9 shows the spectral compression and corre-

sponding iMDF the sEMG signal undergoes during fatigue as it progresses in time.

Both iMDF and iMNF can adequately track muscle fatigue under static and dynamic con-

tractions. These spectral measures are able to track changes at low force levels, but the detected

changes get smaller [6, 42], while performance degrades in random conditions [50]. The relative

changes in iMDF and iMNF in static and dynamic contractions are not the same and smaller slopes

are observed in dynamic contractions than in static [44]. The iMDF is less sensitive than iMNF

to modied force levels and amplitude changes, and more accurate in low intensity static and dy-
2.3 Myoelectric Manifestations of Muscle Fatigue 22
Power Spectral Density of EMG signal

x 10 −4 −4 −4 −5
4.5 8 x 10 8 x 10 2.5 x 10
4 T = 0s 7 T = 20s 7 T = 40s T = 80s
3.5 2
6 6
sEMG iMDF
Power (W/Hz)

3
5 5 1.5
2.5 sEMG PSD
4 4
2
3 3 1
1.5
1 2 2
0.5
0.5 1 1

0 50 100 150 200 250 300 350 400 450 0 50 100 150 200 250 300 350 400 450 0 50 100 150 200 250 300 350 400 450 0 50 100 150 200 250 300 350 400 450

Frequency (Hz) Frequency (Hz) Frequency (Hz) Frequency (Hz)

Figure 2.9: Progression of the spectral compresion of the sEMG signal during fatigue. The iMDF
is marked in red.

namic contractions. Hence, iMDF is generally preferred over iMNF [1, 4, 45, 51] and researchers

have established it as the main method of tracking muscle fatigue in conjunction with other sEMG

attributes [6, 36, 38, 40, 52, 53] instead of monitoring output force levels. Lastly, the conduction

velocity is directly related to the diameter of the muscle bres and linked to the observed spectral

compression of the sEMG during fatigue. Hence, iMDF can also reect the size of the muscle -

bres indicating growth, or loss [54] while oering a better noise immunity than MFCV in fatigue

measurements.

2.3.2.1 Short Time Fourier Transform

In order to evaluate iMDF and iMNF, it is necessary to calculate the time-frequency spectrum of the

signal. This can be achieved by applying Short Time Fourier Transform (STFT) which is currently

the most widely used method. STFT is eective under the assumption that the signal is stationary,

meaning that the statistical properties of a process do not change in time. Although the sEMG

signal is a non-stationary process, under constant contraction forces and short time windows (≤ 2s)

the sEMG signal can be considered stationary. Thus, by dividing the sEMG recording in small

segments and estimating the power spectrum for each one, it is possible to monitor the progressive

spectral changes during fatigue. However, in dynamic contractions, changes in force, muscle length

and electrode location have an eect on the sEMG signal [20, 55]. Under these conditions, sEMG

is considered to be a non-stationary signal, hence aecting the time and/or frequency resolution of

the STFT [4, 51].

2.3.2.2 Continuous Wavelet Transform

In another attempt to track spectral variation with time and nd iMDF/iMNF, Continuous Wavelet

Transform (CWT) can be used. CWT is a mathematical tool for local analysis of non-stationary
2.3 Myoelectric Manifestations of Muscle Fatigue 23

and fast transient signals [4]. CWT in sEMG signal analysis works under the assumption that the

EMG signal can be considered as the sum of scaled delayed versions of a single prototype. CWT

decomposes the signal into several multiresolution components according to a basis function called

mother wavelet. CWT is described by Eq. 2.4 where x(t) is the sEMG signal and
∗ (t)
ψa,τ is the

mother wavelet. The mother wavelet is described by Eq. 2.5, where α is the wavelet scale [4].

WT can be implemented as a series of band-pass lters with their cuto frequencies representing

the wavelet scales and the impulse of the lters matching the mother wavelet. The relationship

with frequency is shown in Eq. 2.6, where fc is the centre frequency of a wavelet in Hz, fa is

the pseudo-frequency corresponding to scale a in Hz and ∆ is the sampling period. WT oers

good time but poor frequency resolution at high frequencies, and good frequency but poor time

resolution at low frequencies [51]. Hence, CWT could replace STFT for estimating iMDF or iMNF

[6, 51, 52]. The CWT fatigue tracking accuracy can be increased by selecting a mother wavelet

that matches the shape of a typical MUAP. It has been shown that the second order derivative

of a Gaussian distribution, also known as the Mexican hat wavelet, matches closely the MUAP

shape [51]. However, the type of wavelet and scale used play an important role in sEMG analysis,

as there is no single function that is eective for all cases [56]. Finally, the accuracy of CWT in

tracking muscle fatigue compared to STFT is controversial, as some researchers have shown CWT

to be better than STFT in tracking EMG spectral changes [6], while others concluded that both

techniques provide similar information about muscle fatigue [48, 5761]. However, the use of CWT

in sEMG analysis extends beyond muscle fatigue tracking.

Z

CW Tψx (ατ ) = x(t)ψa,τ (t)δt (2.4)

 
1 t−τ
ψa,τ (t) = √ ψ (2.5)
a a

fc
fa = (2.6)
a·∆

2.3.2.3 Other Time-Frequency Methods

During dynamic contractions the sEMG signal becomes highly non-stationary and its spectral prop-

erties change making it dicult to track with classic spectral techniques. Researchers have pro-
2.3 Myoelectric Manifestations of Muscle Fatigue 24

posed dierent methods for time-frequency analysis based on Cohen's class distributions such as

the Wigner-Ville distribution (WVD) and the Choi-Williams distribution (CWD) [6, 6, 48]. These

methods overcomes some limitations of the spectrogram and provides a high resolution representa-

tion in both time and frequency for non-stationary signals. Although these methods are believed

to outperform STFT, there are still no modelling studies showing real improvements in practical

applications [8].

Since all time-frequency approaches aim to show the spectral compression of the signal dur-

ing fatigue, one could quantify fatigue as the ratio of low to high frequencies present, either by

using band-pass lters or selected wavelet scales [52, 62]. This approach can detect a change in

the frequency spectrum of the EMG signal but is not indicative of muscle fatigue, as it cannot

dierentiate fatiguing and non-fatiguing contractions. Careful calibration and selection of cuto

frequencies for every test muscle and subject is required.

2.3.3 Fatigue Index

As observed in the literature, there exist several methods for tracking muscle with varying levels

of accuracy, reliability and adaptability. However, it is very hard to nd one that is reliable under

dierent types of contractions, force levels and a broad subject sample. The myoelectric manifes-

tations of muscle fatigue depend on several factors and involve dierent physiological processes,

all progressing simultaneously. Hence, researchers have tried to combine several fatigue estimation

methods together in an eort to produce a universal and reliable fatigue index. Fig. 2.10 shows the

progression of dierent sEMG variables during a fatiguing contraction as evolved in time.

Recent fatigue monitoring algorithms include Multivariable Mapping Functions (MMF) [50,

64, 65], Principal Component Analysis (PCA) [37, 66] and Articial Neural Networks (ANN) [67].

With the aid of mapping functions, several fatigue tracking methods can be combined together,

such as ZCR, mean absolute value and spectrum estimators [50]. Using an ANN, the network can

learn to map a set of inputs to a set of outputs and it is possible to discover patterns in data which

are not easily detected by other methods.

Although these methods can potentially yield a better fatigue index, they are computation-

ally expensive and are usually congured for each user specically. However, it is clear that one

absolute" metric is not enough to robustly track muscle fatigue. Since the sEMG amplitude and

spectrum depend both on fatigue and force, there is a simplied method to easily evaluate the
2.3 Myoelectric Manifestations of Muscle Fatigue 25

Figure 2.10: The progression of dierent sEMG variables in the time and frequency domian during
a fatiguing contraction. Figure from [63].

occurrence of fatigue. Joint Analysis of myoelectric Spectrum and Amplitude (JASA) takes into

consideration changes from both signal processing domains and uses simple logic to form a fatigue

index [6, 68]. Thus, it provides a better insight for muscle fatigue/recovery and force increase/de-

crease [8, 69, 70]. At the same time, JASA is in accordance with the aim of this work to design

a compact, low power, user friendly fatigue monitor, where fatigue estimation takes place locally

on the Application Specic Integrated Circuit (ASIC) and not o-line. The case logic for JASA is

shown bellow:

1. If amplitude increases and spectrum shifts to the right ⇒ Force increase.

2. If amplitude decreases and spectrum shifts to the left ⇒ Force decrease.

3. If amplitude increases and spectrum shifts to the left ⇒ Muscle fatigue.

4. If amplitude decreases and spectrum shifts to the right ⇒ Muscle recovery.

However, the second case can also be attributed to muscle fatigue, if the muscle monitored is under

MVC. Consider Fig. 2.11 for the JASA decision case diagram.
2.4 Current Approaches for Muscle Fatigue Monitoring 26

Amplitude Increase

Muscle Force
Fatigue Increase
Spectral Shift Spectral Shift
Left Right
Force Muscle
Decrease Recovery

Amplitude Decrease

Figure 2.11: Joint Analysis of myoelectric Spectrum and Amplitude decision case algorithm.

2.3.4 Repeatability of sEMG Muscle Fatigue Estimates

The issue of repeatability is of considerable importance for the clinical and repeatable assessment

of sEMG variables. There are two issues to be considered when assessing repeatability of sEMG

measurements and variables; rstly, ensure that data from dierent laboratories and operators are

comparable and secondly, dene the minimum observable variable change that can be attributed to

physiological variations [8]. In order to address the rst issue, SENIAM was formed in an eort to

standardize sEMG detection procedures. The latter issue of repeatability can be addressed using

two complementary aspects. The rst aspects concerns the reliability and constancy within days and

the variability due to repeated trials and electrode positioning. The measure precision is described

by the Normalised Standard Error of the Mean (NSEM). The second aspect concerns the capability

of the variable to distinguish between dierenc subjects and is best described using the Intraclass

Correlation Coecient (ICC) [8]. However, when the within-subject variability is more than the

between-subject variability, then ICC becomes meaningless [8]. Several researchers have addressed

the issue of repeatability of sEMG variables. A comparison between the state of the art on the issue

of repeatability in dierent muscles is shown in Table 2.1.

2.4 Current Approaches for Muscle Fatigue Monitoring

Several researchers proposed muscle fatigue monitor designs in the past. Eorts to create a portable

fatigue monitor date back over three decades. At that time, most of the designs involved either

custom analogue circuits [7882] or a microcontroller based, digital implementation [1, 83]. Due to
2.4 Current Approaches for Muscle Fatigue Monitoring 27

Table 2.1: Repeatability of Initial Values of Mean Power Spectral Frequency (MNF),
Average Rectied Value (ARV), and Muscle Fibre Conduction Velocity (MFCV) during
Isometric Voluntary and Electrically Elicited Contractions in Dierent Muscles. Table
recreated from [8].

Muscle MNF ARV MFCV


ICC NSEM ICC NSEM ICC NSEM N Type
(%) (%) (%) (%) (%) (%)
Sternocleidomastoid 43.9 3.0 70.6 16.8 42.5 2.8 9 [71]
Anterior scalenus 74.3 7.15 25.7 13.6 28.0 2.6 9 [71]
Biceps brachii 71.8 4.4 77.7 18.3 <0 1.5 10 [72]
left/right
Longissimus 87.0  73.7    10 [73]
mean
left/right
Multidus 87.5  49.5    10 [73]
mean
left/right
Iliocostalis 88.5  71.0    10 [73]
mean
Rectus femoris 88.7  73.7    18 [74]
left/right
Vastus lateralis 81.5 6.8 80.1 16.3 61.4 7.3 9 [75]
mean
left/right
Vastus medialis 70.1 4.3 73.9 14.7 <0 5.3 9 [75]
mean
electrically
Vastus medialis 85.2 2.3 21.6 7.4 35.7 3.1 9 [76]
elicited
electrically
Tibialis anterior 86.5  78.0  11.5  10 [77]
elicited
2.4 Current Approaches for Muscle Fatigue Monitoring 28

the limited resources of the time, the designs only took into consideration one method of muscle

fatigue monitoring and involved bulky equipment and wired approaches.

As computers became more powerful, researchers started exploiting them in order to process

the recorded sEMG in real-time or after collecting a large dataset and measure muscle fatigue [84

88]. As mentioned in Section 2.3.3, with the use of computers, researchers could combine several

sEMG analysis tools and create complex fatigue indexes. Most of the literature used readily available

commercial systems for recording sEMG and a dedicated computer for analysis. Some of the major

commercial sEMG acquisition systems are shown in Table 2.2. These consist of multichannel wired

systems controlled by a computer or wireless devices that temporarily store or transmit the sEMG

to a workstation for analysis. The limitation with wired systems is the physical boundaries placed

by the electrode leads, limiting user freedom of movement and their use in real-life applications. At

the same time, such systems are easier to use and much cheaper than wireless devices.

At the same time, microcontrollers are becoming more power ecient and more capable. In

the case of specialised applications, such robotics or prosthetic limb control, some systems employ

microcontrollers in order to achieve a real-time biofeedback. However, the sEMG signal can only

convey information for the muscle being monitored. In order to track fatigue on dierent muscles,

multiple recording sites are needed. As the number of monitored muscles increases, a bottleneck is

formed by the amount of data that needs to be processed in parallel and in real-time (microcontroller

applications) or transmitted for monitoring (wireless applications). The current solutions investi-

gated in the literature involve the use of several microcontrollers or several transmitting devices to

expand to multiple potential recording sites.

In the past decade researchers have focused their eorts in integrating multiple sEMG sensors

into clothing [8996]. The intended applications for these integrated sensors vary and include, but

not limited to, stress monitoring, fatigue analysis, performance tracking, musculoskeletal disorder

monitoring, injury prevention and prosthetic limb control. By expanding the monitored areas,

the eectiveness of the biofeedback or analysis tool is increased. Integrated sensors show great

potential, however research eorts are concentrated on the integration of the sensors alone and not

the processing mechanism. Collection of the sEMG recoding is performed by external ampliers

and processed in workstations,limiting their use in real-time, unobtrusive applications.

Hence, it is sensible to design an ASIC not only capable of amplifying but also processing the

sEMG signal to measure and monitor muscle fatigue in real-time. Thus, reducing the bandwidth
2.4 Current Approaches for Muscle Fatigue Monitoring 29

Table 2.2: Major commercial EMG acquisition systems.


Wireless Systems
DelSys www.delsys.com/
Biometrics www.biometricsltd.com/
Myon www.myon.ch/
Monnit www.monnit.com/
Noraxon www.noraxon.com/
Wired Systems
Bortec Electronics www.bortec.ca/
AD Instruments www.adinstruments.com/
Cadwell www.cadwell.com/
Myotronics www.myotronics.com/
Motion Lab Systems www.motion-labs.com/

and power requirements and increasing the number of recording sites. As a result, researchers or

users can focus on the development of biofeedback applications and not on data collection.

2.4.1 Approach in this Thesis

Although sEMG analysis is a helpful and eective tool for the assessment of muscle fatigue, the signal

changes and their relationship with the physical phenomena taking place during fatigue are not yet

fully understood. Based on the literature review it is clear that both the sEMG amplitude and

spectrum oer valuable information about muscle fatigue. Furthermore, both time and frequency

methods are required, working in tandem to fully asses and reliably track muscle fatigue.

A comparison amongst the presented methods for muscle fatigue tracking is shown in Ta-

ble 2.3, along with their advantages and disadvantages from an ASIC implementation point of view

and the type of study they were used. It is concluded that iMDF is more robust than iMNF as a

fatigue index and it is recognised by many researchers as the golden standard" for muscle fatigue

monitoring. In most of the literature, a myoelectric variable was considered to be a valid fatigue

tracking method if it correlated well with the changes in iMDF in a fatiguing contraction. How-

ever, iMDF alone does not provide a complete understanding of the changes a muscle is undergoing

during a fatiguing contraction. MFCV is a powerful tool oering a better insight about fatigue and

recovery as it depends on the concentration of metabolic byproducts in the muscle. Furthermore,

sEMG MAV or RMS is a helpful tool in assessing the type and strength of the muscle contraction.

Other amplitude parameters, such as spike density, turns and ZCR can oer a look into the eects

of the force-EMG relationship to the observed changes that are interpreted as muscle fatigue.
2.4 Current Approaches for Muscle Fatigue Monitoring 30

Another key conclusion are the physical underling dierences the muscle undergoes during

static and dynamic contractions and how these are manifested as sEMG variable changes. In

more detail, intramuscular pressure during a static contraction prevents blood ow and metabolic

byproducts (lactic acid) accumulate in the muscle. The increase of lactic acid decreases the pH in

the muscle and inhibits the excitability of the muscle membrane. Similarly, high concentrations of

potassium ions during a strong, sustained, static contraction inuence the excitability of muscle bre

membrane and aect the MFCV [9799]. In dynamic contractions though, the maintained blood

ow by the stretching and shortening of the muscle facilitates a pumping action that removes the

metabolic byporducts faster. Blood ow also changes the intramuscular pH and the concentration

of K +. Thus, MFCV is enhanced by the progressive removal K+ and lactic acid. Lastly, the oxygen

supply in dynamic contractions is enhanced and the metabolic function in the muscle is improved.

However, MFCV is not the only parameter aected by the type of muscle contraction. It

is observed that in dynamic contractions, the sEMG RMS undergoes little to no change, while the

iMDF is aected signicantly more [100]. On the other hand, MFCV can decrease signicantly in

fatiguing static contractions but not in dynamic contractions [44].

Moreover, force and temperature also aect MFCV, iMDF and sEMG amplitude. At very

low contraction levels (≤ 20% MVC) when the number of action potentials is not big enough

to get sucient summation, standard methods of sEMG analysis can not be used [20]. In long-

lasting, ultra-low contraction levels (≤ 10% MVC) MFCV no longer reects the changes observed

in the power spectrum of the sEMG signal [101], as the changes in iMDF are bigger that those in

MFCV. Lastly, the rate of change of these variable, sometimes overlooked by researchers, can oer

a signicant insight in the physiological changes in the muscle.

Hence, iMDF, MFCV, and several sEMG amplitude variables such as ZCR, MAV and spike

counting are the most promising candidates for fatigue estimation in custom silicon. Moreover, the

relationship of the spectrum compression and the aforementioned sEMG amplitude variables can be

further investigated, as they oer a link between force, tupe of contraction and measured fatigue. It

is concluded that the methods of iMDF, MFCV, mean sEMG amplitude, ZCR and mean number of

spikes will take part in the eort to create a standalone Muscle Fatigue Monitoring (MFM) ASIC.
Table 2.3: Comparison amongst the presented methods for muscle fatigue tracking.

Analysis Method Type of study Disadvantages


Static Dynamic Advantages
Good correlation with fatigue. Sensitive to SNR.
Zero Crossing Rate [1, 36, Sensitive to sEMG changes. Sensitive to sEMG amplitude.
88] Hardware implementable. Threshold selection required.
Hardware implementable. Threshold selection required.
Spike and Peak Counting [39, 40,
Low power approach. Depends on force levels.
102]
Changes in slope depend
Indicative of force levels.
Mean Absolute Value (RMS) [6, 36, [44, 45, on type of contraction.
Hardware implementable.
39, 42, 100, 104, Insensitive at low force levels.
44, 49, 105]
103]
Reliable at low contraction levels.
Reliable on static
Small changes at low force levels.
Instantaneous Median Frequency [4, 6, 36, [44, 48, and dynamic contractions.
Challenging hardware implementation.
42, 44, 100, 105, Wide range of possible implementations.
106] 107, 108] Preferred over iMNF.
µV level potential reversals.
Applications extend beyond
Turns per Amplitude [41] Very sensitive to SNR.
muscle fatigue analysis.
2.4 Current Approaches for Muscle Fatigue Monitoring

Risky hardware implementation.


Better insight on muscle fatigue. More than one channels required.
Muscle Fibre Conduction Velocity [43, 44, [44, 47, Insight on muscle recovery. Susceptible to motion artefacts.
46, 101, 115] Insight on metabolic byproducts. Aected by electrode spatial selectivity.
109114]
Performs similarly to STFT.
Many scales are required.
Hardware implementable.
Wavelet Transform [52, 57, [118] Closely separated corner frequencies.
Reliable under static
116, 117] High selectivity lters required.
and dynamic contractions.
Unable to distinguish
Hardware implementable.
non-fatiguing contractions.
High over Low Frequency Ratio [52] Less lters required.
Not very sensitive.
Relaxed requirements on lter selectivity.
Depends on subject, calibration needed.
31
2.5 Summary 32

2.5 Summary

This chapter provided an overview of the mechanics of muscle contraction, sEMG formation and

their dening role in the interpretation and analysis of muscle fatigue. Although the sEMG mani-

festations of muscle fatigue are have been thoroughly explored, the physiological changes the muscle

undergoes during a fatiguing eort are highly complex and not fully understood yet. Part of the

diculty lies on the nature of sEMG, as it is the superposition of all the underlying motor units.

If detected using a monopolar conguration, due to the very small selectivity of the conguration,

it appears random. Increasing the number of electrodes increases selectivity and reduces crosstalk,

but at the same time alters the spectrum and amplitude of the recorded sEMG signal.

Interestingly, the sEMG signal carries a lot of information about the muscle. Several sEMG

attributes change progressively as the muscle fatigues. However, the sEMG amplitude and spectrum

also depend on muscle type, electrode location, electrode movement and selectivity, force levels, type

of contraction and can vary from person to person. Thus, establishing a single method or index for

fatigue tracking is impossible. Several methods need to be combined in order to achieve that.

Literature has identied the most helpful sEMG signal variables along with the most promis-

ing signal processing techniques for muscle fatigue tracking. Processing in both time domain and

frequency domain is imperative. The most important metrics are found to be the MFCV and the

iMDF of the sEMG signal. Moreover, mean amplitude, spike counting, spike length and other

sEMG amplitude statistics oer an unique look in the type of contraction, force levels and at the

same time attribute in the understating of the origins and manifestations of muscle fatigue.

Currently, a gap exists between sEMG signal processing techniques for fatigue analysis and

methods of sEMG collection and processing. Custom signal processing methods adapted for fatigue

monitoring and implemented in low power CMOS design would bridge that gap.
REFERENCES 33

References
[1] G. Inbar, O. Paiss, J. Allin, and H. Kranz, Monitoring surface emg spectral changes by

the zero crossing rate, Medical and Biological Engineering and Computing, vol. 24, no. 1,

pp. 1018, 1986.

[2] M. Z. Jamal, Signal acquisition using surface emg and circuit design considerations for robotic

prosthesis, 2012.

[3] H. Lodish, Molecular cell biology. Macmillan, 2008.

[4] M. B. Reaz, M. Hussain, and F. Mohd-Yasin, Techniques of emg signal analysis: detection,

processing, classication and applications, Biological procedures online, vol. 8, no. 1, pp. 11

35, 2006.

[5] C. J. De Luca, The use of surface electromyography in biomechanics, Journal of applied


biomechanics, vol. 13, pp. 135163, 1997.

[6] M. Cifrek, V. Medved, S. Tonkovi¢, and S. Ostoji¢, Surface emg based muscle fatigue evalu-

ation in biomechanics., Clinical biomechanics (Bristol, Avon), vol. 24, no. 4, p. 327, 2009.

[7] A. Cechetto, P. Parker, and R. Scott, The eects of four time-varying factors on the mean

frequency of a myoelectric signal, Journal of Electromyography and Kinesiology, vol. 11, no. 5,
pp. 347354, 2001.

[8] R. Merletti and P. A. Parker, Electromyography: physiology, engineering, and non-invasive


applications, vol. 11. John Wiley & Sons, 2004.

[9] D. Farina, W. Jensen, and M. Akay, Introduction to neural engineering for motor rehabilita-
tion, vol. 40. John Wiley & Sons, 2013.

[10] Y. Blanc and U. Dimanico, Electrode placement in surface electromyography (semg)minimal

crosstalk area(mca), Open Rehabil. J, vol. 3, pp. 110126, 2010.

[11] E. Zuniga, X. Truong, and D. Simons, Eects of skin electrode position on averaged elec-

tromyographic potentials., Archives of physical medicine and rehabilitation, vol. 51, no. 5,

pp. 264272, 1970.


REFERENCES 34

[12] J. H. Viitasalo and P. V. Komi, Signal characteristics of emg with special reference to re-

producibility of measurements, Acta Physiologica Scandinavica, vol. 93, no. 4, pp. 531539,

1975.

[13] A. Rainoldi, M. Nazzaro, R. Merletti, D. Farina, I. Caruso, and S. Gaudenti, Geometrical fac-

tors in surface emg of the vastus medialis and lateralis muscles, Journal of Electromyography
and Kinesiology, vol. 10, no. 5, pp. 327336, 2000.

[14] D. Farina, R. Merletti, M. Nazzaro, and I. Caruso, Eect of joint angle on emg variables in

leg and thigh muscles, IEEE engineering in medicine and biology magazine, vol. 20, no. 6,

pp. 6271, 2001.

[15] H. Reucher, G. Rau, and J. Silny, Spatial ltering of noninvasive multielectrode emg: Part i-

introduction to measuring technique and applications, Biomedical Engineering, IEEE Trans-


actions on, no. 2, pp. 98105, 1987.

[16] H. Reucher, J. Silny, and G. Rau, Spatial ltering of noninvasive multielectrode emg: Part

ii-lter performance in theory and modeling, Biomedical Engineering, IEEE Transactions on,
no. 2, pp. 106113, 1987.

[17] T. Masuda, H. Miyano, and T. Sadoyama, The position of innervation zones in the biceps

brachii investigated by surface electromyography, IEEE transactions on biomedical engineer-


ing, no. 1, pp. 3642, 1985.

[18] C. Jensen, O. Vasseljen, and R. H. Westgaard, The inuence of electrode position on bipolar

surface electromyogram recordings of the upper trapezius muscle, European journal of applied
physiology and occupational physiology, vol. 67, no. 3, pp. 266273, 1993.

[19] Z. C. Lateva, N. A. Dimitrova, and G. V. Dimitrov, Eect of recording electrode position

along a muscle bre on surface potential power spectrum, Journal of Electromyography and
Kinesiology, vol. 3, no. 4, pp. 195204, 1993.

[20] S. H. Roy, C. J. De Luca, and J. Schneider, Eects of electrode location on myoelectric

conduction velocity and median frequency estimates, Journal of Applied Physiology, vol. 61,

no. 4, pp. 15101517, 1986.

[21] R. Merletti, A. Rainoldi, and D. Farina, Surface electromyography for noninvasive character-

ization of muscle, Exercise and sport sciences reviews, vol. 29, no. 1, pp. 2025, 2001.
REFERENCES 35

[22] D. B. Chan, Localized muscle fatigue-denition and measurement, Journal of Occupational


and Environmental Medicine, vol. 15, no. 4, pp. 346354, 1973.

[23] H. Piper, Elektrophysiologie menschlicher muskeln springer verlag, 1912.

[24] S. Cobb and A. Forbes, Electromyographic studies of muscular fatigue in man, American
Journal of PhysiologyLegacy Content, vol. 65, no. 2, pp. 234251, 1923.

[25] E. Kuroda, V. Klissouras, and J. Milsum, Electrical and metabolic activities and fatigue in

human isometric contraction., Journal of applied physiology, vol. 29, no. 3, pp. 358367, 1970.

[26] B. Bigland and O. Lippold, The relation between force, velocity and integrated electrical

activity in human muscles, The Journal of physiology, vol. 123, no. 1, p. 214, 1954.

[27] R. Harding and R. Sen, Evaluation of total muscular activity by quantication of electromyo-

grams through a summing amplier, Medical and biological engineering, vol. 8, no. 4, pp. 343
356, 1970.

[28] P. Komi, Relationship between muscle tension, emg and velocity of contraction under con-

centric and eccentric work, in New Concepts of the Motor Unit, Neuromuscular Disorders,
Electromyographic Kinesiology, pp. 596606, Karger Publishers, 1973.

[29] C. J. De Luca, Physiology and mathematics of myoelectric signals, IEEE Transactions on


Biomedical Engineering, no. 6, pp. 313325, 1979.

[30] O. Lippold, J. Redfearn, and J. Vu£o, The rhythmical activity of groups of motor units in

the voluntary contraction of muscle, The Journal of physiology, vol. 137, no. 3, p. 473, 1957.

[31] O. Lippold, J. Redfearn, and J. Vu£o, The electromyography of fatigue, Ergonomics, vol. 3,

no. 2, pp. 121131, 1960.

[32] F. Buchthal and A. Madsen, Synchronous activity in normal and atrophic muscle, Electroen-
cephalography and clinical neurophysiology, vol. 2, no. 1-4, pp. 425444, 1950.

[33] V. Dietz, E. Bischofberger, C. Wita, and H.-J. Freund, Correlation between the discharges of

two simultaneously recorded motor units and physiological tremor, Electroencephalography


and clinical neurophysiology, vol. 40, no. 1, pp. 97105, 1976.
REFERENCES 36

[34] H. Milner-Brown and R. Lee, Synchronization of human motor units: possible roles of exercise

and supraspinal reexes, Electroencephalography and clinical neurophysiology, vol. 38, no. 3,

pp. 245254, 1975.

[35] F. Bai, T. M. Lubecki, C.-M. Chew, and C.-L. Teo, Novel time-frequency approach for muscle

fatigue detection based on semg, in Biomedical Circuits and Systems Conference (BioCAS),
2012 IEEE, pp. 364367, IEEE, 2012.

[36] A. Fuglsang-Frederiksen, The utility of interference pattern analysis, Muscle & nerve, vol. 23,
no. 1, pp. 1836, 2000.

[37] R. Chattopadhyay, M. Jesunathadas, B. Poston, M. Santello, J. Ye, and S. Panchanathan,

A subject-independent method for automatically grading electromyographic features dur-

ing a fatiguing contraction, Biomedical Engineering, IEEE Transactions on, vol. 59, no. 6,

pp. 17491757, 2012.

[38] M. Yochum, T. Bakir, R. Lepers, and S. Binczak, Estimation of muscular fatigue under

electromyostimulation using cwt, Biomedical Engineering, IEEE Transactions on, vol. 59,

no. 12, pp. 33723378, 2012.

[39] D. A. Gabriel, J. R. Basford, and K.-N. An, Assessing fatigue with electromyographic spike

parameters, Engineering in Medicine and Biology Magazine, IEEE, vol. 20, no. 6, pp. 9096,

2001.

[40] O. Dayan, I. Spulber, A. Eftekhar, P. Georgiou, J. Bergmann, and A. McGregor, Applying

emg spike and peak counting for a real-time muscle fatigue monitoring system, in Biomedical
Circuits and Systems Conference (BioCAS), 2012 IEEE, pp. 4144, IEEE, 2012.

[41] J. Finsterer and B. Mamoli, Turn/amplitude parameter changes during sustained eort,

Electroencephalography and Clinical Neurophysiology/Electromyography and Motor Control,


vol. 101, no. 5, pp. 438445, 1996.

[42] R. Merletti, L. Lo Conte, and C. Orizio, Indices of muscle fatigue, Journal of Electromyog-
raphy and Kinesiology, vol. 1, no. 1, pp. 2033, 1991.

[43] D. Farina and R. Merletti, Methods for estimating muscle bre conduction velocity from

surface electromyographic signals, Medical and biological Engineering and Computing, vol. 42,
no. 4, pp. 432445, 2004.
REFERENCES 37

[44] K. Masuda, T. Masuda, T. Sadoyama, M. Inaki, and S. Katsuta, Changes in surface emg

parameters during static and dynamic fatiguing contractions, Journal of Electromyography


and Kinesiology, vol. 9, no. 1, pp. 3946, 1999.

[45] J. Potvin and L. Bent, A validation of techniques using surface emg signals from dynamic

contractions to quantify muscle fatigue during repetitive tasks, Journal of Electromyography


and Kinesiology, vol. 7, no. 2, pp. 131139, 1997.

[46] D. Farina and R. Merletti, A novel approach for estimating muscle ber conduction veloc-

ity by spatial and temporal ltering of surface emg signals, Biomedical Engineering, IEEE
Transactions on, vol. 50, no. 12, pp. 13401351, 2003.

[47] D. Farina, M. Pozzo, E. Merlo, A. Bottin, and R. Merletti, Assessment of average muscle

ber conduction velocity from surface emg signals during fatiguing dynamic contractions,

Biomedical Engineering, IEEE Transactions on, vol. 51, no. 8, pp. 13831393, 2004.

[48] S. Karlsson, J. Yu, and M. Akay, Time-frequency analysis of myoelectric signals during

dynamic contractions: a comparative study, Biomedical Engineering, IEEE Transactions on,


vol. 47, no. 2, pp. 228238, 2000.

[49] H. Christensen, Muscle activity and fatigue in the shoulder muscles of assembly-plant em-

ployees, Scandinavian journal of work, environment & health, pp. 582587, 1986.

[50] D. T. MacIsaac, P. A. Parker, K. B. Englehart, and D. R. Rogers, Fatigue estimation with

a multivariable myoelectric mapping function, Biomedical Engineering, IEEE Transactions


on, vol. 53, no. 4, pp. 694700, 2006.

[51] F. Laterza and G. Olmo, Analysis of emg signals by means of the matched wavelet transform,

Electronics letters, vol. 33, no. 5, pp. 357359, 1997.

[52] P. J. Sparto, M. Parnianpour, E. A. Barria, and J. M. Jagadeesh, Wavelet and short-time

fourier transform analysis of electromyography for detection of back muscle fatigue, Rehabil-
itation Engineering, IEEE Transactions on, vol. 8, no. 3, pp. 433436, 2000.

[53] T. V. Camata, J. L. Dantas, T. Abrão, M. A. Brunetto, A. C. Moraes, and L. R. Altimari,

Fourier and wavelet spectral analysis of emg signals in supramaximal constant load dynamic

exercise, in Engineering in Medicine and Biology Society (EMBC), 2010 Annual International
Conference of the IEEE, pp. 13641367, IEEE, 2010.
REFERENCES 38

[54] C. J. De Luca, M. A. Sabbahi, and S. H. Roy, Median frequency of the myoelectric signal,

European journal of applied physiology and occupational physiology, vol. 55, no. 5, pp. 457464,
1986.

[55] L. Arendt-Nielsen, N. Gantchev, and T. Sinkjær, The inuence of muscle length on muscle

bre conduction velocity and development of muscle fatigue, Electroencephalography and


Clinical Neurophysiology/Evoked Potentials Section, vol. 85, no. 3, pp. 166172, 1992.

[56] D. K. Kumar, N. D. Pah, and A. Bradley, Wavelet analysis of surface electromyography,

Neural Systems and Rehabilitation Engineering, IEEE Transactions on, vol. 11, no. 4, pp. 400
406, 2003.

[57] J. L. Dantas, T. V. Camata, M. A. Brunetto, A. C. Moraes, T. Abrao, and L. R. Altimari,

Fourier and wavelet spectral analysis of emg signals in isometric and dynamic maximal eort

exercise, in Engineering in Medicine and Biology Society (EMBC), 2010 Annual International
Conference of the IEEE, pp. 59795982, IEEE, 2010.

[58] P. J. Sparto, M. Parnianpour, E. A. Barria, and J. M. Jagadeesh, Wavelet analysis of elec-

tromyography for back muscle fatigue detection during isokinetic constant-torque exertions,

Spine, vol. 24, no. 17, p. 1791, 1999.

[59] I. Hostens, J. Seghers, A. Spaepen, and H. Ramon, Validation of the wavelet spectral esti-

mation technique in biceps brachii and brachioradialis fatigue assessment during prolonged

low-level static and dynamic contractions, Journal of Electromyography and Kinesiology,


vol. 14, no. 2, pp. 205215, 2004.

[60] I. Kremenic, B. Glace, and M. McHugh, Fourier-vs. wavelet-based time-frequency analysis of

fatiguing quadriceps contractions, Medicine & Science in Sports & Exercise, vol. 34, no. 5,

p. S261, 2002.

[61] T. W. Beck, T. J. Housh, G. O. Johnson, J. P. Weir, J. T. Cramer, J. W. Coburn, and

M. H. Malek, Comparison of fourier and wavelet transform procedures for examining the

mechanomyographic and electromyographic frequency domain responses during fatiguing

isokinetic muscle actions of the biceps brachii, Journal of Electromyography and Kinesiol-
ogy, vol. 15, no. 2, pp. 190199, 2005.
REFERENCES 39

[62] J. H. Viitasalo and P. V. Komi, Signal characteristics of emg during fatigue, European
journal of applied physiology and occupational physiology, vol. 37, no. 2, pp. 111121, 1977.

[63] R. Merletti and L. R. L. Conte, Surface emg signal processing during isometric contractions,

Journal of Electromyography and Kinesiology, vol. 7, no. 4, pp. 241250, 1997.

[64] M. Gonzalez-Izal, A. Malanda, I. Rodríguez-Carreño, I. Navarro-Amézqueta, E. Gorostiaga,

D. Farina, D. Falla, and M. Izquierdo, Linear vs. non-linear mapping of peak power using

surface emg features during dynamic fatiguing contractions, Journal of biomechanics, vol. 43,
no. 13, pp. 25892594, 2010.

[65] D. R. Rogers and D. T. MacIsaac, Training a multivariable myoelectric mapping function to

estimate fatigue, Journal of Electromyography and Kinesiology, vol. 20, no. 5, pp. 953960,

2010.

[66] D. R. Rogers and D. T. MacIsaac, Emg-based muscle fatigue assessment during dynamic con-

tractions using principal component analysis, Journal of Electromyography and Kinesiology,


vol. 21, no. 5, pp. 811818, 2011.

[67] D. Moshou, I. Hostens, G. Papaioannou, and H. Ramon, Dynamic muscle fatigue detection

using self-organizing maps, Applied Soft Computing, vol. 5, no. 4, pp. 391398, 2005.

[68] I. Hostens and H. Ramon, Assessment of muscle fatigue in low level monotonous task per-

formance during car driving, Journal of Electromyography and Kinesiology, vol. 15, no. 3,

pp. 266274, 2005.

[69] A. Luttmann, M. Jäger, and W. Laurig, Electromyographical indication of muscular fatigue

in occupational eld studies, International journal of Industrial ergonomics, vol. 25, no. 6,

pp. 645660, 2000.

[70] A. Luttmann, M. Jäger, J. Sökeland, and W. Laurig, Joint analysis of spectrum and amplitude

(jasa) of electromyograms applied for the indication of muscular fatigue among surgeons in

urology, in Proceedings of the XI th Annual International Occupational Ergonomics and Safety


Conference, International Society for Occupational Ergonomics and Safety, Cincinnati, 1996.

[71] D. Falla, P. Dall'Alba, A. Rainoldi, R. Merletti, and G. Jull, Repeatability of surface emg

variables in the sternocleidomastoid and anterior scalene muscles, European journal of applied
physiology, vol. 87, no. 6, pp. 542549, 2002.
REFERENCES 40

[72] A. Rainoldi, G. Galardi, L. Maderna, G. Comi, L. L. Conte, and R. Merletti, Repeatability

of surface emg variables during voluntary isometric contractions of the biceps brachii muscle,

Journal of Electromyography and Kinesiology, vol. 9, no. 2, pp. 105119, 1999.

[73] J. H. van Dieën and P. Heijblom, Reproducibility of isometric trunk extension torque, trunk

extensor endurance, and related electromyographic parameters in the context of their clinical

applicability, Journal of Orthopaedic Research, vol. 14, no. 1, pp. 139143, 1996.

[74] J. Kollmitzer, G. R. Ebenbichler, and A. Kopf, Reliability of surface electromyographic mea-

surements, Clinical Neurophysiology, vol. 110, no. 4, pp. 725734, 1999.

[75] A. Rainoldi, J. Bullock-Saxton, F. Cavarretta, and N. Hogan, Repeatability of maximal

voluntary force and of surface emg variables during voluntary isometric contraction of quadri-

ceps muscles in healthy subjects, Journal of Electromyography and Kinesiology, vol. 11, no. 6,
pp. 425438, 2001.

[76] R. Merletti, A. Fiorito, L. Lo Conte, and C. Cisari, Repeatability of electrically evoked emg

signals in the human vastus medialis muscle, Muscle & nerve, vol. 21, no. 2, pp. 184193,

1998.

[77] R. Merletti, L. L. Conte, and D. Sathyan, Repeatability of electrically-evoked myoelectric

signals in the human tibialis anterior muscle, Journal of Electromyography and Kinesiology,
vol. 5, no. 2, pp. 6780, 1995.

[78] J. Petrofsky, Filter bank analyser for automatic analysis of the emg, Medical and Biological
Engineering and Computing, vol. 18, no. 5, pp. 585590, 1980.

[79] L. D. Gilmore and C. J. De Luca, Muscle fatigue monitor (mfm): second generation, Biomed-
ical Engineering, IEEE Transactions on, no. 1, pp. 7578, 1985.

[80] F. B. Stulen and C. J. De Luca, Muscle fatigue monitor: a noninvasive device for observing

localized muscular fatigue, IEEE Transactions on Biomedical Engineering, no. 12, pp. 760

768, 1982.

[81] R. Merletti, D. Biey, M. Biey, G. Prato, and A. Orusa, On-line monitoring of the median

frequency of the surface emg power spectrum, Biomedical Engineering, IEEE Transactions
on, no. 1, pp. 17, 1985.
REFERENCES 41

[82] A. Peyton, Circuit for monitoring the median frequency of the spectrum of the surface emg

signal, IEEE transactions on biomedical engineering, vol. 5, no. BME-34, pp. 391394, 1987.

[83] J. Duchêne and F. Goubel, Acquisition and processing of surface emg signals with a low-cost

microprocessor based system, Journal of biomechanics, vol. 15, no. 10, pp. 791793, 1982.

[84] S. Karlsson, B. Erlandson, and B. Gerdle, A personal computer-based system for real-time

analysis of surface emg signals during static and dynamic contractions, Journal of Elec-
tromyography and Kinesiology, vol. 4, no. 3, pp. 170180, 1994.

[85] B. Karagözoglu, W. H. Sindi, and A. A. Al-Omari, Design and development of a practical

muscle fatigue monitor, in GCC Conference & Exhibition, 2009 5th IEEE, pp. 17, IEEE,

2009.

[86] S. Gutiérrez, E. Cardiel, and P. Hernández, A muscle fatigue monitor based on the surface

electromyography signals and frequency analysis, in 2016 Global Medical Engineering Physics
Exchanges/Pan American Health Care Exchanges (GMEPE/PAHCE), pp. 16, IEEE, 2016.

[87] M. M. Jankovi¢ and D. B. Popovi¢, An emg system for studying motor control strategies

and fatigue, in Neural Network Applications in Electrical Engineering (NEUREL), 2010 10th
Symposium on, pp. 1518, IEEE, 2010.

[88] G. Hägg, Electromyographic fatigue analysis based on the number of zero crossings, Pügers
Archiv European Journal of Physiology, vol. 391, no. 1, pp. 7880, 1981.

[89] R. Manero, J. Grewal, B. Michael, A. Shafti, K. Althoefer, J. L. Fernandez, M. Howard,

et al., Wearable embroidered muscle activity sensing device for the human upper leg, arXiv
preprint arXiv:1602.04841, 2016.

[90] N. Lintu, J. Holopainen, and O. Hänninen, Usability of textile-integrated electrodes for emg

measurements, University of Kuopio, Department of Physiology, Laboratory of Clothing Phys-


iology, Kuopio, Finland, 2005.

[91] T. Linz, L. Gourmelon, and G. Langereis, Contactless emg sensors embroidered onto textile,

in 4th International Workshop on Wearable and Implantable Body Sensor Networks (BSN
2007), pp. 2934, Springer, 2007.
REFERENCES 42

[92] Y.-C. Ma, Y.-P. Chao, and T.-Y. Tsai, Smart-clothesprototyping of a health monitoring

platform, in 2013 IEEE Third International Conference on Consumer Electronics¾¾ Berlin


(ICCE-Berlin), pp. 6063, IEEE, 2013.

[93] T. Finni, M. Hu, P. Kettunen, T. Vilavuo, and S. Cheng, Measurement of emg activity with

textile electrodes embedded into clothing, Physiological measurement, vol. 28, no. 11, p. 1405,
2007.

[94] J. Taelman, T. Adriaensen, C. van der Horst, T. Linz, and A. Spaepen, Textile integrated

contactless emg sensing for stress analysis, in 2007 29th Annual International Conference of
the IEEE Engineering in Medicine and Biology Society, pp. 39663969, IEEE, 2007.

[95] D. Farina, T. Lorrain, F. Negro, and N. Jiang, High-density emg e-textile systems for the con-

trol of active prostheses, in 2010 Annual International Conference of the IEEE Engineering
in Medicine and Biology, pp. 35913593, IEEE, 2010.

[96] G. Li, Y. Geng, D. Tao, and P. Zhou, Performance of electromyography recorded using

textile electrodes in classifying arm movements, in 2011 Annual International Conference of


the IEEE Engineering in Medicine and Biology Society, pp. 42434246, IEEE, 2011.

[97] J. T. Mortimer, R. Magnusson, and I. Petersen, Conduction velocity in ischemic muscle: eect

on emg frequency spectrum, American Journal of PhysiologyLegacy Content, vol. 219, no. 5,
pp. 13241329, 1970.

[98] L. Arendt-Nielsen, K. R. Mills, and A. Forster, Changes in muscle ber conduction velocity,

mean power frequency, and mean emg voltage during prolonged submaximal contractions,

Muscle & nerve, vol. 12, no. 6, pp. 493497, 1989.

[99] N. Radicheva, L. Gerilovsky, and A. Gydikov, Changes in the muscle bre extracellular

action potentials in long-lasting (fatiguing) activity, European journal of applied physiology


and occupational physiology, vol. 55, no. 5, pp. 545552, 1986.

[100] V. Singh, D. Kumar, D. Djuwari, B. Polus, S. Fraser, J. Hawley, and S. Giudice, Strategies

to identify muscle fatigue from semg during cycling, in Intelligent Sensors, Sensor Networks
and Information Processing Conference, 2004. Proceedings of the 2004, pp. 547551, IEEE,

2004.
REFERENCES 43

[101] G. Caer, D. Heinecke, and R. Hinterthan, Surface emg and load level during long-lasting

static contractions of low intensity, International Journal of Industrial Ergonomics, vol. 12,

no. 1-2, pp. 7783, 1993.

[102] B. Bigland-Ritchie, R. Johansson, O. C. Lippold, S. Smith, and J. J. Woods, Changes in

motoneurone ring rates during sustained maximal voluntary contractions., The Journal of
Physiology, vol. 340, no. 1, pp. 335346, 1983.

[103] M. HAOBERG and B. Jonsson, The amplitude distribution of the myoelectric signal in an

ergonomic study of the deltoid muscle, Ergonomics, vol. 18, no. 3, pp. 311319, 1975.

[104] A. Holtermann and K. Roeleveld, Emg amplitude distribution changes over the upper trapez-

ius muscle are similar in sustained and ramp contractions, Acta physiologica, vol. 186, no. 2,

pp. 159168, 2006.

[105] M. Gonzalez-Izal, A. Malanda, I. Navarro-Amezqueta, E. Gorostiaga, F. Mallor, J. Ibanez, and

M. Izquierdo, Emg spectral indices and muscle power fatigue during dynamic contractions,

Journal of Electromyography and Kinesiology, vol. 20, no. 2, pp. 233240, 2010.

[106] R. Merletti, M. A. Sabbahi, and C. J. De Luca, Median frequency of the myoelectric signal,

European journal of applied physiology and occupational physiology, vol. 52, no. 3, pp. 258265,
1984.

[107] J. B. Dingwell, J. E. Joubert, F. Diefenthaeler, J. D. Trinity, et al., Changes in muscle activity


and kinematics of highly trained cyclists during fatigue, IEEE Transactions on Biomedical
Engineering, vol. 55, no. 11, pp. 26662674, 2008.

[108] M. Cifrek, S. Tonkovi¢, and V. Medved, Measurement and analysis of surface myoelectric

signals during fatigued cyclic dynamic contractions, Measurement, vol. 27, no. 2, pp. 8592,

2000.

[109] D. Farina, L. Arendt-Nielsen, R. Merletti, and T. Graven-Nielsen, Assessment of single motor

unit conduction velocity during sustained contractions of the tibialis anterior muscle with

advanced spike triggered averaging, Journal of neuroscience methods, vol. 115, no. 1, pp. 1

12, 2002.

[110] M. Zwarts, T. Van Weerden, and H. Haenen, Relationship between average muscle bre

conduction velocity and emg power spectra during isometric contraction, recovery and applied
REFERENCES 44

ischemia, European journal of applied physiology and occupational physiology, vol. 56, no. 2,

pp. 212216, 1987.

[111] M. M. Lowery, C. L. Vaughan, P. J. Nolan, and M. J. O'Malley, Spectral compression of the

electromyographic signal due to decreasing muscle ber conduction velocity, Rehabilitation


Engineering, IEEE Transactions on, vol. 8, no. 3, pp. 353361, 2000.

[112] D. Farina, E. Fortunato, and R. Merletti, Noninvasive estimation of motor unit conduction

velocity distribution using linear electrode arrays, IEEE Transactions on Biomedical Engi-
neering, vol. 47, no. 3, pp. 380388, 2000.

[113] S. W. Davies and P. A. Parker, Estimation of myoelectrc conduction yelocity distribution,

IEEE Transactions on Biomedical Engineering, vol. 5, no. BME-34, pp. 365374, 1987.

[114] B. Bigland-Ritchie, E. Donovan, and C. Roussos, Conduction velocity and emg power spec-

trum changes in fatigue of sustained maximal eorts, Journal of Applied Physiology, vol. 51,

no. 5, pp. 13001305, 1981.

[115] D. Farina and D. Falla, Estimation of muscle ber conduction velocity from two-dimensional

surface emg recordings in dynamic tasks, Biomedical Signal Processing and Control, vol. 3,

no. 2, pp. 138144, 2008.

[116] X. Zhang, Y. Wang, and R. P. Han, Wavelet transform theory and its application in emg signal

processing, in Fuzzy Systems and Knowledge Discovery (FSKD), 2010 Seventh International
Conference on, vol. 5, pp. 22342238, IEEE, 2010.

[117] M. Pope, A. Aleksiev, N. Panagiotacopulos, J. Lee, D. Wilder, K. Friesen, W. Stielau, and

V. Goel, Evaluation of low back muscle surface emg signals using wavelets, Clinical Biome-
chanics, vol. 15, no. 8, pp. 567573, 2000.

[118] M. González-Izal, I. Rodríguez-Carreño, F. Mallor-Giménez, A. Malanda, and M. Izquierdo,

New wavelet indices to assess muscle fatigue during dynamic contractions, World Academy
of Science Engineering and Technology, vol. 55, p. 480, 2009.
45

Chapter 3

An ASIC for sEMG Spectrum


Monitoring using a Median Frequency
Estimator

3.1 Introduction

T
HE Power Spectral Density (PSD) of the EMG signal undergoes a progressive compression

towards lower frequencies and change of shape during fatigue [1]. As presented in Chap-

ter 2, the instantaneous median frequency (iMDF) is an ideal observation tool to track the PSD

compression the surface EMG (sEMG) signal undergoes during fatigue. In sub-maximal eorts

the sEMG signal shifts to higher amplitudes and lower frequencies as the muscle fatigues while in

maximal eorts, as seen in Fig. 3.1a, the sEMG signal shifts to lower amplitude and again lower

frequencies. Fig. 3.1b shows the iMDF decreasing during a voluntary static fatiguing contraction

and was calculated using the MATLAB


® medf req() function.

This chapter presents two real-time systems in CMOS for tracking the iMDF from surface

EMG signals. The rst implementation has been designed in full custom analogue to achieve very

low power consumption, while minimizing computational complexity. The second implementation

explored a mixed signal approach in order to increase accuracy and power eciency.

3.2 Methods for real-time iMDF tracking systems

The iMDF can be described as the frequency at which the PSD of the signal is divided into two

equal parts in a specied time window. iMDF is described by Eq. 3.1:


3.2 Methods for real-time iMDF tracking systems 46

sEMG Amplitude (V)


0.5

-0.5
Px
P(W/Hz)

-1
0 20 40 60 80 100 120 140 160
P’x 100

During

iMDF (Hz)
fatigue 50

0
2 2.25 0 500 0 20 40 60 80 100 120 140 160
f (Hz) Time (s)
(a) (b)

Figure 3.1: (a) Power Spectral Density function of EMG signal during fatigue, (b) top: sEMG
signal from static fatiguing cobntraction, bottom: iMDF of the recorded sEMG signal.

Z iM DF (t) Z ∞
S(t, f ) df = S(t, f ) df (3.1)
0 iM DF (t)

where S(t, f ) is the time-frequency spectrum. The most common and less complicated method to

estimate the iMDF of an sEMG recording is processing it using a computer or a microcontroller.

The signal is sampled, stored and split into processing windows (epochs). The PSD is calculated

using Discrete Fourier Transform (DFT) of every epoch. Finally, integration of the PSD will result

in an iMDF measurement. As the aim of this work is to design a miniaturised muscle fatigue

monitor, dierent analogue and mixed signal approaches for spectrum estimation and subsequently

iMDF tracking are explored.

Continuous, real-time iMDF tracking can be achieved using either closed-loop or open-loop

systems. An open loop approach would require the use of several high Q-factor lters, evenly

separated across the sEMG spectrum. By isolating a specied frequency band with each lter,

they can provide a crude approximation of the spectral density in each band. The power output of

each lter can subsequently be processed, integrated and averaged in order to estimate the iMDF,

similar to [2]. Although open-loop approaches oer fast, stable tracking, accuracy depends on the

number of lters used to split the sEMG spectrum into processing windows. More importantly,

spectral overlap of the lters and subsequent need for calibration of each corner frequency reduce

the eective accuracy and tracking properties of the system.

Closed-loop approaches for iMDF tracking involve partial power estimation of the sEMG
3.2 Methods for real-time iMDF tracking systems 47

P(W/Hz)
Power of original sEMG signal

Power of low-pass filtered signal


PEMG Power of high-pass filtered signal
Plow = Phigh
iMDF
0 500
f (Hz)

Figure 3.2: Partitioning the sEMG spectrum with the use of two tunable lters (high-pass and
low-pass) with the same corner frequency.

spectrum and continuous integration for tracking. Systems proposed in [24] use tunable lters to

selectively estimate the power of a portion of the sEMG signal. The estimated power is equal to half

the total power at a frequency equal to iMDF. Partitioning the sEMG spectrum can be accomplished

by suing one tunable lter (high-pass or low-pass) or two tunable lters (high-pass and low-pass)

with the same corner frequency. Consider Fig. 3.2 for a graphical representation of the method

described. Algorithms reported in literature follow the a system architecture similar to the one

reported in [3] and shown in Fig. 3.3, with small variations. Nevertheless, high order tunable lters

are hard to design and accurately control. Thus, past implementations have reduced the lter order

with an impact of tracking accuracy due to spectral overlap" or spectral leakage" of the lters.

Use of smaller order lters would result in small dierences between the two feedback branches, thus

increasing the uncertainty due to noise and mismatch of the subtracter and integrator. The solution

involves a dierent approach where a high order brick wall" lter with a xed corner frequency

is used. In order to partition the sEMG spectrum, the baseband signal is up-modulated using a

tunable oscillator. This approach would result to a more accurate control and selective spectrum

integration. This approach was proposed by Merletti et al[5] and was implemented in a digital

INTEL 2920 microcontroller. This system architecture can be adapted for low power Application

Specic Integrated Circuit (ASIC) implementation and integration with other sEMG processing

tools to form a standalone muscle fatigue monitor.


3.3 Proposed IC iMDF Tracking System 48

Control Voltage Output


(proportinal to iMDF)

V+ Voltage
A Automatic Integrator
Controlled
V- Gain Control
Filter

High Low
Pass Pass

2
X

2
X

Figure 3.3: System architecture for the estimation of iMDF, utilising tunable lters and a feedback
controller. Recreated from [3].

Band Pass Filter Original sEMG spectrum

Modulated sEMG spectrum


fc (low)-fVCO Power of filtered signal
=
P(W/Hz)

iMDF

Px 1 Px P= 18 Px
4
500 fVCO fc (centre) 3 fVCO
f (Hz)

Figure 3.4: iMDF estimation algorithm: The power of the up-modulated sEMG signal is partitioned
using a high order bandpass lter. The dierence in power of the original singal and the up-modulated
one is integrated and used to control the VCO frequency.

3.3 Proposed IC iMDF Tracking System

The iMDF is computed by progressively up-modulating the EMG signal to higher frequencies track-

ing its PSD [5]. Consider Fig. 3.4 for a graphical representation of the algorithm described. The

up-modulation is accomplished using a Voltage Controlled Oscillator (VCO) and a xed frequency

lter, therefore monitoring the frequency content of the signal. The instantaneous power of the sig-

nal is integrated in order to estimate the iMDF. The PSD of the up-modulated signal folds around

the VCO's carrier frequency fV CO . The resulting PSD is given by Eq. 3.2:

1 1
Px = Sx (f − fV CO ) + Sx (f + fV CO ) (3.2)
4 4

where Sx (f ) is the original power spectrum of the signal and Px (f ) is the power spectrum of the
3.3 Proposed IC iMDF Tracking System 49

Anti 1/8
Aliassing Filter Squarer Vout

Differential
SC Subtracting
Amplifier VCO G2
Integrator Amplifier
Analog Front End

Band-Pass G1
Chopper Elliptic Filter Squarer

iMDF Tracker

Figure 3.5: System-level block diagram of the chosen iMDF tracking implementation.

modulated input signal. The lower side-band is not required, hence not included in any subsequent

calculations. The cuto of the high-pass lter will be at a frequency, fc , higher that that of

1
fV CO . iMDF is found as the dierence between fc and fV CO when Px = 8 Sx (f + fV CO ). The

power (i.e. square) of the ltered signal is then subtracted from one eighth of the input signal's

power. The dierence in power is integrated and used to adjust the frequency of the VCO. When

1
2 Sx (f + fV CO ) = 81 Sx , the power dierence will be zero, hence fc − fV CO will be the iMDF of the

input signal. In order to eliminate any harmonic distortion due to nonidealities of the sine wave

VCO, a square wave is used to up-modulate the sEMG signal and a bandpass lter to lter out the

odd harmonics.

Consider Fig. 3.5 for a system block diagram representational of the iMDF tracker. The

input signal is amplied and ltered to the EMG signal bandwidth (10-500 Hz). The modulation

frequency fV CO must be higher than the bandwidth of the signal (i.e. fV CO > BWEM G ) to avoid

folding of the lower side-band and aecting the power estimation.

The principle behind this system relies on subtracting the ltered and modulated sEMG

signal power from the original sEMG signal power. The higher lter order and faster rollo used,

the bigger the dierence between the two signal paths will be. Thus, the lter rollo has an impact

on the tracking accuracy of the system. An elliptic lter has a much higher rollo than any other

lter, hence it is the best choice for this design. The rollo of an elliptic lter depends on its order

and its cut-o frequency. For a band-pass elliptic lter as the cut-o moves to higher frequencies,

in order to keep the same rollo, the number of orders must be increased. MATLAB
® simulations

showed that the best performance of a high-pass elliptic lter is between 1000 and 2000 Hz, while

fV CO > BWEM G . With a cut-o frequency in that region, the lter's stop-band frequency fs

(where attenuation is -40 dB) would be less than 250 Hz bellow the cut-o. Assuming a maximum
3.3 Proposed IC iMDF Tracking System 50

B
1/8
Product1 Gain2
butter E F
A -K- 1
data s
From Gain1 Gain4 Ideal Integrator
Workspace ellip D
C -G-

Product Product2 Gain3

Continuous-Time
butter VCO
G
simout
To Workspace 1450
Constant

Figure 3.6: Simulink model for tracking iMDF. The signal passes through an antialliasing lter and
then proccesed by the algorithm described above. The output of the system is the dierence between
fc and fV CO . The output is low-pass ltered for a smoother response.

iMDF frequency of 150 Hz, and a lter cut-o frequency of 1450 Hz, then the starting frequency of

the VCO will be 1300 Hz. At this frequencies, assuming a maximum iMDF frequency of 150 Hz,

fV CO is still higher than the sEMG bandwidth.

The system was rst designed and validated in SIMULINK


® using retrospective data col-

lected at Charing Cross hospital.


1 Data from back muscles (LES T12, RES T12, LES L4 and RES

L4) were collected while the muscles were fatiguing, starting from a non-fatigued state, up until

exhaustion. A complete model of the system is presented in Fig. 3.6. The input signal is fed through

an anti-aliasing lter. Then, it is processed by the iMDF tracker algorithm described above. In this

model, an ideal sine wave VCO and high-pass elliptic lter were used to minimise complexity. The

output is given by fc − fV CO . However, it is low-pass ltered by a sub-hertz lter, since iMDF can

vary signicantly and change abruptly in time.

Simulations using this model revealed that a 6th order elliptic lter is sucient for accu-

rate iMDF tracking. Although increasing the lter order yields better tracking accuracy, there is a

tradeo between lter order and circuit power consumption, silicon area and implementation com-

plexity. However, careful analysis showed that the leakage" form the lter had a minor eect on

the output iMDF. It resulted in a noticeable shift the iMDF up by a xed oset of 55 Hz, which

could easily be accounted for and removed. Gain term G (Gain4) is used to counter-balance any

leakage eects when the hardware implementation is designed. The following parameter values were

used in subsequent simulations: K = 250, G = 1, Filter order = 6, fc = 1450 Hz, fvcostart = 1300

Hz, VCO sensitivity = 100 Hz


V .

1 The author would like to acknowledge Paul Strutton for collecting and providing the mentioned datasets.
3.3 Proposed IC iMDF Tracking System 51

3.3.0.1 iMDF Model Analysis

As in any feedback system, it is helpful to know the system's time constant. The following analysis

makes the assumption that the lter used is ideal, in order to simplify the calculations. The analysis

was based on the work of Stulen et al in [4]. Consider the following denitions:

1. fhi ⇒ Bandwidth of input sEMG. iMDF and integrator output ( note: 1/sen-

sitivity).
2. fh ⇒ fhi + fV CO .

7. K ⇒ Integrator gain.
3. A⇒ Arbitrary signal level (V /Hz ).

4. fmedi ⇒ iMDF.
8. G⇒ Feedback gain.

5. fmed ⇒ fmedi + fV CO . 9. τi ⇒ Integrator time constant.

6. Kf ⇒ Proportionality constant between 10. fV COi ⇒ Fundamental VCO frequency.

As explained in the section above, The dierence between two power measures is integrated and

used to control a VCO. The input signal power (+) is shown bellow:

fhi A2
= Px . (3.3)
8

The ltered, up-modulated signal power (-) is as follows:

A2 (fh − fmed ) = GA2 (fhi + fV CO − (fmedi + fV CO )).


(3.4)
GA2 (fhi − fmedi ) = Py .

The integrator output is also the output of the system Vout and is given by:

Z
1
Vout = K(Px − Py )dt.
τi
(3.5)
dVout
τi = K(Px − Py ).
dt

VCO frequency is related to Vout by:


3.3 Proposed IC iMDF Tracking System 52

 
Vout
fV CO = + fV COi .
Kf
(3.6)

Vout = Kf (fV CO − fV COi ).

Substituting Eq. 3.3, 3.4 and 3.6 in Eq. 3.5:

 
d Kf (fV CO − fV COi )  
fhi
τi = KA2 − G(fhi − fmedi ) . (3.7)
dt 8

Given that fc − fmed = fV CO , Eq. 3.7 becomes:

 
dfmedi 1
= KA2 Gfmedi +

−Kf τi − G fhi .
dt 8
(3.8)
1 dfmedi
KGA2 fmedi = KA2 (G − )fhi − Kf τi .
8 dt

Applying Laplace Transform to Eq. 3.8:

1
KGA2 Fmedi (s) = KA2 G − Fhi (s) − Kf τi sFmedi (s) + Kf τi fmed0 .
8
KA2 (G − 18 )Fhi (s) + Kf τi fmed0
Fmedi (s) = .
KGA2 + Kf τi s
(3.9)
 1 Kf τi
1− Fhi (s) + fmed0
8G KGA2
Fmedi (s) = .
Kf τi
1+ s
KGA2

Where fmed0 is the starting iMDF of the system. It is observed that it behaves like a rst order

system. Finally, the systems time constant τsys is given by:

Kf τi
τsys = . (3.10)
KGA2

1
The feedback gain term G is much smaller than integrator gain K and VCO sensitivity
Kf . Thus,

from Eq. 3.10 it is observed that decreasing integrator gain and/or VCO sensitivity will increase

the time constant of the system. This will result in a slower" tracking of iMDF. Thus, the output

will appear smoother. However, the system will require more time to reach the present iMDF value
3.4 iMDF Tracker Circuit Implementation 53

of the signal. Furthermore, increasing feedback gain will shift the iMDF output signal to higher

frequencies. The system's time constant will decrease, resulting in larger iMDF variations.

3.3.0.2 iMDF Model Simulations

The retrospective data used to test the system were collected from back muscles LES T12, RES

T12, LES L4 and RES L4. The muscle were initially un-fatigued and then slowly fatigued until

exhaustion. Fig. 3.7 shows a 250 ms sEMG waveform and the corresponding signal transformations

as it goes through nodes B-F of the system model in Fig. 3.6. Fig. 3.8 shows the simulated iMDF for

these muscles. The simulation time is 151 seconds and during that time, as the muscle fatigues, the

iMDF decreases. The blue line is the estimated iMDF by the presented system. The red line is an

estimation of iMDF using Short Time Fourier Transform (STFT) in MATLAB


® . Small dierences

between the two lines can be observed in some cases. However, these dierences are 5 Hz or less.

Assuming a maximum iMDF that the system can estimate of 150 Hz, then the error would be less

than 3.5%. Moreover, red line iMDF was estimated in 10% time intervals. Due to the noisy nature

of the signal (instantaneous changes are expected), the red line is less of an absolute measurement

and more of a guide. In Fig. 3.8, iMDF under fatigue of muscle LES T12 is shown in (a), RES

T12 in (b), LES L4 in (c) and RES L4 in (d). Sub-plots (e) and (f ) show the iMDF of the muscles

LRA and RRA respectively. These muscles were either not engaged or did-not fatigue during the

exercise. Hence, iMDF changes are very small. As previously mentioned, the presented estimations

of iMDF had an average oset of 55 Hz which was removed.

3.4 iMDF Tracker Circuit Implementation

The proposed iMDF tracker was implemented in a commercially available 0.35µm CMOS technology

provided by AMS (C35B4).

VCO

The topology used is a gm − C variable oscillator. The circuit requires three Operational Transcon-

ductance Ampliers (OTAs) and two capacitors. The circuit schematic is shown in Fig. 3.9. The

condition for oscillation is the following:

gm3 − gm2 = 0, C1 = C2 = C. (3.11)


3.4 iMDF Tracker Circuit Implementation 54

a) b) c)
0.4 0.014 0.4

0.3
A B 0.3
C
0.012
0.2 0.2
0.01
Amplitude (V)

0.1 0.1
0.008
0 0
0.006
-0.1 -0.1

-0.2 0.004
-0.2

-0.3 0.002 -0.3

-0.4 0 -0.4
0 250 0 250 0 250
Time (ms) Time (ms) Time (ms)
d) e) f)
0.014 0.015 0.03
D E F
0.012 0.01 0.025

0.01 0.02
0.005
Amplitude (V)

0.008 0.015
0
0.006 0.01
-0.005
0.004 0.005

0.002 -0.01 0

0 -0.015 -0.005
0 250 0 250 0 250
Time (ms) Time (ms) Time (ms)

Figure 3.7: A 250 ms sEMG waveform is sown in a) and the corresponding signal transformations
as it goes through nodes B-F in the system model Fig. 3.6 (b-f respectively).

a) 110 b) 110 c) 110


100 100 100

90 90
90
iMDF (Hz)

80 80
80
70 70
70
60 60

50 60 50

40 50 40
0 0.5 1 1.5 2 2.5 3 0 0.5 1 1.5 2 2.5 3 0 0.5 1 1.5 2 2.5 3

Time (Msamples) Time (Msamples) Time (Msamples)


d) 110
e) 104 f ) 103
100 102 102

90 100
150.5 101
80 98
iMDF (Hz)

150
100
70 96
149.5
99
60 94
149
98
50 92
148.5

40 90 97
148

30 88 96
0 0.5 1 1.5 2 2.5 3 0 0.5 1 1.5 2147.5 2.5 3 0 0.5 1 1.5 2 2.5 3
Time (Msamples) Time (Msamples)
147 Time (Msamples)
0 500 1000 1500 2000 2500 3000

Figure 3.8: IMDF tracking during fatiguing contraction from muscles a) LES T12, b) RES T12, c)
LES L4, d) RES L4, e) L RA and f) R RA. Blue line is iMDF using system described. Red line serves
as a guide.
3.4 iMDF Tracker Circuit Implementation 55

gm1
D Q
gm2 Vout
gm3 C QN

Biasing

Figure 3.9: Circuit schematic of the gm-C VCO.

The frequency of oscillation fo is given by:

1 √
fo = gm1 gm3 . (3.12)
2πC

By choosing all the transconductances to be the same, then the oscillation frequency simplies to:

gm
fo = . (3.13)
2πC
IB
Furthermore, by biasing the OTAs to operate in the weak inversion region, gm = 2VT . Thus, the

relationship between fo and biasing current IB becomes:

IB
fo = . (3.14)
4πCVT

There are several advantages for implementing the described topology. This architecture

oers a highly linear, externally tunable oscillator. The relationship between the bias current and

the oscillation frequency is shown in Fig. 3.10. Moreover, the topology oers a wide dynamic range.

Finally, the component count is kept to a minimum. The biasing current IB ranges from 140 to 180

nA. The output signal is buered and then the frequency of oscillation is divided by two. Thus,

components size are kept to a minimum. Buering is important such as the capacitive load on the

oscillator does not aect one of the conditions for oscillation, where C1 = C2 = 10 pF.

Multiplier

The multiplier used as a squarer is shown in Fig. 3.11. The circuit was adapted from [6]. It is a

current mode, four quadrant multiplier. The multiplier uses MOS translinear loops (M1 − M12 )

to achieve multiplication. The biasing current (Ibias) is 250 nA. Simple OTAs with a linear input
3.4 iMDF Tracker Circuit Implementation 56

2600

2400

VCO output frequency (Hz)


2200

2000

1800

1600

1400

1200

1000

100 120 140 160 180 200 220 240 260 280 300
Biasing current (nA)

Figure 3.10: Frequency responce of the gm-C VCO with a bias current in the range of 100-300 nA.

Iout+ Iout-

Ibias Iy+ Ix+ Ix- Ibias Iy- Ix+ Ix-

M1 M2 M3 M4 M5 M6 M7 M8 M9 M10 M11 M12

3 1 1
10 6 6 M1-12 60
0.8
M13 M14 M15

Figure 3.11: Current mode, four quadrant, translinear loop multiplier.

range of 200 mVp−p and Gm = 250 nS are used at the input nodes. The dierential output current is

1
converted into a voltage using an OTA congured as a single-ended voltage resistor where R= Gm .

Subtracting Amplier and Gain Adjustment

A Harrison amplier architecture was used [7] (Fig. 3.12) for subtracting the two power paths

C1
and adjusting their gain. The gain is set by the capacitor ratio
C2 thus allowing precise gain

adjustments without the need for resistive passive components, thus keeping power consumption of

the amplier to a minimum. The amplier employed was a folded cascode operational amplier with

an error amplier for the Common Mode Feedback (CMFB). The error amplier consists of two

dierential pairs, each one connected to the output of the dierential amplier. The cancellation of

the dierential signals is carried out at the outputs of these two dierential pairs and fed back to

the current source of the input pair. This CMFB pair requires a Gain Bandwidth Product (GBW)

twice the GBW of the input pair [8]. A disadvantage of this CMFB control is that the output swing
3.4 iMDF Tracker Circuit Implementation 57

Reset

C
Vi2+ Vf-
C C
Vi2- Vf+ Vf-
2C C
Vi2+ Vf-
Vi2+ + -
2C
Vi2- Vf+ A Vout
4C
Vi2+ Vf- Vi2- - +
4C C
Vi2- Vf+
Vf+ C
8C
Vi2+ Vf-
8C
Vi2- Vf+

Reset

Figure 3.12: Harrison-amplier architecture [7] for a variable gain, subtracting amplier.

is limited by common mode input range of the CMFB amplier (2.8 × (Vgs − V t)). The amplier

had an open loop gain of 73 dB, a -3 dB bandwidth at 28 kHz (for load capacitance of 1 pF) and a

power consumption of 7 µA.

Integrator

The integrator employed is a dierential in, dierential out switched-capacitor (SC) architecture,

with oset compensation, adapted from [9, 10]. The iMDF tracker is designed to operate continu-

ously in time. Thus, the inherit dierential pair oset of the opamp would result to the saturation

of the lossless integrator. Hence, is it imperative that the integrator is oset compensated. The

1
circuit is shown in Fig. 3.13. The dierential gain is set to
50 to expand the integrators dynamic

range. A dierential topology was chosen to minimize the eects of parasitics, common mode noise

and DC osets. A non-overlapping clock generator is used to generate the two clock phases. The

clock frequency is 80 kHz and C1,2 = 100 fF. In φ 1 , C1 is charged with Vin , while C2 is sampling

the operational amplier's (opamp) oset. At the same time C3 along with the opamp are hold-

ing the last integral value. During φ2 , through charge sharing between C1 and C3 a new integral

value is computed and passed to the output, while the oset Vos stored in C2 is subtracted from

the computed value. Hence, the opamp's oset and charge injection osets are cancelled out. A

sample-and-hold circuit at the output allows two phase continuous operation.


3.4 iMDF Tracker Circuit Implementation 58

res
res res
Vin+ A ... D

φ1 φ2 φ1 φ2 φ1
C3, 50C1,2
C1 C2 Vout-

A B
C D

C1 C2 Vout+
φ1 φ2 φ1 φ2 φ1
C3, 50C1,2

Vin-

res res

Figure 3.13: Dierential in/out switched-capacitor integrator with oset compensation.

S S S
2 0.4 0.8 0.4
0.35 0.35 0.35 0.35

S S IN OUT
0.8 0.4 0.8 0.4
0.35 0.35 0.35 0.35

S S S
Figure 3.14: Schematic of the trasmition gate used with MOSFET capacitors for charge injection
absorbtion.

A transition gate was used as a switch (Fig. 3.14). In order to cancel out charge injection, dual

MOSFET caps were used on each side of the MOSFET switches. The introduction of the capacitors

help to minimise charge infection and suppress clock feed-through by choosing Wswitch = 2 × Wcap .

Finally, common mode charge injection is fully cancelled out though dierential operation.

Band-Pass Elliptic Filter

In order to remove any residual harmonics from the mixers used and also suppress the odd harmonics

of the square wave VCO (shaping into a sine wave), a bandpass lter is used. Using an elliptic lter,

a very high rollo can be achieved with an attenuation at the stop-band of -33 dB.

The roll-of of an elliptic lter depends on its order and its cut-o frequency. For a high-pass

elliptic lter as the cut-o moves to higher frequencies, in order to keep the same roll-o, the number

of orders must be increased. MATLAB


® simulations showed that the steepest rollo for a 6th order

(high-pass) elliptic lter occurs for cuto frequencies less than 2 kHz. With a cut-o frequency in

that region the lter's stop-band frequency, fs where attenuation is -40 dB, would be less than 250
3.4 iMDF Tracker Circuit Implementation 59

-F3

-F2

Vin
K0 K1 TBP1 K2 TBP2 K3 TBP3

Adder 1 Biquad 1 Biquad 2 Biquad 3

B0 B1 B2 B3

Adder 2

Vout

Figure 3.15: FLF eliptic lter architecture.

Table 3.1: 6th order FLF bandpass elliptic lter parameters.


K0 0.6045 B0 0 F2 0.6577
K1 2.3573 B1 0.1697 F3 0.2275
K2 2.1860 B2 -0.2166 Q 3.5
K3 1.9963 B3 1.1300 fo 1750 Hz

Hz bellow the cut-o.

A 6th order band-pass elliptic lter with a bandwidth (BW) of 500 Hz (EMG signal BW)

was designed using the Follow the Leader Feedback (FLF) architecture depicted Fig. 3.15 (design

adapted from [11]). The FLF architecture was chosen for the design because of its good trade-o

between sensitivity/robustness and tuning ability/simplicity, compared to both simple cascading

and more complex leap-frog (ladder) architectures [12]. The FLF architecture oers a simpler

implementation and lower design complexity, as identical biquad bandpass lters are used as primary

resonators for the lter. Feedback coecients F2 and F3 are implemented as gains. Feed-forward

coecients B0 − B3 are used to realise nite zeros required for an elliptic lter implementation.

Constants K0 − K3 are the gains of the lter and each biquad. In order to nd the correct values for

each coecient a 3rd order low-pass lter is used to perform a low-pass to band-pass transformation.

A MATLAB
® script adapted from [13] was used to estimate the coecient values. Coecient values

and lter parameters are listed in Table 3.1.

The circuit diagram of the biquads used is shown in Fig. 3.16. In order to reduce the

transconductance and increase the linearity of the OTAs used (see Fig. 3.17) source degeneration

is used by adding triode PMOS transistors B1 and B2 . The adders used are shown in Fig. 3.18.
Biasing

3.4 iMDF Tracker Circuit Implementation 60

CMF CMF

Vin+
gm0 gm3 gm1 gm2 Vout+

C2 C1 Vout-
Vin-

Adjustable Fc

Biasing

Figure 3.16: Biquad architecture circuit diagram.

2 2
20 20
2 2
20 20

2 2 2
20 20 20

Vout- 5
10
Vout+
Ibias B1
B2
V- M1 M2 V+
40 40
10 10

1 1 1 1 1 1
10 10 10 10 10 10

Figure 3.17: OTA used in biquad lter with source degeneration.

Addition is achieved in current mode and converted to voltage using an OTA congured as a single-

ended voltage resistor. Feedback gains are realised as the transconductance ratio of the dierential

pairs M1 − M6 and Gms . The designed lter has a centre frequency fo = 1750 Hz with cutos at

fc(lo) = 1450 Hz and fc(hi) = 2000 Hz.The lter has a rollo of -33 dB/octave. The centre frequency,

quality factor and gain are given by Eq. 3.15. Based on these equations and the parameters shown

in Table 3.1, the biquad circuit parameters are calculated and shown in in Table 3.2. To achieve

the required rollo, two lters where cascaded.

r
gm1 gm2
ωo =
C1 C2
s
gm1 gm2 C2
Q= (3.15)
(gm3 )2 C1
gmo
K=
gm3
3.4 iMDF Tracker Circuit Implementation 61

K0 B3 F2 B2 F3 B1
7.2 7.2 4 2.2 0.4 1.1
40 or 21 40 or 70 40 or 70

4
40

Ibias 5 5 5
10 10 10

Vp_1 Vn_1 Vp_3 Vn_3


40 40 40 40 40 40
10 10 10 10 10 10
Vp_2 Vn_2
gms

Vb
Vout- Vout+

Figure 3.18: Current mode adder circuit diagram.

Table 3.2: Biquad circuit parameters.


Biquad1 Biquad2 Biquad3
gm1,2 (nS) 313 313 313
gm3 (nS) 178.9 193 210.8
gm0 (nS) 421.7 421.7 421.7
C1 (pF) 7.115 7.115 7.115
C2 (pF) 30 30 30

Simulation Results

The developed iMDF tracking system was simulated using Cadence Spectre (6.1.5isr10) simulator,

with foundry supplied PSP models. The system is validated using retrospective data from back

muscles used in a previous clinical study. Data from muscles LES T12, RES T12 and LES L4 were

collected while the muscles were fatiguing during a static contraction, starting from a non-fatigued

state up until exhaustion.

In order to aid simulation eciency, the VCO and gain stages were replaced by an ideal

components. The algorithm described in Fig. 3.6 assumes an ideal high pass lter to ensure optimum

performance. Simulation of the bandpass elliptic lter gain response, as well as the Monte-Carlo

extremities of the cascaded lter can be seen in Fig. 3.19a-c. Moreover, the eect of Q and centre

frequency variation on the FLF structure frequency response is shown in Fig. 3.19d. It is observed

that process and mismatch variation has a signicant eect on the lter's centre frequency. For

this reason, a programmable current was added, controlling the centre frequency and allowing for

post-production calibration of the lter. Furthermore, by centering the lter (and adjusting the

VCO) to lower frequencies using the programmable current, the lter response overshoot can be

minimised. Thus, it was decided to be adjusted during testing.


3.4 iMDF Tracker Circuit Implementation 62

Table 3.3: Comparison between circuit simulations and MATLAB®


Muscle MARD R − value Figure
LEST12 3.8 (%) 0.96 3.20 (a)
LESL4 4.2 (%) 0.95 3.20 (b)
REST12 9.6 (%) 0.92 3.20 (c)

Since the lter used is of 6th order elliptic, the dierence between the cuto frequency and

the stop-band frequency aect the attenuation of the signal. To counterbalance this eect, a linear

gain term G is introduced (see Fig. 3.5). Although there is some signicant overshoot present in

the simulation, the gain term G can be adjusted during testing to accommodate for the change in

the passband gain of the lter. Furthermore, the centre frequency of the lter structure can ba

adjusted externally during testing by varying a selected bias current in the biquads. The eect

on the estimated iMDF is a constant residual oset which can be easily removed either on chip or

during post-processing of the results. The designed lter has a centre frequency fo = 1750 Hz with

cutos at fc(lo) = 1450 Hz and fc(hi) = 2000 Hz. The current of the gm cell controlling the VCO

frequency is used as the system output and its relationship with iMDF is given by Eq. 3.16. In

Eq. 3.16, 150 is the starting frequency dierence between the VCO and the lter's lower cut-o,

V COsen = 5.5 Hz/nA and IDC = 145 nA is the nominal current for the starting VCO frequency.

iM DF = 150 − V COsen (Iout − IDC ) (3.16)

Fig. 3.20 shows the estimated iMDF under fatigue of muscles LES T12 (a), LES L4 (b) and

RES T12 (c). The simulation time is 145 s. The blue line is the estimated iMDF by this system.

The red line is an estimation of iMDF in MATLAB


® after sub-hertz ltering. The Mean Absolute

Relative Dierence (MARD) is used to asses the relative error between the two calculated iMDF

signals. However, in order to measure the relative error, a constant oset of 55 Hz was subtracted

from the system output. The oset was Table 3.3 shows MARD and correlation comparison results.

Assuming a maximum iMDF that the system can estimate of 150 Hz, then the average error would

be less than 5 Hz . Due to the noisy nature of the EMG signal and the inheritable noisy, continuously

varying iMDF, this error is regarded as acceptable for the intended application. The system has

been designed for low-power consumption achieving 190 µW from a 3.3 V supply during continuous

operation. Power consumption details are summarised in Table 3.4.


3.4 iMDF Tracker Circuit Implementation 63

a) b)
20
4
0 Ideal filter Ideal filter
Circuit filter 3 Circuit filter
-20 Cascade Cascade
2
-40
1
Gain (dB)

-60 0

-80 -1

-2
-100
-3
-120
-4
-140
-5
-160
100 10 2
10 4
10 6
10 8 1400 1500 1600 1700 1800 1900 2000 2100 2200
Frequency (Hz) Frequency (Hz)
c) d)
20

0 Monte-Carlo 0
Extreemes
-20 Nominal

-40 -2

-60
Gain (dB)

-80 -4

-100
15% Q variation
-120 -6 5% ωo variation

-140 15% Q + 5% ωo variation


no variation
-160 -8

-180
100 101 102 103 104 105 106 251 398 631
Frequency (Hz) Frequency (Hz)

Figure 3.19: Simulation of the bandpass elliptic lter gain response: a) ideal lter, circuit implemen-
tation and cascaded lter response, b) closeup of a) around the 3dB point, c) Monte-Carlo extremities
of the cascaded lter and d) eect of Q and centre frequency variation on the FLF structure frequency
response. Figure from [11].

Table 3.4: System-Level power breakdown (C35, 3.3V).


Block Power
Mixer 27.7 µW ×2
VCO µW
26.4
Filter 21.1 µW ×2
Integrator 17.5 µW
Scaling Amplifier 23.1 µW
Subtracting Amplifier 23.1 µW
Total power 187.7 µW
3.4 iMDF Tracker Circuit Implementation 64

a) 150

Cadence
100 Matlab
iMDF (Hz)

50

0
0 30 60 90 120 150
Time (sec)
b)
100

80
iMDF (Hz)

60

40
0 30 60 90 120 150
Time (sec)
c)
100

80
iMDF (Hz)

60

40

20
0 30 60 90 120 150
Time (sec)

Figure 3.20: Blue line: cadence simulation iMDF tracking during fatiguing contraction
® from muscles
a) LES T12, b) RES T12 and c) LES L4. Red line: iMDF tracking using MATLAB simulation.
3.5 Measurement Results and Reections on iMDF Tracker 65

Figure 3.21: Die micro-photograph of the iMDF tracking ASIC.

3.5 Measurement Results and Reections on iMDF Tracker

The fabricated ASIC (codename Altair) is shown in Fig. 3.21. Initial testing showed that the VCO

was functioning properly. By grounding the inputs to the system, the fundamental frequency was

measured to be 1310 Hz, which is very close to the desired one of 1300 Hz. A signal resembling

band limited white noise was constructed in MATLAB


® and applied to the inputs of the system.

The signal had a bandwidth of 250 Hz. Under correct operation, the output of the system would

settle to an iMDF value close to 125 Hz. The iMDF tracker was tested in closed loop operation

since it was implemented without the option to break the loop. However, the output of the iMDF

tracker, the integrator output, kept moving towards the power supply rails, indicating a saturated

integrator. Thus, no further measurements were conducted.

3.5.1 Design Considerations for Future Improvements

One of the most important elements of this system is the SC integrator. It tunes the VCO to

the desired frequency and its output serves as a multiple of the estimated iMDF. The integrators

feeds to an externally adjustable gm cell which changes the bias current of the VCO and hence its

frequency. A Programmable Gain Amplier (PGA) precedes the integrator. Its use is to adjust
3.5 Measurement Results and Reections on iMDF Tracker 66

1.7
1.7 3

1.68 3

1.68 2.5
RESET
RESET Period 2.5
1.66 Period
RESET
RESET Period
1.66 2Period
Amplitude (V)

2
Amplitude (V)

1.64
1.64 1.5
1.5

1.62
1.62 1
1

1.6 0.5
1.6 0.5

1.581.58
0 0 1000
10002000
2000 3000
3000 4000
4000 5000
5000 6000 7000 8000
6000 7000 8000 9000
900010000
10000 0 0 1000
10002000
2000
30003000
40004000
50005000
6000 6000
7000 7000
8000 8000 9000 10000
9000 10000

Time
Time(samples)
(samples) TimeTime (samples)
(samples)

(a) (b)

Figure 3.22: (a) The dierential output of the PGA durring and after a RESET period, (b) The
dierential output of the SC integrator following the PGA durring the same period.

the dierence from the two feedback paths to a value that does not saturate the integrator. It was

found that the integrator would saturate immediately after power-up and return to that state after

reset. It is believed that a DC oset is causing the integrator to saturate so fast. Consider Fig. 3.22

for the output of the subtracting PGA and the integrator. It is clear that after the reset period,

the PGA outputs start to drift away and the integrator saturates before a valid measurement is

obtained. Careful examination of the designed indicated that the possible reason for the DC oset

would be the transition gate switch used in the Harrison topology amplier. The switch had an

NMOS transistor with its bulk connected to ground. The p-n junction is reverse biased but exhibits

leakage, thus a small current ow causes a drift at the input nodes of the amplier and changes the

DC operating point. A possible solution would be to use only a PMOS MOSFET switch with its

bulk to common mode voltage.

Furthermore, a better implementation would be to remove the Harrison scaling and subtract-

ing ampliers completely. Since the output of the squarer is in current mode, then current scaling

and subtraction would be a much simpler, robust and intuitive solution. Replacing the translinear

current squarer with a voltage squarer would have a direct impact on signal distortion, since less

transconductance cells would be introduced in the feedback path. Furthermore, designing a lower

order, Butterworth architecture for the main lter would result to a simpler, more robust system.

Monte Carlo simulations indicated that the lter coecients are prone to mismatch. Since the cho-

sen lter architecture requires very precise coecients, it would be better to replace the elliptic lter
3.5 Measurement Results and Reections on iMDF Tracker 67

Voltage Current Spike Digital

2
X
- Current Difference
Amplified Neuron
sEMG signal Variable HPF Ingegrator & Output
+ Tap Controller
2 x2
X

Figure 3.23: Block diagram representation of the second version of the iMDF Tracking System.

structure with a more robust architecture. Although adjusting the centre frequency was possible,

the coecient values were xed. Finally, a switched capacitor CMFB architecture would be more

suitable for the SC integrator. Removing the analogue integrator and transferring the loop control

circuit to the digital domain would make a more robust and easy to adjust architecture.

Despite it's shortcomings, this implementation of a median frequency tracker showed that it

is possible to create a low power, real-time system that is useful in monitoring and analysing the

sEMG signal. Such a system is suitable for integration with other sEMG analysis tools dedicated

for muscle fatigue monitoring. Hence, contributing to the creation of a wearable, standalone tool

dedicated to sEMG and muscle fatigue analysis.

3.5.2 A novel Neuromorphic based iMDF Tracking System

Examination of the experimental and simulation results showed that minor circuit and design

changes could potentially improve the iMDF tracker and result in a functional fabricated ASIC.

Altering the PGA and subtracting amplier to operate in current mode would be sucient to im-

prove the design. However, instead of revising the iMDF tracker on a circuit level, a system level

improvement and redesign based on the design considerations and experience of the current system

was more promising. Careful analysis of the chip performance and design choices yielded several

areas that could be revised and implemented dierently


2. The improvements on the second version

reected upon the shortcomings of the rst system. The improvement eorts were concentrated in

reducing the complexity of the system while increasing its robustness to process variations.

The system was adapted to operate in baseband. The new system is presented in Fig. 3.23.

The VCO and high order elliptic lter were replaced by a tunable, second order high pass lter.

2 The circuit implementation of the second, improved version of the iMDF tracker was performed by Daiwen Sun
under the direct supervision of Ermis Koutsos, towards the end of this thesis.
3.5 Measurement Results and Reections on iMDF Tracker 68

M5 M6 M11 M12
10/1 10/1 10/1 10/1

Vout
M4
64/0.6
C1 M10
64/0.6

M7 M9 M8
M1
16/1
M3
64/0.6
M2
16/1
16/1 64/0.6
16/1

M14 C2
M13 VB 22/5
VB
22/5
Vin

Figure 3.24: Second order Gm -C High Pass Filter Schematic. By operating current source transistors
M13 and M14 in the weak inversion region, the cuto frequency depends on the biasing current. An
array of binary scaled current mirrors is used to control the bias current and adjust the cuto frequency
of the lter.

Thus, there was no need for a bandpass lter to lter out the odd harmonics and contribute to noise

and signal distortion. The voltage squarer output, a current signal, is fed into an integrate-and-re

neuromorphic circuit where it is converted into a stream of discrete time events [14]. The use of

silicon neurons, hybrid analogue and digital circuits, can provide a qualitative approximation rather

than an exact value with an optimised power consumption, which is essential for integrated systems

and wearable devices. Finally, the dierence and integration operation were implemented using

digital circuits in order to eliminate performance dependants on the SC integrator oset, drift and

noise. A digital controller was responsible for adjusting the bias current of the lter and alter the

centre frequency. The input signal to the system is a pre-recorded sEMG signal bandpass ltered

from 10-500 Hz to cover the full spectrum of the sEMG signal and amplied to an amplitude of 200

mVp−p .

The tunable lter is a current controlled high-pass lter where its cut-o frequency can be

adjusted in the range of 20-450 Hz to cover 90% of the sEMG spectrum where the median frequency

usually occurs. The lter is based on a second order Gm −C architecture where the cut-o frequency

is controlled by its biasing current(design adapted from [15]) and is shown in Fig. 6.2b. A scaled

current mirror is used to select the bias current for the control of the centre frequency. A current

mode circuit (Fig. 6.2a) was used to square the signal and approximate its instantaneous power.

In addition, the circuit also acts as a transconductor which converts the voltage mode signal into

current mode signal which can be used to drive the integrate-and-re neuron circuit [16].

An Axon-Hillock circuit (Fig. 6.2c) was used to convert the current mode signal into the

spike domain because of its simplicity and tunability [17]. The circuit integrates the signal power
3.5 Measurement Results and Reections on iMDF Tracker 69

M9
20/2
M10
20/2

VG+Vi VG-Vi VG VG
Ibias
M1 M2 M3 M4
2.8/1.2 2.8/1.2 2.8/1.2 2.8/1.2

I1 I2
Io

M5 M7
20/1.2 20/1.2

M6
20/1.2
M8
20/1.2

Figure 3.25: Analogue Current Mode Squarer Circuit. The circuit also acts as a transconductor
which converts the voltage mode signal into current mode signal which can be used to drive the
integrate-and-re neuron circuit.

over an adjustable time window which gives the average signal power. The integration window is

controlled by the digital controller, which is operating at 5 kHz. The integrated signal power is

represented as the amount of spikes red from the neuron circuit. An asynchronous counter is used

to count the spikes red during the given period (0.2 ms) and send them into the digital feedback

controller. The neuron is tuned to be linear and exhibit large sensitivity to the current change from

the inputs. This means that small changes in the input current will result in signicant dierence

in spikes red. This allows for a lower order lter to be used in the system because the neuron

can express a dierence even if the lter has less selectivity. As a result, an ADC is replaced by a

neuron circuit which acts as an interface between the analogue and digital domains. In addition, the

neuron circuit consumes much less power than a traditional ADC which reduces the overall power

consumption signicantly.

In order to improve the robustness of the system and to further simplify the design, a digital

adder is used instead of an analogue integrator. The integrated power dierence is used to control

the cut-o frequency of the high-pass lter, thus allowing the lter to select dierent portion of

the spectrum and estimate the median frequency of the input signal. The digital controller reads

the two counter values at the frequency of 5 kHz and calculate the dierence between them. the

number of pulses red is directly proportional to the signal power through both signal power paths

(ltered, un-ltered). This value will indicate how close the current cut-o frequency is, compared

to the median frequency of the input sEMG signal. There are a total of 127 possible biasing currents

available for the lter which result to 127 unique cut-o frequencies linearly spaced between 20 Hz
3.5 Measurement Results and Reections on iMDF Tracker 70

Iin M1 M5 M15
4/1
4/1 4/1

Vspk

M4 M10 M14 M16


4/1 4/1 4/1 4/1

M13
4/1

M6 M9
Vmem 4/1 4/1 M12
4/1

C1 vrfr M11
4/1
vsf M7 M8
4/1 4/1

M2 M3
4/1 8/1
iLeak

Figure 3.26: Integrate-and-Fire Neuron Circuit Implementation. The circuit integrates the signal
power over an adjustable time window which gives the average signal power. The integrated signal
power is represented as the amount of spikes red from the neuron circuit. The neuron is tuned to
be linear.

and 450 Hz.

Fig. 3.27 shows the estimated median frequency during an isometric fatiguing contraction for

subject 1 (a), subject 2 (b) and subject 3 (c). Although both median frequency tracking systems

were developed for the same purpose, dierent datasets were used to characterise them as the

neuromorphic design was developed nearly two years after the rst system. At that time, dierent

and more accurate datasets had been collected. The blue trace is estimated median frequency

by the system proposed in this paper and the red trace is the median frequency calculated by

MATLAB
® under 0.1 second segments after sub-hertz ltering. The MARD is used to asses the

relative error between the two calculated iMDF signals. Table 3.5 shows MARD and correlation

comparison results. The system can accurately track iMDF of an sEMG signal with an average error

of only 0.64%. Moreover, it has been optimised for low-power consumption achieving 138 µW from

a 3.3 V supply during continuous operation. Power consumption along with circuit performance

characteristics are summarised in Table 3.6. Compared with the previous implementation of the

iMDF tracker, in the proposed design the relative error has reduced by 80% while increasing process

variation robustness and design simplicity. A more detailed view on the schematics and VHDL code

used can be found in Appendix A.


3.5 Measurement Results and Reections on iMDF Tracker 71

a) 140

120

iMDF (Hz)
100

80

60

40
0 10 20 30 40 50 60
Time (sec)
b) 140

120
iMDF (Hz)

100

80

60

40
0 10 20 30 40 50 60 70 80 90
Time (sec)
c) 140

120 Cadence
Matlab
iMDF (Hz)

100

80

60

40
0 5 10 15 20 25 30 35 40 45 50

Time (sec)

Figure 3.27: Blue Trace: Median Frequency during fatigue using proposed system
® from (a) Subject
1, (b) Subject 2, (c) Subject 3, Red Trace: Median Frequency using MATLAB medf req() function.

Table 3.5: Results comparison between proposed system and MATLAB®


Subject MARD % P-Value R-Value Figure
1 0.76 < 0.01 0.9491 3.27 (a)
2 0.15 < 0.01 0.9521 3.27 (b)
3 1 < 0.01 0.9384 3.27 (c)
3.6 Summary 72

Table 3.6: Power Consumption Breakup (C35, 3.3V)


System Module Power
Gm − C Filter µW
1
Voltage Squarer 3 µW ×2
Neuron 20 µW ×2
Biasing and Buering 80 µW
Digital Controller 15 µW
Total 138 µW

3.6 Summary

In this chapter, the properties of the sEMG power spectrum during fatigue, the benets of iMDF

tracking and possible circuit implementations were thoroughly investigated. Moreover, two iMDF

tracking systems were presented along with their strengths and weaknesses, as one was a completely

analogue implementation and the other was based on a mixed-signal approach.

The spectral compression of the sEMG signal during fatigue is best represented by the median

frequency of the power spectrum. Several attempts were made in the past in an eort to produce

a portable muscle fatigue monitoring system based on the median estimator. However, the designs

presented in this chapter were the rst attempt to an IC based system. After establishing the

potential benets and challenges of a closed loop approach, the rst iMDF tracking system used a

xed high order lter and a VCO to approximate the power of the spectrum above and below the

median frequency. The subtraction and integration of the two signal paths were two very important

blocks in the feedback loop. The chosen design architecture for these system components proved to

be troublesome. Thus, measurements of the fabricated IC were incomplete as one of the feedback

paths was saturating the integrator.

After careful examination of the rst design choices and challenges, the system architecture

was revised and redesigned from the ground up, exploiting the full potential of the iMDF tracker.

The resulting system employed a baseband closed loop approach. A variable lter was used to

integrate the sEMG spectrum. Moreover, the lter order and complexity was signicantly reduced.

Hence, the lter response would be more robust to process variations. However, by reducing the

order of the lter, the tracking accuracy could be reduced. To combat the lter limitations, the

SC integrator was replaced by a neuromorphic circuit, integrating and converting the sEMG power

to a bit-stream. The negative feedback was implemented in the digital domain, thus increasing

the overall accuracy and robustness of the feedback loop to osets, noise and process variation.
3.6 Summary 73

The lter cut-o was adjusted using a digital controller, driven by the adders. Lastly, in order to

decrease the number of design components in each feedback path, an analogue voltage squarer was

used where its current mode output was scaled and fed to the neuromorphic integrator.

Both trackers performed well in simulations (< 5% average error) and their low power (<

0.2 mW) design makes them viable systems for wearable muscle fatigue monitoring devices. The

limitations of the rst analogue iMDF tracker led to the creation of a novel neuromorphic based,

mixed signal iMDF tracker with increased accuracy and better performance. However, since the

neuromorphic design lacks the large cascaded elliptic lter, its area is signicantly smaller. Fur-

thermore, it consumes 27% less power while the tracking accuracy in increased, with an average

tracking error of less than 1%. Finally, its increased robustness to variations due to process and

mismatch factors makes the neuromorphic tracker the better design choice of the two systems. The

revised system was not fabricated due to time constraints.


REFERENCES 74

References
[1] S. Karlsson, J. Yu, and M. Akay, Time-frequency analysis of myoelectric signals during dy-

namic contractions: a comparative study, Biomedical Engineering, IEEE Transactions on,


vol. 47, no. 2, pp. 228238, 2000.

[2] D. L. Gilmore and C. J. De Luca, Muscle fatigue monitor (mfm): Second generation, IEEE
Transactions on Biomedical Engineering, no. 1, pp. 7578, 1985.

[3] A. Peyton, Circuit for monitoring the median frequency of the spectrum of the surface emg

signal, IEEE transactions on biomedical engineering, vol. 5, no. BME-34, pp. 391394, 1987.

[4] F. B. Stulen and C. J. De Luca, Muscle fatigue monitor: a noninvasive device for observing

localized muscular fatigue, IEEE Transactions on Biomedical Engineering, no. 12, pp. 760

768, 1982.

[5] R. Merletti, D. Biey, M. Biey, G. Prato, and A. Orusa, On-line monitoring of the median

frequency of the surface emg power spectrum, Biomedical Engineering, IEEE Transactions
on, no. 1, pp. 17, 1985.

[6] M. Gravati, M. Valle, G. Ferri, N. Guerrini, and N. Reyes, A novel current-mode very low power

analog cmos four quadrant multiplier, in Solid-State Circuits Conference, 2005. ESSCIRC
2005. Proceedings of the 31st European, pp. 495498, IEEE, 2005.

[7] R. R. Harrison and C. Charles, A low-power low-noise cmos amplier for neural recording

applications, IEEE Journal of solid-state circuits, vol. 38, no. 6, pp. 958965, 2003.

[8] W. M. Sansen, Analog design essentials, vol. 859. Springer Science & Business Media, 2007.

[9] K. Nagaraj, J. Vlach, T. Viswanathan, and K. Singhal, Switched-capacitor integrator with

reduced sensitivity to amplier gain, Electronics Letters, vol. 21, no. 22, pp. 11031105, 1986.

[10] C. C. Enz and G. C. Temes, Circuit techniques for reducing the eects of op-amp imperfections:

autozeroing, correlated double sampling, and chopper stabilization, Proceedings of the IEEE,
vol. 84, no. 11, pp. 15841614, 1996.

[11] C. Qian, J. Parramon, and E. Sanchez-Sinencio, A micropower low-noise neural recording

front-end circuit for epileptic seizure detection, Solid-State Circuits, IEEE Journal of, vol. 46,
no. 6, pp. 13921405, 2011.
REFERENCES 75

[12] A. J. Casson and E. Rodriguez-Villegas, A review and modern approach to lc ladder synthesis,

Journal of Low Power Electronics and Applications, vol. 1, no. 1, pp. 2044, 2011.

[13] D. Hernandez-Garduno, Follow-the-leader architecture 6th order band pass elliptic lter,

December 2002.

[14] G. Indiveri, B. Linares-Barranco, T. J. Hamilton, A. Van Schaik, R. Etienne-Cummings, T. Del-

bruck, S.-C. Liu, P. Dudek, P. Häiger, S. Renaud, et al., Neuromorphic silicon neuron cir-

cuits, Frontiers in neuroscience, vol. 5, p. 73, 2011.

[15] R. L. Geiger and E. Sanchez-Sinencio, Active lter design using operational transconductance

ampliers: a tutorial, Circuits and Devices Magazine, IEEE, vol. 1, no. 2, pp. 2032, 1985.

[16] G. Giustolisti, G. Palmisano, and G. Palumbo, A novel cmos voltage squarer, in Circuits
and Systems, 1997. ISCAS'97., Proceedings of 1997 IEEE International Symposium on, vol. 1,

pp. 253256, IEEE, 1997.

[17] G. Indiveri, A low-power adaptive integrate-and-re neuron circuit, in ISCAS (4), pp. 820

823, Citeseer, 2003.


76

Chapter 4

A wearable MFM System based on the


Muscle Fibre Conduction Velocity

4.1 Introduction

S
O far, instantaneous Median Frequency (iMDF) is recognised by physiologists and researchers

as the golden standard" for muscle fatigue analysis. Nevertheless, as its name indicates, this

metric concentrates on the frequency content of the surface EMG (sEMG) signal. Although this is

helpful in analysing sEMG signals, it does not provide any information about the physical changes in

the muscle that caused that shift in frequency. As the muscle fatigues lactic acid and Potassium ions

(K
+ ) accumulates in the extracellular muscle space, impairing conduction of the action potentials

across the muscle membrane, thus slowing down the Motor Unit Action Potentials (MUAPs) [1].

Furthermore, fast twitch muscle bres dominate high force production but get easily fatigued and

drop-out. Following that, fatigue resistant muscle bres take over.

Muscle Fibre Conduction Velocity (MFCV) is a measure of the travelling speed of MUAPs

in muscle tissue and is one of the most important items which reects muscular activity [2]. As

the muscle fatigues, MFCV decreases [3]. One of the advantages of MFCV is that it is reliable

under static and dynamic contractions [4]. Furthermore, MFCV is partially related to the observed

spectral compression of the sEMG signal [5]. However, changes in the spectral content of the sEMG

signal are disproportionately larger than decreases in MFCV. Moreover, recoveries in frequency are

more rapid than lactate removal in the muscle, MFCV can provide a more detailed insight on muscle

fatigue and muscle recovery than Power Spectral Density (PSD) monitoring alone [4, 6].

This chapter will present a novel CMOS system for the measurement of MFCV. This tool

will enable users/researchers to further understand the mechanisms behind muscle fatigue. Firstly,
4.2 Methods for Conduction Velocity Estimation 77

methods for conduction velocity estimation are presented. Following that, a discussion of feasibility

provides the most suitable algorithm for MFCV estimation. Finally, CMOS implementation and

measured results are presented.

4.2 Methods for Conduction Velocity Estimation

MFCV correlates with the EMG power spectrum and represents the velocity of MUAPs in the muscle

[5]. Thus, MFCV can be calculated using one of two dierent approaches; processing one detected

signal or processing two or more detected signals along the muscle bre direction. Inspiration could

also be obtained from Acoustic Source Separation and Bearing Source Separation analysis as in

[79].

Processing One Detected Signal

Estimating MFCV by processing one detected signal works by extracting spectral information from

the signal. Algorithms using this approach include the following:

Characteristic Spectral Frequencies

Lindstrom et al provided a mathematical model linking MFCV to the sEMG power spectrum (4.1).
 
f
P (f ) is the EMG power spectrum, v is conduction velocity and G v is the the shape of the

spectrum of the surface detected action potential. A shift in the power spectrum can be accounted

for by a change in MFCV. Thus, relative changes in MFCV result to relative changes in sEMG

characteristic spectral frequencies. Both iMDF and instantaneous Mean Frequency (iMNF) can be

used to track these changes/frequencies. However, this method is valid under one assumption. The

number of Motor Units (MUs) does not change during the contraction and estimation of MFCV.

Furthermore, this algorithm can only provide information about relative changes in MFCV and not

absolute values [1017].

 
1 f
P (f ) = 2 G (4.1)
v v

Detection of Spectral Dips

By applying dierent electrode congurations we can realise spatial lter. The spatial and temporal

domains are related by the velocity of propagation. Thus, if a signal is travelling with constant speed,
4.2 Methods for Conduction Velocity Estimation 78

the spatial lter transfer function is equivalent to a temporal lter transfer function. Assuming a

constant speed, the temporal (ft ) and spatial (fz ) transfer function frequencies depend on MFCV

according to: ft = v · fz . Any zeros introduced in the spatial lter transfer function will appear

in the equivalent (scaled) temporal transfer function. The position of these zeros, or spectral dips,

depends on MFCV. However, estimation of MFCV using this methods will introduce large variance

and spectral dips are suciently dicult to detect accurately [5, 18].

Autocorrelation

As described in the section above, sEMG spectral dips can be seen in the temporal domain. Hence,

they appear in the autocorrelation of the signal. Spinelli et al. showed that the rst dip in the

power spectrum introduced by a bipolar spatial lter corresponds to the rst minimum of the

autocorrelation function of the sEMG signal [19].

The disadvantage of these methods is that they require powerful spectral analysis tools.

Furthermore, MFCV estimates will not be very accurate and will show big variance. Finally, these

tools can only provide relative values of MFCV,which limit the applications of an MFCV estimator.

Processing More Than One Detected Signals

Another approach for nding MFCV involves processing two or more detected signals. The elec-

trodes are placed perpendicular to the underlying muscle bres. Each signal is detected at a dierent

point of the MUAP propagation path. The underlying principle is the measurement of time delay

between two myoelectric signals. Assuming two detection points, A and B, the conduction velocity
d
of the propagating MUAP will be dened as CV = δt where d is the distance between the two

detection points. Consider Eq. 4.2 for a simple model of the two detected sEMG signals, where θ

is the delay between the two signals, K is the number of detected signals and wk (n) is white, zero

mean, additive Gaussian noises of equal variance.

x1 (n) = s(n) + w1 (n)

x2 (n) = s(n − θ) + w2 (n) (4.2)

xk (n) = s(n − (k − 1)θ) + wk (n)

Algorithms processing two or more detected signals include the following:


4.2 Methods for Conduction Velocity Estimation 79

a)

b)

Figure 4.1: Distance between reference points: a) Seven sEMG signals detected along the muscle
bre, b) Alignment of EMG segments from reference points. Figure from [20].

Distance Between Reference Points

The model in Eq. 4.2 assumes that the two detected signals are identical with the addition of

noise. Thus, the two signals would have the same shape with the introduction of a delay. As a

result, any specic reference point such as a valley, a peak or a zero can be used to align the two

signals and estimate the delay between them. Fig. 4.1a shows seven sEMG signals detected along

the muscle bre, where the increasing delay between them is observed. In Fig. 4.1b, the sEMG

segments are aligned and the delay between them is calculated [20]. However, the assumption is

not valid in real life scenarios. This is because the detected delayed signals exhibit changes in shape

and characteristic reference points are hard to locate. Furthermore, this approach is limited by the

systems time resolution.

Detecting Phase Dierence

Processing signals in frequency domain eliminates the issue of time resolution. The frequency

domain model of Eq. 4.2, is shown with the absence of noise in Eq. 4.3:

X1 (a) = S(a)
(4.3)
X2 (a) = S(a)e−j2παθ/N

where a is the discrete frequency and N the number of samples. Thus, the phase dierence of the

Fourier Transform of the two signals is given by 2παθ/N . The phase dierence is a linear function

of frequency, with slope related to the delay to be estimated [5, 15, 21]. However, it has been shown

[22] that this method is very sensitive to additive noise.


4.2 Methods for Conduction Velocity Estimation 80

Spectral, Temporal and Spatial Matching

The time lag between the two (or more) sEMG signals is the time lag that can minimise the mean

square error between the original signal (point A) and the delayed signal (point B). The mean

square error in the time domain is given by Eq. 4.4:

N
X
et (τ ) = [x2 (n + τ ) − x1 (n)]2 (4.4)
n=1

where x1 and x2 are the two signals with a delay between them, n is the sample number and τ is

the time lag.

Minimization of the mean square error can happen in the time domain as well as in the

frequency domain. Furthermore, matching between signals ltered in the temporal and in the

spatial domains can be used to minimise the mean square error [5, 23, 24]. The delay between two

time shifted signals can be presented as the assessment of a transfer function in the time domain

that compensates for a lter applied in the spatial domain. On the other hand, the eect of the

transfer functions applied in the spatial domain can be compensated for by two lters in the time

domain, which will depend on the unknown estimated delay [23].

Cross-Correlation

The time lag at which the cross-correlation function is maximum can be used as an estimator of

delay, as was early demonstrated for surface EMG signals by Parker et al. [25]. Eq. 4.5 represents

the normalised cross-correlation function, where X is the reference EMG signal, Y is the delayed

EMG signal and Rτ is the correlation coecient normalised from 1 to -1. The time delay between

the two signals occurs at the point where the correlation coecient is at a maximum. Fig. 4.2 shows

the result of the cross-correlation function of two EMG signals detected along the muscle bre path.

The cross-correlation result (Fig. 4.2b) is at a maximum at a point equal to the time delay between

the two sEMG signals (Fig. 4.2a). It has been shown [22] that the cross-correlation method performs

comparably to spectral matching based methods, while being more computationally ecient.

N,N
X+τ N
X
(Xi − X̄) · (Yj − Ȳ ) x2 (n + τ )x1 (n)
i=0,j=i+τ n=1
Rτ = v =v (4.5)
uN N +τ
uX X uN N
t (Xi − X̄)2 · 2
uX X
2
(Yi − Ȳ ) t x1 (n) · x22 (n)
i=0 j=i+τ n=1 n=1
4.3 MFCV Tracking Algorithm 81

Figure 4.2: Cross-correlation result of two EMG signals detected along the muscle bre path. (a)
Reference and Comparison (delayed) EMG signals, (b) Cross-correlation coetient. Figure from [26].

4.3 MFCV Tracking Algorithm

Literature has shown that the cross-correlation method is an ideal candidate for estimating MFCV.

Cross-correlation is a robust and accurate measurement of similarity between signals, with a high

degree of noise immunity [5]. Cross-correlation uses two signals and estimates the delay between

them. The sEMG detection points must lie in the muscle bre path, from the innervation zone to the

myotendonous part of the muscle. The innervation zone is a small region or band of muscle tissue

wherein MUAPs originate and then propagate bidirectionally toward each tendon" [27]. Fig. 4.3

shows the position of the innervation zone of the short head of the biceps brachii. It can be seen that

the zone is close to the belly of the muscle. However, this observation does not hold true for every

muscle in our body, hence the innervation point should be located for each muscle separately [28].

Fig. 4.3 further illustrates the propagation of the MUAPs along the muscle bre in two directions

(up and down) [28].

The detection points A,B for the short head of the biceps brachii are shown in Fig. 4.4(a).
In this example the detection points are located above the innervation zone. Placing the detection

points bellow the innervation zone would result in the same observations. As explained in Chapter 2,

single dierential (bipolar) detection was used. The resulting SD detection points are shown in

Fig. 4.4(b). It was observed experimentally that bringing the two electrode sets closer and sharing
4.3 MFCV Tracking Algorithm 82

Arrows Indicate Innervation Zone


sEMG recordings, 5 ms/division

61%
68%
70%
74%
79%

Figure 4.3: The propagation of MUAPs along the muscle bre in two directions, originating from
the innervation zone. Figure from [28].

a) b) c)

A A A
B B B

Figure 4.4: Cross correlation detection points on bicepts brachii muscle. (a) single electrode,
monopolar detection, (b-c) double electrode, bipolar detection. Figure from [32].

the middle electrode, as in Fig. 4.4(c), would reduce the DC oset between the two recordings and at

the same time improve the detection of the delay between the two points. A better choice may have

been the use of double dierential detection. Double dierential detection would form a spatial

lter and would provide a signal that better isolates the peaks and valleys of the superimposed

MUAPs, which form the sEMG [2931]. However, this method would require the use of two triplets

of electrodes and more complicated front end amplication topologies. Single dierential detection

was selected in an eort to reduce electrode footprint, to maximise muscle applicability, and maintain

system simplicity.

As presented above, there are multiple ways of detecting and processing sEMG signals to

estimate MFCV. It is note worthy that every estimation method used will result to a dierent

MFCV measurement. Moreover, accuracy, sensitivity and repeatability of MFCV estimates depends

on several factors. A list of such factors is provided in Table 4.1. Valuing the eect of these factors
4.3 MFCV Tracking Algorithm 83

Table 4.1: Factors aecting MFCV estimates. Each primary factor call inuence MFCV
estimates depending on sEMG generation and detection [5].
Primary factors Factors inuencing sencitivity
electrode shape
electrode size
distance between bre and detecting electrodes
detection system
spatial lter
inter-electrode distance
electrode location

tissue inhomogeneities,
electrode-skin interface and electronics
additive noise
duration of signal epoch

bre components subcutaneous tissure layer thickness


length of bres
spread of innervation zones and tendon regions among motorunits

non-propagating potentials
cross-talk from nearby muscles
from other sources

on MFCV, an eort was made to keep all relevant parameters constant across all MFCV estimate

presented in this chapter, arising from simulations, sEMG recordings or system measurements.

Thus, all addressable factors had a uniform eect on all measurements, resulting in coherent and

reliable results.

4.3.1 Design Considerations for the CMOS Cross-Correlator

Adding to the list of advantages in MFCV estimation, cross-correlation is a strait forward operation

which can be implemented using custom CMOS logic in Application Specic Integrated Circuits

(ASICs). However, cross-correlation is a computationally intensive time-domain operation because

of the large amount of multiplications required. Cross-correlation is described by the following

equation, Eq. 4.6:

n
X
rl = x(i)y(i − l) (4.6)
i=1

Where l is the time shift between the two signals being correlated. For every point in time, all the

samples (n) in the correlation window must be multiplied and accumulated. In order to evaluate

the accuracy of the cross-correlator to be designed in CMOS, the MATLAB


® xcorr() function

was used. Simulations with a varying correlation window and sEMG sampling frequency were used
4.3 MFCV Tracking Algorithm 84

to nd the optimum parameters for the modelled cross-correlator. Simulations were conducted

using real retrospective sEMG data from the biceps brachii muscle of a test subject, under static,

non-fatiguing, contractions. All sEMG recording were made with the following parameters, unless

stated otherwise; for the recording of data an Octal BioAmp ML138 by ADInstruments was used,

along with pre-gelled electrodes provided by AMBU Neuroline (72000-S/25). The signal is bandpass

ltered 10-500 Hz. The sampling frequency was set to a high value of 10 k samples/s to allow further

post-processing (ltering, under-sampling) of the recordings. The Interelectrode Distance (IED) is

2 cm and the active electrode diameter is smaller than 10 mm. The IED is limited by the electrode's

width (20 mm).

Since the muscle contraction was a non-fatiguing one, the time delay between the two

recorded channels was constant and subsequently removed. Following that, an articial delay of 10

ms was introduced to one of the recordings to simulate an increase of the delay between the two

signals, similar to a fatiguing contraction. The delay was introduced articially in order to obtain a

linear increase in the signal's 65 s duration, thus creating a highly controlled test signal. The time

delay output of the ideal cross-correlation function xcorr() was compared to the linear t of the

added delay. In order to provide a comprehensible and representative error value between the two

datasets, the Mean Absolute Relative Dierence metric was used (MARD, in %). Table 4.2 presents

the resulting MARD between the xcorr() function and the linear t under dierent correlation win-

dows and sEMG sampling frequencies. A MARD value of 5% or less was deemed satisfactory for the

intended application. The optimum operating region of a cross-correlator used in MFCV estimation

is highlighted in green. Relaxing the operating parameters would result to a higher MARD value,

highlighted in orange.

The sEMG signal has a bandwidth of 10-500 Hz. In theory, a 1 kHz sampling rate with a 200

ms correlation window would suce for an accurate estimation of the time delay between the two

channels. As seen in Table 4.2, the accuracy of estimation is increasing with sampling frequency.

This is to be expected, since there are more data samples available to locate an accurate time delay.

With a sampling frequency of 1 kHz and a maximum detectable delay of 10 ms, there are only 10

available data samples for the estimation. However, this number increases to 80 using a sampling

frequency of 8 kHz. Moreover, accuracy is increasing with the correlation window up to the value

of 1.3 s and then starts to decrease again, resulting to an optimum window around 1 s.

Implementation of a cross-correlator that utilises all the bits of the digitised sEMG signal
4.3 MFCV Tracking Algorithm 85

Table 4.2: Error results between xcorr() and the linear t of the added time delay be-
tween the two sEMG recordings. Correlation windows and sEMG sampling frequency
are varied to obtain optimum working region.
Correlation Sampling Frequency (kHz)
Window (s) 1 2 3 4 5 6 7 8
0.1 382.90 228.32 95.65 73.40 18.90 11.00 13.82 6.03
0.2 428.68 76.46 24.52 15.74 9.62 7.67 6.03 4.70
0.3 251.63 37.14 22.17 14.84 9.11 7.13 5.18 3.72
0.4 181.21 33.74 20.36 14.01 9.34 5.83 4.77 3.51
0.5 117.33 32.60 19.54 13.41 8.73 5.69 3.62 2.88
0.6 96.09 31.84 20.39 12.33 7.57 5.10 3.10 2.58
0.7 96.66 32.41 19.66 11.82 7.87 5.29 2.73 2.03
0.8 76.41 30.25 18.29 14.26 7.96 3.54 2.83 2.31
0.9 74.28 32.45 19.54 11.99 7.72 4.00 2.90 2.23
1 75.82 30.12 18.38 11.89 7.15 3.84 2.09 1.49
1.1 70.09 29.88 18.36 12.26 6.40 3.68 1.93 2.27
1.2 78.46 29.65 19.66 10.38 8.18 2.56 2.16 1.73
1.3 72.07 29.36 17.67 10.46 6.61 2.77 1.86 2.54
1.4 73.12 29.87 17.17 10.79 4.81 3.40 2.51 2.01
1.5 76.02 30.26 19.79 9.96 4.85 2.51 1.77 5.01
Minimum Absolute Relative Dierence (%)

in an ASIC dedicated for muscle fatigue monitor would be a waste of resources, power and silicon

area. The sEMG signals can be converted to a discrete signal with the aid of a single threshold.

This work demonstrates that single threshold is an adequate alternative to digitizing the complete

sEMG signal, while retaining the necessary information for cross correlation and delay estimation.

The novelty of this research work lies in eliminating the need of cross-correlating the whole sEMG

signal, by cross-correlating a single bit approximation of it. Thus, complicated cross-correlator

architectures can be replaced by simple, bit-stream cross-correlator designs. Lande et al [33] de-

veloped a cross correlator which processes the output of a sigma delta modulator. The resulting

bit-stream from the modulator is then correlated with a template bit-stream stored in a buer.

Since a bit-stream consists of signal values of 1 (High) or 0 (Low), the complicated multiplications

required for cross-correlation are replace by AND gates. In this approach the input signal is buered

and similar to Eq. 4.6, for every time shift the buer window is correlated with a template signal.

This method vastly simplies the system, by minimizing the number of operations and transistors

needed, complexity and power consumption.

A system based on this approach seems very promising. In the case of cross correlating two

sEMG signals, the reference EMG signal (point A) is stored in one buer and the delayed sEMG
signal (point B) in another buer. Consider Fig. 4.5 for a graphical representation of the algorithm
4.3 MFCV Tracking Algorithm 86

Buffer a)

x(n) 1 2 3 ... n

Adder
temp xd(n) 1 2 3 ... n
Buffer

Figure 4.5: Lande et al. bit-stream cross correlator. The two signals are buered and latter
b) correlated
using an AND or XOR gate.
xd(n)

Correlation Stage
described above. A single bit converter is used to digitise the analogue sEMG signals and convert

x(n) Delay TheDelay


them to two digital bit-streams.
Delay
type of converter used isDelay
...
a comparator. The signal from the

second buer is shifted one sample at the time, applying the XOR function over the selected window.

Counter n
Counter 1

Counter 2

Counter 3

The result is the sum of all the zeros computed by the logic function. The same modied sEMG

signals used for Table 4.2 were converted to a bit-stream using a comparator with a threshold of 0V.

The signals were previously centred around 0 V. Table 4.3 presents the resulting MARD between the

xcorr function of the bit-streams and the linear t under dierent correlation windows and sEMG

sampling frequencies. It was observed that the operating window and resulting MARD values of the

bit-stream cross-correlation were very close to the original sEMG cross-correlation results. Hence,

a bit-stream cross-correlator is a viable solution for an MFCV tracking system in CMOS.

Table 4.4 shows the MARD results of the bit stream modulator presented in [33]. This

approach temporarily stores an sEMG bit-stream epoch in a buer. The buer length is given by

Sampling − F requency × correlation − window. By interpreting Table 4.4, the minimum buer

length would be at a sampling frequency of 8 kHz and a correlation window of 0.3 s. The resulting

buer length would be 2.4 k samples. Since two buers of the same length are needed, 4.8 k

samples need to be stored. Finally, another 2400 gates are required to perform the cross-correlation

operation. Implementing this type of bit-stream cross-correlator in CMOS would require 7200 digital

blocks (gates, memory). Such space requirements are very big for custom ASICs as silicon area is

very expensive. The MATLAB


® code for the selection of optimum window size and sampling

frequency for the bit-stream cross-correlator is shown in Appendix C.


4.3 MFCV Tracking Algorithm 87

Table 4.3: Error results between xcorr() and the linear t of the added time delay
between the two resulting bit-streams. Correlation windows and sEMG sampling fre-
quency are varied to obtain optimum working region.
Correlation Sampling Frequency (kHz)
Window (s) 1 2 3 4 5 6 7 8
0.1 347.15 266.14 109.34 47.26 21.38 10.71 14.72 6.38
0.2 441.93 112.31 28.91 16.38 9.46 7.45 6.51 5.29
0.3 250.85 43.76 24.03 15.04 8.63 8.40 5.87 3.74
0.4 180.13 39.26 21.55 14.66 8.66 6.17 4.80 4.09
0.5 144.55 34.47 18.36 12.86 9.35 5.98 4.22 3.23
0.6 122.71 33.39 18.52 12.61 8.70 5.81 3.41 2.72
0.7 106.43 34.25 19.18 12.12 8.84 6.04 2.73 2.27
0.8 86.58 32.65 18.06 12.77 9.18 3.68 2.83 2.64
0.9 88.20 33.27 18.82 12.62 8.01 4.13 2.94 2.22
1 85.34 31.50 17.85 12.08 7.17 3.83 2.11 1.57
1.1 80.12 29.69 18.74 12.83 7.66 3.77 1.97 2.36
1.2 83.68 31.66 18.68 10.92 7.20 2.85 2.16 1.76
1.3 87.49 32.12 18.22 10.70 4.20 3.16 1.89 2.56
1.4 86.42 30.04 16.79 10.99 4.86 3.39 2.43 2.02
1.5 78.31 32.37 17.79 10.01 4.90 2.56 1.78 5.02
Minimum Absolute Relative Dierence (%)

Table 4.4: Error results between the cross-correlator proposed in [33] and the linear t
of the added time delay between the two resulting bit-streams. Correlation windows
and sEMG sampling frequency are varied to obtain optimum working region.
Correlation Sampling Frequency (kHz)
Window (s) 1 2 3 4 5 6 7 8
0.1 124.56 64.57 35.25 24.04 17.18 12.72 10.45 8.28
0.2 116.26 48.55 27.93 17.52 11.93 10.51 7.31 6.22
0.3 113.93 44.98 25.74 16.08 9.03 7.82 7.00 4.93
0.4 108.04 46.05 24.18 15.84 10.57 7.65 6.32 5.06
0.5 104.13 38.87 23.57 13.99 8.81 7.43 5.16 4.55
0.6 104.37 44.91 24.74 12.36 8.28 6.97 4.52 3.43
0.7 100.22 39.66 23.66 12.18 9.02 7.23 3.24 3.07
0.8 101.21 38.35 22.06 14.84 7.96 5.76 3.44 3.81
0.9 99.06 41.85 23.15 14.54 9.66 4.78 3.79 2.34
1 91.23 38.90 22.74 12.62 8.55 4.47 2.37 1.81
1.1 90.44 38.82 22.28 13.63 8.50 4.55 2.10 2.56
1.2 91.26 37.05 23.56 12.68 7.44 3.74 2.38 1.90
1.3 85.93 40.42 22.41 12.90 7.32 4.34 2.17 2.86
1.4 86.73 38.33 20.90 13.09 5.80 3.70 2.77 2.24
1.5 86.57 37.69 24.92 11.37 5.75 2.73 2.00 7.55
Minimum Absolute Relative Dierence (%)
4.3 MFCV Tracking Algorithm 88

4.3.2 Proposed Bit-Stream Cross-Correlator

The aim of the MFCV cross-correlator is to estimate the time delay between the two bit-stream

signals x(n) and xd (n). x(n) is the digitised EMG signal detected at point A (see Fig. 4.4(b)) and
xd (n) is a delayed version of x(n) detected at point B. Thus, there is no need to buer xd (n) for
cross-correlation since it is already shifted in time. Instead of storing and shifting data to nd a

time lag, it is possible to continuously return the similarity of the two signals for a given time lag

by counting all the time instances where the two signals are the same. The proposed bit-stream

architecture is similar to the operation of correlator banks [34]. Several discrete time lags for the

cross-correlation output are obtained by continuously delaying the input signal and repeating the

aforementioned process. Thus, obtaining a similarity (correlation) result for every discrete time

delay. Finally, the time lag between the two signals is returned by the counter with the larger

value. This approach greatly simplies the number of transistors required. However, the time lag

resolution is limited. The time lag dynamic range depends on the number of time delays introduced

to the system. Furthermore, the resolution of the system can be varied according the sampling

frequency (T = Delay) and the cross-correlation time window. Consider Fig. 4.6 for the proposed

bit-stream correlator which comprises of several correlation stages, where each stage has a delay

block, a counter and a gate responsible for the cross-correlation. The delay line is constructed

by connecting serially several unit delays" (discrete time-lags). The two bit-streams are cross-

correlated using an XNOR function and an accumulator at the output of every unit delay". Each

accumulator increases its current value by 1 when xd (n) matches the corresponding time-lag Dn .

When the reference signal x(n) reaches the incremental delay which matches the time delay between

x(n) and xd (n), then the accumulator value corresponding to that incremental delay will be the

maximum out of every accumulator along the delay path. Thus, the computed delay as a result of

cross correlating the two signals is given by Eq. 4.7 where n is the number of discrete time-lag, C

is the accumulator value and i is the time of evaluation.



Dn,i = max(C1 , C2 ...Cn ) (4.7)
t=i

It is observed that in the proposed architecture the buer size of x(n) in [33] is reduced to

the time delay between the two signals. In this approach, buering of the two signals is perceived

in time. Instead of saving the incoming data, they are processed in real-time for the duration of a
Adde
temp xd(n) 1 2 3 ... n
4.3 MFCV Tracking Algorithm 89
Buffer

b)
xd(n)

Correlation Stage
x(n) Delay Delay Delay ... Delay

Counter n
Counter 1

Counter 2

Counter 3
Figure 4.6: Proposed architecture of the bit-stream cross correlator. The buering blocks have
been replaced with delay blocks.

specied time window. Periodic reset of the accumulators is necessary in order to obtain a new cross

correlation result. The disadvantage of this architecture is the loss of temporal accuracy. However,

there is a trade-o between temporal and delay-estimation accuracy. The longer the reset period,

the more data points the system accumulates. Thus, time-averaging is performed, resulting to a

more indicative estimation of the constantly varying delay between the two signals. The advantages

of these approach are the following. There is a signicant reduction of the number of transistors

needed. This will have a positive impact on power consumption and silicon area. Furthermore, the

computational complexity of the correlator is reduced to a minimum.

In order to decide the number of correlations stages to be added to the system, the following

assumptions were made. The longest delay would occur at the end of a fatiguing contraction.

Physiological values reported in literature for a fatiguing isometric contraction indicate that MFCV

m
very rarely is measured to be less than 3
s [17, 3539]. Referring back to Table 4.3, a sampling

(shifting) frequency of 6 kHz was selected in order to achieve a good accuracy (low MARD values)

while keeping the required delay buer length to a minimum. Thus, the delay buer was designed

with a length of 40 correlation-stages. The correlation window in not inuenced by the buer length.

Hence, the trade-o between buer length and correlation window is swapped with the trade-o

between maximum detection window and sampling frequency. However, increasing the detection

window in the proposed bit-stream cross-correlator is less costly that increasing the correlation

window in the architecture proposed in [33].

The dynamic range of the system can be varied according the sampling frequency and the

delay estimation accuracy according to the cross-correlation time window. In order to characterise

the system, it was modelled in SIMULINK and compared with MATLAB


® xcorr() function using
Mean Ab
2.5

4.3 MFCV Tracking Algorithm 1.5


0 5 10 15 20 25 90
30
Comparator Threshold over Commom Mode (mV)

5 9
W = 0.5
W = 0.66
4.5 8 W=1

Mean Absolute Relative Difference (%)


Mean Absolute Relative Difference (%)

W = 1.5
W=2
4 7

3.5 6

3 5

2.5 4

2 3

1.5 2
0 5 10 15 20 25 30 1000 2000 3000 4000 5000 6000 7000 8000
Comparator Threshold over Commom Mode (mV) Sampling Frequency (Hz)

(a) (b)

9
Figure 4.7: Performance of the proposedWWbit-stream
= 0.5 cross-correlator with varying threshold, correla-
®
tion8 window and sampling frequency: (a) MARD
= 0.66
between MATLAB xcorr() function and modelled
system with a varying threshold, (b) MARD
W=1
W = 1.5 between MATLAB
® xcorr() function and modelled
Mean Absolute Relative Difference (%)

system,
7 where W is the correlation window (s).
W = 2

real sEMG data from the biceps brachii muscle of a test subject during a static, fatiguing contraction.
5

The sEMG signal was amplied to a peak-to-peak voltage of 300 mV. Fig. 4.7a shows the MARD

®
4

between the modelled system and MATLAB simulations for a varying comparator threshold. It
3

can be seen that the relative error has a small sensitivity to the chosen threshold level. The modelled
2
1000 2000 3000 4000 5000 6000 7000 8000
system's output is Sampling
compared to
Frequency the results or the
(Hz) xcorr() function. By looking into Table 4.2, a

window of 1s was used in the xcorr() function as it exhibited good accuracy across a wide range

of sampling frequencies. Fig. 4.7b shows a parametric simulation with the sampling frequency and

correlation window as variables. Increasing the sampling frequency results to higher accuracy but

limits the dynamic range of the system. The results show that sEMG bit-stream cross-correlation

is an accurate representation of cross-correlation which allows it to used for tracking MFCV. A

longer correlation window would yield better delay estimation accuracy but would result to a slowly

tracking system. The proposed approach of this bit-stream cross-correlator resembles the basic

architecture of a time-to-digital converter. According to [40], the measurement interval ∆T in a

time-to-digital converter can be expressed as in Eq. 4.8, where Tc is the period of the clock. The

error in the measured time interval ∆T is r and can be equal to twice the clock period. Thus, a

delay chain length of 40 yields a relative error of 5%, which is considered acceptable for the intended

application.
INC INC
RST RST RST
counter x 39 counter
14
Out (1) Out (N)

4.3 MFCV Tracking Algorithm 91

Stages 3-39
Correlation Stage 1 Correlation Stage 2 Correlation Stage 40

{
xd(n)

x(n) D Q D Q D Q

CLK CLK CLK CLK

INC INC INC


RST RST RST RST RST RST RST
counter counter counter
14 14 14

Out (1) Out (2) Out (40)

Figure 4.8: Correlation stage with delay block, counter and correlator (XNOR).

∆T = N Tc + r
(4.8)
r ∈ [−Tc ; +Tc ]

Correlation Stage

As mentioned in the section above, the bit-stream correlator is made of several correlation stages

connected in series. Each stage comprises of a delay block, a counter and a gate responsible for

the cross-correlation. The cross-correlator proposed by Lande et al. utilised an inverter chain as

a buer. However, it was designed to operate at a much higher frequency than the one required

for this application. As such, an inverter chain would be too long, increasing silicon area and

power consumption. A simple D-type Flip Flop (DFF) acts as a delay block, and the delay time

is controlled by the sampling frequency of the system (CLK). A 14 bit ripple counter was found

to be enough to meet the requirements of the system. The use of XNOR gates as a bit correlator

improves the original AND gate design by taking all possible digital cases into consideration. The

circuit schematic is shown in Fig. 4.8. A second clock (RST) with a much lower frequency than

CLK is used to reset the counters and thus dene the cross-correlation time window. The dynamic

range of the system can be varied according the sampling frequency CLK and the delay estimation

accuracy according to the cross-correlation time window (RST).

Fig. 4.9 shows the signal propagation in the system. Fig. 4.9(a) shows an EMG signal segment

as detected by the biopotential front end ampliers. Fig. 4.9(b) shows the resulting bit-stream after
4.3 MFCV Tracking Algorithm 92

amplitude (mV)
2

a) 0

−2
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6
2
amplitude (V)

b) 1

0
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6
2
amplitude (V)

c) 1

0
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6
2
amplitude (V)

d) 1

0
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6
2
amplitude (V)

e) 1

0
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6

200

f)
counter

100

0
0.2 0.25 0.3 0.35 0.4 0.45 0.5 0.55 0.6
Time (s)

Figure 4.9: Correlation stage signals. (a) EMG signal, (b) xd (n) bit-stream, (c) x(n) bit-stream
delayed by 10 previous correlation stages, (d) x(n) bit-stream delayed by all previous stages, (e)
XNOR bit correlation result between (b) and (c), (e) counter result after adding all XNOR values in
real time.

single bit digitization. Fig. 4.9(c) and (d) show the propagation of the bit-stream trough the delay

blocks of the system. Finally, Fig. 4.9(e) and (f ) show the correlation results and how these are

interpreted by the counters in real time. At the end of the correlation time window, the maximum

value counter is selected. The delay this counter is linked to, represents the time lag between the

input signals. After that, the counters are reset to zero and correlation starts again.

Maximum Detector Stage

At the end of the correlation time window (RST), all the counters of the system are read. The

correlation stage (i.e. delay) of the counter with the maximum value best represents the time lag

between the two input signals. A maximum function compares all the counters in cycles. The

maximum function comprises of smaller blocks, each comparing two 14 bit numbers, and operates

using sequential logic. It starts by comparing all the results in pairs and then proceeds with

evaluating the results of the last comparison. The maximum function operates using sequential
4.3 MFCV Tracking Algorithm 93

out (1-40) from counters

& & & & & & & & & & & & enable

max(0) max(1) max(2) max(3) max(4-17) max(18) max(19)


1 f0 f1 f2 f3 f 18 f 19

max(20) max(21) max(22-28) max(29)

2 f 20 f 21 f 29
max(28)

max(30) max(31-33) max(34)


3 f 30 f 34
max(31) max(32) max(33)

max(35) max(36)
4 f 35 f 36

max(37) max(38)

5 f 0-38 f 37 f 38

thermometer coding out(1-40)

Figure 4.10: Maximum Function Flow.

logic and is shown in Fig. 4.10. Every maximum operation returns a binary ag, which passes down

to the next comparison and indicates which of the two compared numbers is the maximum. Thus, a

binary one means the rst of two numbers is bigger. This way, the counter position number (hence

delay number) and not the counter value is returned when the operation is nished. Consider Eq. 4.9

as an example for calculating the counter path. The maximum detector was generated using VHDL

coding, shown in Appendix B. The max function is enabled only prior to the counter evaluation

stage, thus saving power. All the counters and delay blocks stop processing, in order to allow the

max function to settle to one output (sequential logic). Then, the system output is ready to read.

out(1) = f38 ∧ f37 ∧ f35 ∧ f30 ∧ f20 ∧ f0

out(2) = f38 ∧ f37 ∧ f35 ∧ f30 ∧ f20 ∧ f 0

out(3) = f38 ∧ f37 ∧ f35 ∧ f30 ∧ f 20 ∧ f1


(4.9)
.
.
.

out(8) = f38 ∧ f37 ∧ f35 ∧ f 30 ∧ f 21 ∧ f 3


.
.
.
4.4 MFCV Tracking ASIC Architecture 94

enable CLK READY RST

del

CLK2 D Q D Q D Q D Q D Q D Q D Q

CLK Q CLK Q CLK Q CLK Q CLK Q CLK Q CLK Q

CLK1

reset

Figure 4.11: Timing control unit circuit diagram.

Timing Control Unit

The timing control unit governs all the functions of the system. As previously mentioned, when

the time to calculate the delay comes (CLK2) the counters and delays need to stop functioning, the

max function needs to be enabled and when the result is ready, the output should be loaded and

sent out using a standard Serial Peripheral Interface (SPI).

All the operations of the MFCV estimator are orchestrated by the timing control unit, shown

in Fig. 4.11. The inputs to this block are two clocks (sampling clock and correlation time window

clock). When the correlation time window clock is asserted, the delay (DFF shifting) of the signal

stops, hence the counters stop operating. The maximum function uses an enable signal as a trigger.

The READY signal is delayed to allow the maximum function to settle. When the operation is

nished, the RST resets all the counters.

4.4 MFCV Tracking ASIC Architecture

The developed MFCV ASIC (code name Optimus) shown in Fig. 4.13 consists of four major building

blocks: a) a sEMG dual channel Instrumentation Amplier (IA), b) two Sallen Key low-pass lters,

c) a bit-stream converter comprised by two analogue comparators and d) a digital bit-stream cross-

correlator. In addition, the MFCV ASIC includes a bias circuit generator, a digital timing control

circuit and a SPI to communicate with any receiving circuit.

The operation of the MFCV ASIC can be described as follows: the dual IA amplies the

detected sEMG signals which are then low-pass ltered to extract the signal attributes in the

required frequency band (10-500 Hz). Following that, the bit-stream converter digitises the sEMG

signals and feeds them to the bit-stream cross-correlator that computes the time delay between

them.
4.4 MFCV Tracking ASIC Architecture 95

amplitude (V)
a) 2

0
0 2 4 6 8 10 12 14 16 18 20
4
amplitude (V)

b) 2

0
0 2 4 6 8 10 12 14 16 18 20
4
amplitude (V)

c) 2

0
0 2 4 6 8 10 12 14 16 18 20
4
amplitude (V)

d) 2

0
0 2 4 6 8 10 12 14 16 18 20
4
amplitude (V)

e) 2

0
0 2 4 6 8 10 12 14 16 18 20
4
amplitude (V)

f) 2

0
0 2 4 6 8 10 12 14 16 18 20
Time (ms)

Figure 4.12: Timing Control Unit signal ow. (a) sampling clock, (b) correlation time clock, (c)
delay clock, (d) enable maximum function, (e) read data for output and (f) reset counters.

Analog Out 1 Threshold 1 Test 1 CLK 1 CLK 2


MFCV Tracking
Synchronisation

ASIC

R2 Bias Generator Timing Control


C3
R2
R2 R2 R3
R4 R2
R2 C2
Sampling

El1 C1 Correlation Correlation


R2 Stage x40 Stage
R1 R1

El2 a) sEMG readout Stage b) Filtering Stage c) Bit-Stream


Counter Counter
Output Delay

X
Epidermis

Enable Max SPI


El3

High-Pass d) Bit-Stream Cross


Filter Max Selectror
Correlator

Bias Generator
Vref : Setting DC, close
proximity to electrodes
Analog Out 2 Threshold 2 Test 2
R1 = 10 MΩ, R2 = 100 KΩ, R3 = 1 MΩ, R4 = variable, C1 = 1.5 nF, C2,3 = 33 pF

Figure 4.13: System block architecture of the Muscle Fibre Conduction Velocity tracking ASIC.
4.4 MFCV Tracking ASIC Architecture 96

4.4.1 sEMG Signal Processing

The circuit has been implemented in a commercially available 0.35 µm CMOS technology provided

by AMS (C35B4). Standard cell libraries were used for the implementation of the operational

ampliers and comparators in stages a, b and c (OP05B, COMP) as the focus of this chapter is the
bit-stream cross-correlation algorithm application for MFCV tracking and system implementation.

A common front-end architecture in biopotential measurements is a DC-coupled fully dier-

ential amplier followed by a dierence amplier, as in the classical three opamp instrumentation

amplier [4146]. The equivalent input noise only depends on the two opamps constituting the fully

dierential amplier. Instrumentation ampliers should be capable of rejecting up to 300 mV DC

Polarization Voltage (PV) from the biopotential electrodes [46, 47]. Thus, AC coupling is needed

to avoid saturating the output due to input DC osets. Existing architectures that can implement

rejection of such high DC voltages without using o-the-shelf components lead to lower performance

IAs [48, 49] or suer from trade-o 's resulting in reduced PV [5052]. On the other hand, the use

of conventional, simple, o-chip high-pass lters signicantly reduces the input impedance, where

especially the common mode input impedance is very important for achieving high Common Mode

Rejection Ratio (CMRR).

Thus, an external oating high-pass lter is used, as shown in Fig. 4.13. The intended appli-

cation of the ASIC as a wearable device allows the use of external components. The main advantage

of oating high-pass lter compared to conventional passive high-pass lters is the elimination of the

grounded resistor, implementing very large common mode input impedance [46, 47]. With R1=10

MΩ and C1=1.5 nF the resulting cuto is 10.6 Hz to best lter out motion artefacts [53]. However,

this lter structure requires a fourth electrode to bias the input lter structure and set the DC

voltage of the body around the electrodes. This reference DC level must satisfy the amplier's

input common mode range requirements and is set at a voltage Vref = Vsupply /2. The common

mode voltage of the detected sEMG signal feeding the ampliers is the averaged DC electrodes

(1-3) voltage at node X (Fig. 4.13). Since the input network is not grounded, when a common

mode input voltage is applied, no currents ow through the network.

The low-pass lter of Sallen Key topology is implemented with a cuto of 2.5 kHz, with

R2=100 kΩ, R3=1 MΩ and C2, C3=33 pF. The structure is duplicated to allow two channel

operation. The reference voltages of the two comparators are kept separate to allow oset mismatch

compensation. Furthermore, the feedback resistors responsible for the amplier gain (R4) were not
4.5 MFCV Tracking ASIC Experimental Results 97

Figure 4.14: Die micro-photograph of the MFCV tracking ASIC.

implemented on the IC, but left to be completed with external components to allow gain exibility.

4.5 MFCV Tracking ASIC Experimental Results

The MFCV tracking ASIC micro-photograph is shown in Fig. 4.14. The layout area for the digital

core is 1122 µm by 494 µm and for the analogue front end is 800 µm by 500 µm, with a total area of

1 mm2 . 1 The total static and dynamic power consumption of the digital core (clocked at 10 kHz)
is 1 µW and of the analogue front end is 2.071 mW from a 3.3 V supply. The power consumption

of the analogue front end includes the power of two IAs, two high-pass lters and two bit-stream

converters.

4.5.1 System Validation

The characterization of the IA is performed at the output of the sEMG readout stage. Fig. 4.15a

shows that the measured integrated input-referred noise of the IA (0.1-2.5 kHz) is 3.579 µV with

nV
a noise oor at 135 √ . The high corner frequency (300 Hz) is due to the choice of standard cell
Hz

1 Layout and vhdl designs for sections of the bit-stream cross-correlator were designed by Vlad Cretu under the
direct supervision of Ermis Koutsos.
4.5 MFCV Tracking ASIC Experimental Results 98

Table 4.5: MFCV ASIC parameters, size and power breakdown (C35, 3.3V).
Core Measured Current Size
Analogue 616 µA 0.4 mm2
Digital 290 nA 0.554 mm
2

Biasing 11.5 µA -
Total Power 2.071 mW -

libraries for the ampliers. The noise measurements originate from a single chip due to limitations

on the number of dies. The MATLAB


® code used for the noise analysis is shown in Appendix C.

The sEMG has a range of 50 µV to 5 mV. Thus, the presented front end biopotential amplier

is more that adequate to amplify sEMG signals for the proposed application. Fig. 4.15b shows

the measured dierential and common-mode gain of the IA followed by the high-pass lter. The

external oating low pass lter was included during measurements. The resulting CMRR is 85.24

dB in the bandwidth of interest (83.1 dB at 50 Hz).

Following that, in order to verify the functionality of the system, the front end amplier was

disconnected from the digital core. Thus, the bit-stream cross correlator was tested on its own. Two

square signals of amplitude 3.3 Vp−p and frequency 100 Hz were placed on the inputs of Channel 1

and Channel 2. The aim was to resemble a prefect case scenario of amplied and digitised sEMG

signals. The reset clock (RST ) of the system was set to 1 Hz to maximise the correlation window

accuracy. The sampling clock (CLK ) was set to 10 kHz. Starting from a phase delay between

the two pulses of 0 s, it was gradually increased all the way to 3.9 ms with 1 µs increments. The

measured delay appeared on the output in the form of a step ladder. The mean time to switch from

one unit delay to another was 101.3 µs, close to the ideal time of 100 µs, resulting in a mean error

of less that 2 µs with a standard deviation of 7.4 µs.

A segment of the detected and amplied sEMG signals is shown in Fig. 4.16. The delay

between the two signals can be observed visually. However, the cross-correlator will perform this

task more accurately. It can be seen that Channel 1 and Channel 2, although have the same gain,

do not have the same peak-to-peak amplitude. If the middle electrode in bipolar (single dierential)

amplication was not shared, the amplitude mismatch would be worse. Since the cross-correlation

algorithm is not very sensitive to threshold levels it is believed that this issue did not pose any

problems. The bottom graph shows the output of the comparator from Channel 2 for reference.

The common mode of the two ampliers was not the same, due to amplied osets. An external C-R
4.5 MFCV Tracking ASIC Experimental Results 99

−5 60
10
Differential
−6
10 40
Common Mode

−7
135nV
Power spectrum (V/sqrt(Hz))

10
20

−8
10

Gain (dB)
0 CMRR = 85.24 dB
−9
10

−20
−10
10
Simulation
−11
Measurement −40
10

−12
10 −60
−4 −2 0 2 4 6 −1 0 1 2 3 4 5
10 10 10 10 10 10 10 10 10 10 10 10 10
Frequency (Hz) Frequency (Hz)

(a) (b)

Figure 4.15: (a) Input-referred noise measurement (red) and simulation (black) results of the IA
channel 1, (b) Common-mode rejection ratio measurement of the IA (chan. 1) using a 500mVpp input
signal.

lter restored this value to 1.65 V. Thus, the applied threshold can be the same for both channels

and must be larger that 1.65 + EM Gnoise .

A volunteer was asked to lift a 10 kg weight with their biceps while standing until exhaustion.

The sEMG signal was recorded from the inner left biceps brachii muscle. Furthermore, the test

subject was asked to perform the task two times repetitively. However, the subject was not given

enough time to completely rest between the two repetitions. Thus, some visible changes are expected

in the MFCV from these two repetitions. The trial results for the estimated delay are presented in

Fig. 4.17. It is clear that the second lift, the subject could not hold the weight for as long as in the

rst lift. Furthermore, the estimated delay starts from a higher value the second time, indicating that

the subject was already fatigued when again starting the test. The threshold for the comparators

was set to 1.655 V, such as it stands above the noise oor. To verify that, the comparator output

was being monitored. The goal was to set the threshold to the level that minimised any accidental

noise crossings.

In order to study the eect of threshold on MFCV estimation, the two amplied sEMG

signals from this test were saved at a sampling frequency of 2 kHz. Following that, using a waveform

generator (Tektronix AFG3000) the sEMG signals were played back to the system in a loop. This

way, it was made sure that the same response is expected every time. On every iteration, the

threshold voltage was increased. Consider Fig. 4.18 for the eect of V ref (threshold) on the
4.5 MFCV Tracking ASIC Experimental Results 100

Time Delay

Amplitude (V)

1.65

1.6

200 400 600 800 1000 1200 1400


Amplitude (V)

1.65

1.6

200 400 600 800 1000 1200 1400

3
Amplitude (V)

0
200 400 600 800 1000 1200 1400
Time (samples, f = 2KHz)

Figure 4.16: Top: sMEG segment from Channel 1, middle: sMEG segment from Channel 2. Delay
between the two channels is easily detectable by eye, bottom: Comparator output from channel 2 for
reference.

Static Contractions with resting phase


40
Cross−Correlation Delay in units (unit = 1/f, f = 10KHz)

35

30

25

20
Lack of Rest
15

10

5 Wrong
exercise
0
0 20 40 60 80 100 120 140 160 180
Time (sec)

Figure 4.17: Cross-correlation delay estimation under static contraction from rst test subject.
sEMG was recorded from the inner left biceps brachii. Sampling clock is 10 kHz and window size
clock is 1 Hz.
4.5 MFCV Tracking ASIC Experimental Results 101

Static Contraction EMG data playback with varying Vth from 1.654 V to 1.670 V
40

Cross−Correlation Delay in units (unit = 1/f, f = 6.25KHz)


35

30

25

20

15

10

0
0 100 200 300 400 500 600 700 800
Time (sec)

Figure 4.18: The recorded sEMG signals from Subject 2 are played back to the system using a
funciton generator. Thus, the eect of thresshold can be studied.

estimated delay. It can be seen that the optimum threshold lies within the region 1.657-1.667

V. The main limitation for the use of such a low sampling frequency was the on-board memory

of the waveform generators. It is believed that the limited resolution had a small impact on the

measurements.

4.5.2 Validation on Human Subjects

Twenty (20) volunteers (4 female, 16 male) were used to evaluate the performance of the MFCV

tracking ASIC. This work has been approved by the Imperial College Joint Research Compliance

Oce ethics committee (ICREC ref: 15IC2481).

4.5.2.1 Experimental Protocol

Subjects were seated or standing, depending on their preference, with the back and elbow xed

against a wall in order to minimise compensatory movements. Subjects were required to keep in

contact with the wall throughout the whole duration of the testing. Consider Fig. 4.19 for a graphical

representation of the experimental set-up. The subject specic calibration of EMG sensors involved

the performance of ve Maximum Voluntary Contractions (MVCs). Following that, the subjects

were asked to perform isometric contractions by pulling against a handle attached to an electronic

scale. The bottom end of the scale was attached to the ground. Subjects were required to sustain a
4.5 MFCV Tracking ASIC Experimental Results 102

Output Force

sEMG ch1

sEMG ch2
Electrodes

Bit-Stream
Detailed force
output with
limmits

Force Monitor
monitor
8-ch ADC PC

Amplified sEMG USB link

ASIC clk, SPI,


uC
threshold

Figure 4.19: Experimental set-up protocol. The subjects keep the force output constant and within
limmits with the aid of a visual, real-time representation of the applied force.

constant force, pre-set at 70% of their MVC force, for as long as they deemed possible. After the force

reading dropped more than 10% of the pre-set level, the experiment would stop. A normal luggage

scale was used as a force monitor. The scale was modied by adding an instrumentation amplier

to the scale's resistor bridge network that monitors force. The voltage output of the modied scale

was sampled by the same ADC that sampled the two amplied sEMG outputs of the MFCV ASIC.

A visual representation of the bit-stream allowed for correct threshold positioning. Furthermore,

a detailed and real-time plot of the force output with visible limits helped the subjects monitor

their force output and keep it steady between the acceptable limits. The force boundaries were

adjusted for each subject according to their MVC levels. Four disposable surface EMG electrodes

were placed on the skin of the participant's arm to monitor activity of the biceps brachii muscle,

according to Fig. 4.20. Prior to electrode placement, the skin was prepared by cleaning it with

medical alcohol. A detailed architectural diagram and a photograph of the experimental setup are

also shown in Fig. 4.20.

A requirement of the system is the positioning of the two independent thresholds for the bit-

stream converters. The thresholds could be placed at the common-mode voltage, as in the analysis
4.5 MFCV Tracking ASIC Experimental Results 103

System Architecture Left Bicept Brachii Experimental Setup

Innervation Zone
Faraday Cage

Myotendinous Zone
Battery
PCB uC Board
Clock IED
ASIC
SPI Data
1
Threshold 2 3
A Battery Pack
B
PCB with voltage regulator and floating filter

Muscle Fibre
USB Data

Dirrection
Vref

KL26z Freedom Board


Electrodes Faraday Cage
PC

Figure 4.20: Left: Architectural diagram of experimental setup. Middle: Electrode conguration for
bipolar single dierential sEMG amplication. Muscle zones are displayed. Right: Experimental setup
including microcontroller for data transmission, clock generation and threshold position. Faraday cage
used in noise measurements only.

of the modelled system. However, in order to avoid noise in the absence of sEMG to contribute to

MFCV estimation, the thresholds are placed just above the noise oor. The threshold levels should

be low enough to be closer to the base of the sEMG MUAP spike and at the same time be above

the peak noise amplitude. This could also be achieved with the use of a comparator with hysteresis.

4.5.2.2 Results from Human Trials

The accuracy of the ASIC is established by a direct comparison between the ASIC and MATLAB
® .

The amplied sEMG signal from the ASIC is recorded using a 16-bit ADC by ADInstruments. Fol-

lowing that, the MFCV is computed in MATLAB


® using the xcorr() function and then compared

to the ASIC estimate.

An example of the estimated MFCV from MATLAB


® and that measured form the ASIC

from one subject is presented in Fig. 4.21a. The subject starts the static contraction form a rested

m
state, with an MFCV of 6
s . As the subject gets tired, the MFCV drops to lower values, until the

point that the subject is completely fatigued and stops the experiment. The MFCV has reached a

m
new lower value of 4
s.

Table 4.6 presents the change in MFCV from a rested state to a complete fatigue state of the

muscle for all 20 subjects. The estimated values of MFCV decrease in all subjects during fatigue,

as seen in Table 4.6 (B), (C) by the relative change in MFCV from the ASIC and MATLAB
®
respectively. Although MFCV is not always monotonically decreasing, the end point is at a lower
4.5 MFCV Tracking ASIC Experimental Results 104

Table 4.6: Subject trial results: a) subject number, b) change in MFCV from chip, c)
change in MFCV from MATLAB® , d) MARD and e) MVC force.
A) # 1 2 3 4 5 6 7 8 9 10
B) (m/s) 1.13 1.40 2.38 2.13 1.59 3.01 1.64 4.11 0.88 4.80
C) (m/s) 1.22 1.71 2.66 3.28 1.69 3.48 1.32 2.93 1.01 5.47
D) % 1.12 1.77 1.26 2.56 2.67 6.47 2.37 3.04 0.93 9.64
E) Kg 19.5 23.0 23.5 23.5 13.2 23.5 15.0 13.5 20.5 16.0

A) # 11 12 13 14 15 16 17 18 19 20
B) (m/s) 1.78 5.72 2.6 20.67 1.32 2.13 0.48 1.09 3.35 2.28
C) (m/s) 2.14 5.73 2.85 18.41 1.44 2.27 2.15 0.99 3.54 2.35
D) % 1.60 2.08 1.86 5.38 1.34 1.39 3.11 3.63 6.78 3.70
E) Kg 28.5 27.6 25.4 24.6 36.0 32.0 19.0 34.0 35.0 17.6

Table 4.7: Comparison between MFCV physiological values.


Literature [17] [35] [36] [37] [38] [39] This
work
Mean/Range 5.1 4.2 2.6 4.64 2.53 4.4 5.4
MFCV (m/s)  5.3  4.87

value than the starting point in all subjects. The relative error between MATLAB
® and the ASIC

is estimated across the complete MFCV trends and not only on the relative change of MFCV and

is shown in Table 4.6 (D). Time lag is converted to velocity using Eq. 4.10, where CLK1 is the

sampling frequency, OutputDelay# is the ASIC delay estimate between 1-40 and IED is the Inter

Electrode Distance (Fig. 4.20, IED = 2 cm). The estimated MFCV values match the physiological

values reported in literature for a fatiguing isometric contraction [17, 3539]. The reported MFCV

values are compared with the MFCV values seen in this study in Table 4.7.

The correlation window was set to 1 second (CLK2=1 Hz) to maximise the accuracy of

the bit-stream cross-correlator. The sampling/delay clock was set to 6.25 kHz to establish a wide

MFCV dynamic range. The same parameters were imported in MATLAB


® for analysis. The

ASIC is found to have a Mean Absolute Relative Error (MARD) of 3.2%. Subject specic MARD

results are presented in Table 4.6. The two MFCV trends (MFCV: MATLAB
® , MFCV: ASIC)

are compared point by point and the distribution of comparison data is displayed in a box plot in

Fig. 4.21b.

m IED · CLK1


CV = (4.10)
sec OutputDelay#
4.5 MFCV Tracking ASIC Experimental Results 105

6.5
Matlab Simulation
35
MFCV ASIC
6
30

Absolute Relative Difference (%)


5.5
25
Rested State
MFCV (m/s)

5
20

4.5 15

4 10

5
3.5
Fatigued State
0
3
0 5 10 15 20 25 30 35 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20
Time (s) Subject Number (#)

(a) (b)

Figure 4.21: (a) MFCV trial results from subject 11. The rested state MFCV is 6 sec
m
and progresses
to 4 sec as the muscle fatigues, (b) Fatigue trial results comparison between MFCV tracking ASIC and
MATLAB® . The MARD is presented in percentile.
m

4.5.3 Discussion

The ASIC is capable of estimating MFCV with a mean relative error of 3.2% compared to

MATLAB
® analysis. A large proportion of the error can be attributed to the nature of the

bit-stream cross-correlator, as it resembles a time-to-digital converter. Hence, some error arises

by quantising in time with limited resolution, as the bit stream cross-correlator has a total of 40

quantisation values. Furthermore, misalignment between ASIC output data and MATLAB
® esti-

mations gives rise to unexpected error. Nevertheless, the system provides good estimation accuracy

which is suitable for the purposes of muscle fatigue monitoring. Increasing the number of delays

(above 40) will yield a wider dynamic range and allow higher sampling clock rate thus achieving

higher estimation accuracy. This however will have a direct impact on the ASIC size.

The systems performance specications are summarised in Table 4.8. The MFCV tracking

ASIC realises a real time cross-correlator with a very small footprint of 0.55 mm2 and an overall size

of less than 1 mm2 . Furthermore, it oers a large degree of freedom by allowing user exibility on the

correlation time window, sampling frequency and amplier gain. With a low power consumption

of 628 µA, the system is particularly suitable for wearable fatigue monitors. Implementation of

the sEMG cross-correlator using a low power microcontroller (Nordic Semiconductor nRF51822, 4

kHz ADC) yielded a signicantly larger dynamic power consumption of 4.8 mA. Implementing the

MFCV tracking algorithm in an ASIC enables the reduction of data transmission power costs, as
4.6 Wearable Muscle Fatigue Monitor 106

Table 4.8: Summary of MFCV tracking ASIC.


Technology 0.35 µm 2P4M
Circuit Size 0.954 mm2
Voltage Supply 3.3 V
Current Consumption Total: 628 µA
Bit-Stream Cross-Correlator: 290 nA
Biasing Circuits: 11.5µA
IA: 180µA
Low-Pass Filter: 60µA
Bit-Stream Converter: 68µA
CMRR 85.24 dB
Gain Adjustable (set to 111)
Cut-o frequencies 10.6 Hz, 2.5 kHz internal
Input Referred Noise Density nV
135 √
Hz
Correlation window Adjustable by CLK2
Estimation Accuracy Dependable on CLK1
MFCV dynamic range Dependable on IED & CLK1
Number of Delays 40
MARD Error 3.2 %

well as area, without the need for EMG compression algorithms [54, 55]. Moreover, wearable devices

benet from integrated, low power solutions, allowing portability and prolonged use. The resulting

small error of the proposed system supports that the system is capable of accurately estimating

MFCV during static contractions.

4.6 Wearable Muscle Fatigue Monitor

The MFCV tracking ASIC was integrated into a miniaturised PCB bearing a microcontroller in an

eort to design a complete monitoring platform for muscle fatigue. The board featured BLE com-

munication and was controlled by a custom designed Android application. Following that, a custom

3D printed case was developed to hold the electronics and interface them with the electrodes. This

eort manifested to the creation of the rst wearable device dedicated to muscle fatigue tracking .
2

The wearable node was designed to interface with the commercially available, low cost AMBU

Neuroline (72000-S/25) self adhesive, gel electrodes. The chosen electrodes are widely available and

since they are self adhesive, they would be responsible for attaching and holding the wearable device

in place. First, the four electrodes (3 for sensing, 1 for biasing) were arranged in a pattern that

minimises their footprint. A rough sketch of the electrode area and position on paper is shown in

2 The wearable device PCB and the control application were designed by Jack Heaey under the direct supervision
of Ermis Koutsos.
4.6 Wearable Muscle Fatigue Monitor 107

Optimu s
Placeholder

62.00 mm
nR F5182 2

DAC

40.00 mm EEP ROM

(a) (b) (c) (d)

Figure 4.22: (a) Minimum area for 4 electrode conguration. (b) PCB dimensions constrains based
on 4 electrode conguration. (c) Bottom side of the case with electrode snap sockets. (d) Completed
PCB with soldered components.

Fig. 4.22a. This area would dene the maximum dimensions for the wearable device, as seen in

Fig. 4.22b. In order for the electrodes to be interchangeable, an electrode attaching mechanism

with snap sockets was designed and 3D printed, shown in Fig. 4.22c. The distance between each

electrode is 2 cm. As the ASIC requires one electrode to be the furthest from the innervation

zone, the wearable contains a slide switch that swaps the internal connections of the two furthest

electrodes. Consequently, the wearable can be used in any orientation on the human body, allowing

it to be worn in a more comfortable position. The developed two-sided PCB would hold the following

components; the MFCV tracking ASIC, power managements circuits (regulator, battery charger),

USB UART communication controller, on-board Electrically Erasable Programmable Read-Only

Memory (EEPROM), a microcontroller with Bluetooth Low Energy (BLE) capabilities, a Digital

to Analogue Converter (DAC), signal buers and diagnostics ribbon connectors. The miniatured

PCB with the components soldered on top can be seen in Fig. 4.22d.

The wearable contains a two-layer PCB with a gold nish, as the gold wires are used to

bond the ASIC to the PCB. The Muscle Fatigue Monitor wearable utilises a Nordic Semiconductor

nRF51822 2.4 GHz Bluetooth Low Energy System-on-Chip (SoC), which oers 256 KB of ash

memory and 16 KB of RAM in a 6 by 6 mm QFN-48 package. To sample the sEMG signal, the

10-bit ADC of the nRF51822 is used with a 1.2 V reference. To set the comparator threshold voltage

and the common mode voltage, the nRF51822 uses its SPI capabilities to write to the Microchip

MCP4822 dual DAC. The wearable is powered by a 3.7 V Lithium-Polymer rechargeable battery,

with a capacity of 300 mAh. With the battery weighing just 7 g, the wearable is light-weight and

does not hinder movement. The wearable is recharged via a USB Mini Type B connector. As all
4.6 Wearable Muscle Fatigue Monitor 108

Figure 4.23: Exploded view of the case with the PCB inside.Snap sockets are screwed in place in
the hole oppenings. The device is attached on the user by the electrode adhesive.

of the components on the Muscle Fatigue Monitor wearable PCB require a 3.3 V supply, the 3.7

V supply from the battery is steppeddown to 3.3 V using a Diodes Incorporated AP7333-33SAG-

7 voltage regulator. If streaming the sEMG signal to the Android application continuously, the

wearable has a battery life of 27 h. The nRF51822 also communicates with a Microchip 25LC1024

EEPROM via SPI. The EEPROM has a memory capacity of 128 KB in a Small Outline Integrated

Circuit (SOIC) package. If the wearable is not connected to Android and collecting delay values

from the ASIC and storing them in the EEPROM, the wearable has a battery life of 64 h. If the

correlation window has a frequency of 1 Hz, one delay value will be obtained from the ASIC per

second. Consequently, the 128 KB EEPROM would be able to store 36 hours worth of data. The

completed PCB inside its 3D printed case is shown in Fig. 4.23.

The Android application provides the user interface to the wearable. When the application

acquires data from the wearable, it stores the data in a dierent directory for each registered user.

After connecting to the wearable, the Android application allows the user to set the comparator

threshold voltage and the common mode voltage. The user can also change the sampling frequency

and correlation time window. The calibration tab is shown in Fig. 4.24a. After setting the threshold

voltage or during an auto-calibration, the output of the comparator at the end of the delay path is

streamed to the Android application. The Android application can stream both the sampled sEMG

and the MFCV values from the ASIC. Alternatively, it can stream only the MFCV values if the user

wishes to save power. The sEMG and delay values are plotted on graphs, as shown in Fig. 4.24b.

After a live stream is halted by the user, the received sEMG and/or MFCV values are saved to

a CSV le. By pressing the button at the bottom right of Fig. 4.24b, the delay values that have

been written to the EEPROM since the last time this button was pressed are sent to the Android
4.7 Summary 109

(a) (b)

Figure 4.24: (a) Calibrate parameters tab of the Android application. The used can specify the
common mode voltage, threshold voltage, sampling frequency and correlation window, (b) Live cap-
ture tab of the Android application. The user can visualise sEMG and muscle fatigue data, as well
as EEPROM saved data and choose to export the recorded values.

(a) (b)

Figure 4.25: (a) Wearable Muslce Fatigue Tracking System, showning the Android application and
the wearable device, (b) Complete Muslce Fatigue Tracking System, showning the Android application
and the wearable device attached on a user.

application and saved to a CSV le. Instead of using the Android application to capture sEMG

and fatigue data, the wearable can stream data directly to MATLAB
® via USB. The wearable is

light and unobtrusive (Fig. 4.25a) and it can be seen attached on a user in Fig. 4.25b. Table 4.9

summarises the specications of the developed muscle fatigue tracking wearable device.

4.7 Summary

This chapter presented a rst of its kind MFCV tracking ASIC and its detailed implementation and

operation. The MFCV ASIC utilises a novel bit stream approach that greatly simplies the sEMG

signal without any loss of information, thus reducing computational complexity and minimising
4.7 Summary 110

Table 4.9: Summary of Muscle Fatigue Tracking Wearable Device.


Power consumption during EMG/fatigue stream 41.48 mW
Battery life for continuous EMG/fatigue streaming 27 h
Power consumption with BLE continuously inactive 17.43 mW
Battery life with BLE inactive 64 h
10-bit ADC LSB 1.172 mV
EEPROM fatigue data capacity 130816 values

power consumption. The developed ASIC is capable of tracking MFCV from surface EMG signals

using the method of cross-correlation. The system consists of a sEMG instrumentation amplier, a

ltering stage, a bit-stream converter and a bit-stream cross-correlator. A test group of 20 people

was used to demonstrate the ability of the proposed bit-stream correlator to accurately estimate

MFCV in real time during static fatiguing contractions. The ASIC has a MARD error of 3.2 %

compared to MATLAB
® analysis for the same dataset. The system draws 628 µA from a 3.3 V

power supply and is implemented in a commercially available 0.35 µm CMOS technology. Hence

this is the rst working and validated System-on-Chip for muscle fatigue monitoring.

The ASIC was embedded in a Muscle Fatigue Monitoring wearable device capable of stream-

ing live sEMG and muscle fatigue data wirelessly to a user controlled smart-phone application using

low power Bluetooth technology. The wearable node is lightweight and attached to the skin through

the self adhesive, pre-gelled, commercial surface electrodes. When the node is not transmitting the

two sEMG channels, the operational time is not limited by the battery life but by the onboard

memory.
REFERENCES 111

References
[1] A. Fuglsang-Frederiksen, The utility of interference pattern analysis, Muscle & nerve, vol. 23,
no. 1, pp. 1836, 2000.

[2] T. Masuda, H. Miyano, and T. Sadoyama, The measurement of muscle ber conduction ve-

locity using a gradient threshold zero-crossing method, Biomedical Engineering, IEEE Trans-
actions on, no. 10, pp. 673678, 1982.

[3] D. A. Gabriel, J. R. Basford, and K.-N. An, Assessing fatigue with electromyographic spike

parameters, Engineering in Medicine and Biology Magazine, IEEE, vol. 20, no. 6, pp. 9096,

2001.

[4] K. Masuda, T. Masuda, T. Sadoyama, M. Inaki, and S. Katsuta, Changes in surface emg

parameters during static and dynamic fatiguing contractions, Journal of Electromyography


and Kinesiology, vol. 9, no. 1, pp. 3946, 1999.

[5] D. Farina and R. Merletti, Methods for estimating muscle bre conduction velocity from

surface electromyographic signals, Medical and biological Engineering and Computing, vol. 42,
no. 4, pp. 432445, 2004.

[6] E. Koutsos and P. Georgiou, An analogue instantaneous median frequency tracker for emg

fatigue monitoring, in Circuits and Systems (ISCAS), 2014 IEEE International Symposium
on, pp. 13881391, IEEE, 2014.

[7] M. Stana¢evi¢, S. Li, and G. Cauwenberghs, Micropower mixed-signal vlsi independent com-

ponent analysis for gradient ow acoustic source separation, IEEE Transactions on Circuits
and Systems I: Regular Papers, vol. 63, no. 7, pp. 972981, 2016.

[8] P. Julián, A. G. Andreou, and D. H. Goldberg, A low-power correlation-derivative cmos vlsi

circuit for bearing estimation, IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, vol. 14, no. 2, pp. 207212, 2006.

[9] P. Julián, A. G. Andreou, L. Riddle, S. Shamma, D. H. Goldberg, and G. Cauwenberghs, A

comparative study of sound localization algorithms for energy aware sensor network nodes,

IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 51, no. 4, pp. 640648,

2004.
REFERENCES 112

[10] M. M. Lowery, C. L. Vaughan, P. J. Nolan, and M. J. O'Malley, Spectral compression of the

electromyographic signal due to decreasing muscle ber conduction velocity, Rehabilitation


Engineering, IEEE Transactions on, vol. 8, no. 3, pp. 353361, 2000.

[11] G. Dimitrov, Z. Lateva, and N. Dimitrova, Eects of changes in asymmetry, duration and

propagation velocity of the intracellular potential on the power spectrum of extracellular po-

tentials produced by an excitable ber., Electromyography and clinical neurophysiology, vol. 28,
no. 2-3, p. 93, 1988.

[12] N. Dimitrova and G. Dimitrov, Interpretation of emg changes with fatigue: facts, pitfalls, and

fallacies, Journal of Electromyography and Kinesiology, vol. 13, no. 1, pp. 1336, 2003.

[13] L. Arendt-Nielsen and K. Mills, The relationship between mean power frequency of the emg

spectrum and muscle bre conduction velocity, Electroencephalography and clinical Neurophys-
iology, vol. 60, no. 2, pp. 130134, 1985.

[14] R. Merletti, M. Knaitz, and C. J. De Luca, Myoelectric manifestations of fatigue in voluntary

and electrically elicited contractions, J Appl Physiol, vol. 69, no. 5, pp. 181020, 1990.

[15] W. H. Linssen, D. F. Stegeman, E. M. Joosten, S. L. Notermans, M. A. van't Hof, and R. A.

Binkhorst, Variability and interrelationships of surface emg parameters during local muscle

fatigue, Muscle & nerve, vol. 16, no. 8, pp. 849856, 1993.

[16] F. B. Stulen and C. J. De Luca, Frequency parameters of the myoelectric signal as a measure

of muscle conduction velocity, Biomedical Engineering, IEEE Transactions on, no. 7, pp. 515
523, 1981.

[17] M. Zwarts, T. Van Weerden, and H. Haenen, Relationship between average muscle bre con-

duction velocity and emg power spectra during isometric contraction, recovery and applied

ischemia, European journal of applied physiology and occupational physiology, vol. 56, no. 2,

pp. 212216, 1987.

[18] G. McVicar and P. Parker, Spectrum dip estimator of nerve conduction velocity, Biomedical
Engineering, IEEE Transactions on, vol. 35, no. 12, pp. 10691076, 1988.

[19] E. Spinelli, C. Felice, M. Mayosky, J. Politti, and M. Valentinuzzi, Propagation velocity mea-

surement: autocorrelation technique applied to the electromyogram, Medical and Biological


Engineering and Computing, vol. 39, no. 5, pp. 590593, 2001.
REFERENCES 113

[20] D. Farina, M. Pozzo, E. Merlo, A. Bottin, and R. Merletti, Assessment of average muscle

ber conduction velocity from surface emg signals during fatiguing dynamic contractions,

Biomedical Engineering, IEEE Transactions on, vol. 51, no. 8, pp. 13831393, 2004.

[21] I. Hunter, R. Kearney, and L. Jones, Estimation of the conduction velocity of muscle ac-

tion potentials using phase and impulse response function techniques, Medical and Biological
Engineering and Computing, vol. 25, no. 2, pp. 121126, 1987.

[22] D. Farina and R. Merletti, Comparison of algorithms for estimation of emg variables during

voluntary isometric contractions, Journal of Electromyography and Kinesiology, vol. 10, no. 5,
pp. 337349, 2000.

[23] D. Farina and R. Merletti, A novel approach for estimating muscle ber conduction veloc-

ity by spatial and temporal ltering of surface emg signals, Biomedical Engineering, IEEE
Transactions on, vol. 50, no. 12, pp. 13401351, 2003.

[24] D. Farina and D. Falla, Estimation of muscle ber conduction velocity from two-dimensional

surface emg recordings in dynamic tasks, Biomedical Signal Processing and Control, vol. 3,

no. 2, pp. 138144, 2008.

[25] P. Parker and R. Scott, Statistics of the myoelectric signal from monopolar and bipolar elec-

trodes, Medical and biological engineering, vol. 11, no. 5, pp. 591596, 1973.

[26] M. Schwartz, ed., EMG Methods for Evaluating Muscle and Nerve Function, ch. 2, 9, 22.

InTech, 2012.

[27] G. Kamen and D. Gabriel, Essentials of electromyography. Human Kinetics, 2010.

[28] M. Barbero, R. Merletti, and A. Rainoldi, Atlas of Muscle Innervation Zones. Springer, 2011.

[29] R. Merletti and L. L. Conte, Advances in processing of surface myoelectric signals: Part 1,

Medical and Biological Engineering and Computing, vol. 33, no. 3, pp. 362372, 1995.

[30] H. Broman, G. Bilotto, and C. J. De Luca, A note on the noninvasive estimation of muscle

ber conduction velocity, IEEE transactions on biomedical engineering, vol. 5, no. BME-32,

pp. 341344, 1985.

[31] R. Merletti and L. R. L. Conte, Surface emg signal processing during isometric contractions,

Journal of Electromyography and Kinesiology, vol. 7, no. 4, pp. 241250, 1997.


REFERENCES 114

[32] anatomy diagram, Arm muscles, 2015.

[33] T. S. Lande, T. G. Constandinou, A. Burdett, and C. Toumazou, Running cross-correlation

using bitstream processing, Electronics letters, vol. 43, no. 22, pp. 11811183, 2007.

[34] T.-D. Chiueh, P.-Y. Tsai, and I.-W. Lai, Baseband Receiver Design for Wireless MIMO-OFDM
Communications. John Wiley & Sons, 2012.

[35] T. Sadoyama, T. Masuda, and H. Miyano, Relationships between muscle bre conduction

velocity and frequency parameters of surface emg during sustained contraction, European
Journal of Applied Physiology and Occupational Physiology, vol. 51, no. 2, pp. 247256, 1983.

[36] S. Andreassen and L. Arendt-Nielsen, Muscle bre conduction velocity in motor units of the

human anterior tibial muscle: a new size principle parameter., The Journal of Physiology,
vol. 391, no. 1, pp. 561571, 1987.

[37] T. Sadoyama, T. Masuda, H. Miyata, and S. Katsuta, Fibre conduction velocity and bre

composition in human vastus lateralis, European journal of applied physiology and occupational
physiology, vol. 57, no. 6, pp. 767771, 1988.

[38] X. Ye, T. Beck, and N. Wages, Relationship between innervation zone width and mean muscle

ber conduction velocity during a sustained isometric contraction, Journal of musculoskeletal


& neuronal interactions, vol. 15, no. 1, pp. 95102, 2015.

[39] M. Naeije, Estimation of the action potential conduction velocity in human skeletal muscle

using the surface emg cross-correlation technique, Electromyogr Clin Neurophysiol, vol. 23,

pp. 7380, 1983.

[40] S. Henzler, Time-to-digital converter basics, in Time-to-Digital Converters, pp. 518,

Springer, 2010.

[41] R. Pallas-Areny, Interference-rejection characteristics of biopotential ampliers: a comparative

analysis, Biomedical Engineering, IEEE Transactions on, vol. 35, no. 11, pp. 953959, 1988.

[42] J. H. Nagel, Biopotential ampliers, The Biomedical Engeneering Handbook, pp. 11851195,

1995.

[43] M. J. Burke and D. T. Gleeson, A micropower dry-electrode ecg preamplier, Biomedical


Engineering, IEEE Transactions on, vol. 47, no. 2, pp. 155162, 2000.
REFERENCES 115

[44] E. M. Spinelli, N. H. Martinez, and M. A. Mayosky, A single supply biopotential amplier,

Medical engineering & physics, vol. 23, no. 3, pp. 235238, 2001.

[45] E. M. Spinelli, N. Martínez, M. A. Mayosky, and R. Pallàs-Areny, A novel fully dierential

biopotential amplier with dc suppression, Biomedical Engineering, IEEE Transactions on,


vol. 51, no. 8, pp. 14441448, 2004.

[46] E. M. Spinelli, R. Pallàs-Areny, and M. A. Mayosky, Ac-coupled front-end for biopotential

measurements, Biomedical Engineering, IEEE Transactions on, vol. 50, no. 3, pp. 391395,

2003.

[47] R. F. Yazicioglu, S. Kim, T. Torfs, H. Kim, and C. Van Hoof, A 30 w analog signal processor

asic for portable biopotential signal monitoring, Solid-State Circuits, IEEE Journal of, vol. 46,
no. 1, pp. 209223, 2011.

[48] N. Verma, A. Shoeb, J. Bohorquez, J. Dawson, J. Guttag, and A. P. Chandrakasan, A micro-

power eeg acquisition soc with integrated feature extraction processor for a chronic seizure

detection system, Solid-State Circuits, IEEE Journal of, vol. 45, no. 4, pp. 804816, 2010.

[49] R. R. Harrison and C. Charles, A low-power low-noise cmos amplier for neural recording

applications, Solid-State Circuits, IEEE Journal of, vol. 38, no. 6, pp. 958965, 2003.

[50] R. Yazicioglu, P. Merken, R. Puers, and C. Van Hoof, A 60 uw 60 nv/ hz readout front-end

for portable biopotential acquisition systems, Solid-State Circuits, IEEE Journal of, vol. 42,

no. 5, pp. 11001110, 2007.

[51] R. F. Yazicioglu, P. Merken, R. Puers, and C. Van Hoof, A 200 w eight-channel eeg acquisi-

tion asic for ambulatory eeg systems, Solid-State Circuits, IEEE Journal of, vol. 43, no. 12,

pp. 30253038, 2008.

[52] T. Denison, K. Consoer, W. Santa, A.-T. Avestruz, J. Cooley, and A. Kelly, A 2 uw 100

nv/rthz chopper-stabilized instrumentation amplier for chronic measurement of neural eld

potentials, Solid-State Circuits, IEEE Journal of, vol. 42, no. 12, pp. 29342945, 2007.

[53] C. J. De Luca, L. Donald Gilmore, M. Kuznetsov, and S. H. Roy, Filtering the surface emg

signal: Movement artifact and line noise contamination, Journal of biomechanics, vol. 43,

no. 8, pp. 15731579, 2010.


REFERENCES 116

[54] A. M. Dixon, E. G. Allstot, D. Gangopadhyay, and D. J. Allstot, Compressed sensing system

considerations for ecg and emg wireless biosensors, Biomedical Circuits and Systems, IEEE
Transactions on, vol. 6, no. 2, pp. 156166, 2012.

[55] J. Zhang, Y. Suo, S. Mitra, S. P. Chin, S. Hsiao, R. F. Yazicioglu, T. D. Tran, and R. Etienne-

Cummings, An ecient and compact compressed sensing microsystem for implantable neural

recordings, Biomedical Circuits and Systems, IEEE Transactions on, vol. 8, no. 4, pp. 485496,
2014.
117

Chapter 5

A MFM ASIC exploring the iMDF and


Zero Crossings relationship

5.1 Introduction

T
HERE is still a lot to learn about the physiological changes during fatigue and how they

are conveyed though the surface EMG (sEMG) signal. As shown in Chapter 2, during

fatigue the conduction velocity of the Motor Unit Action Potentials (MUAPs) changes. It appears

as if the MUAPs slow down", hence the sEMG spikes become broader. In addition, the ring

rate decreases and fast twitch bres give up while slow twitch bres take over. However, the exact

mechanisms behind these changes are not fully understood yet. It has been reported in the literature

that the Zero Crossing Rate (ZCR), mean spike duration and spike counting are closely related to

instantaneous Median Frequency (iMDF) and correlate well with muscle fatigue [17]. Moreover

the sEMG-force relationship as well as the Inter Spike Interval (ISI) [8, 9] can attribute to changes

in the MU ring rate.

All these changes are time based, yet they collectively manifest themselves as a frequency

shift to lower values and the iMDF is the best metric to track that spectral compression. Tracking

that compression is achieved by looking into the frequency content of the signal either using Short

Time Fourier Transform (STFT) or with the use of systems demonstrated in Chapter 3. In Chap-

ter 4, the use of bit-stream processing has proven benecial in terms of computational complexity

and robustness, and showed potential in simplifying the sEMG signal without loss of information.

Therefore, this approach can potentially combine the advantages of bit-stream processing with the

unique insight of iMDF in fatigue analysis.

This chapter exploits time domain parameters to approximate the iMDF metric. As stated
5.2 Median Frequency and sEMG Spike Duration 118

in the literature (Chapter 2), the sEMG signal is composed by a stream of superimposed MUAPs.

Hence, it is possible to establish a link between iMDF and the time distribution of the sEMG

spikes. This chapter presents a novel realisation of an Application Specic Integrated Circuit (ASIC)

dedicated to conditioning the sEMG signal and extracting fatigue related information through a

dierent metric and mixed signal processing techniques.

5.2 Median Frequency and sEMG Spike Duration

So far, two closed loop systems were presented which track the median frequency of the sEMG

power spectrum by processing the recorded signal in the frequency domain (Chapter 3). Processing

the sEMG signal in the frequency domain increases the systems robustness to Signal-to-Noise Ratio

(SNR) and the estimated iMDF values reect the true median of the power spectrum. However,

processing in the frequency domain can have an impact on system complexity, design tolerances

and overall power consumption. These can be leveraged by the appropriate use of time domain

methods to approximate the spectral changes the sEMG signal undergoes under fatigue.

It is well established that there is a dependence of the EMG spectrum on the propagation

velocity of the MUAPs [1, 10]. The EMG power spectrum can be written in the form shown in

Eq. 5.1 bellow:

 
1 fd
Pf = G (5.1)
v2 v

where v is the propagation velocity of the MUAPs, f the frequency, Pf the recorded spectrum, d the
 
fd
surface electrode distance and G v includes the spectrum of the MUAPs and other geometrical

factors. Thus, the observed median frequency shift is proportional to the MUAPs velocity [1].

The sEMG amplitude appears random in nature as the random inter-pulse intervals and

amplitudes result from the superposition of many independent pulse trains of individual Motor Units

(MUs). Hence, the sEMG signal is aected by the ring rate of the MUs (0-20 Hz) [11]. The ring

rate mainly aects the power spectrum for frequencies up to 40-80 Hz. Above that point, other

factors including conduction velocity, muscle type/size, electrode distance and muscle chemistry

dene the spectrum shape and position [8, 9]. During fatigue, the ring rate of most motor units

decreases [12]. Fast twitch muscle bres dominate high force production but get easily fatigued and

drop-out. This leaves fatigue resistant, slow twitch muscle bres with smaller amplitudes, deeper
5.2 Median Frequency and sEMG Spike Duration 119

in the muscle and slower conduction velocities [5]. Furthermore, MUAP durations increase during

peripheral fatigue due to decreased muscle bre conduction velocities, while MUAP amplitudes

either increase or decrease [13]. The low-frequency range of the myoelectric power spectrum depends

on the inter-pulse interval and is inuenced by fatigue, as it increases with fatigue [1]. As a result,

MU ring rate and MUAP spike durations accurately reect the changes in the sEMG properties

and the compression of the sEMG power spectrum under fatigue.

For this reason, several metrics including the number of spikes,zero crossings, turns and peaks

per unit time have been used as indicators of muscle fatigue [5, 14]. Specically, Inbar et al [1]

showed that a simple computational measure, the ZCR, can be used as a reliable monitor of surface

EMG spectral changes. The ZCR or zero-crossing frequency fz of the sEMG signal is dened as

half the number of zero crossings per second [1, 7]. The relationship between ZCR and the signal

power spectrum was dened by Rice [15] as shown in Eq. 5.2 below:

"R f
s /2
#
0 f 2 S(f )df
Zf = 2 R fs /2 (5.2)
0 S(f )df

where S(f ) is the Power Spectral Density (PSD) of the signal and fs is the sampling frequency.

The ZCR showed properties close to instantaneous Mean Frequency (iMNF) of the sEMG power

spectrum [7] and Inbar et al [1] provided a relationship between ZCR and iMNF, shown in Eq. 5.3,

where σ2 is the variance of the power spectrum and Fa the mean frequency. As expected, time

window length determined the variance and sensitivity of the ZCR to spectral variations.

Zf = 2(σ 2 + Fa2 )1/2 (5.3)

As seen from Eq. 5.3, the ZCR can reect changes in mean frequency. Similarly, the distribu-

tion of the time distance between crossings can oer a unique insight in the velocity of the MUAPs,

the ring rate and hence the progressive compression of the power spectrum during fatigue. Since

the sEMG signal is a superposition of several MUAPs and closely related to their velocity, then

the spike duration will be closely linked to the properties of the sEMG signal under fatigue and its

power spectrum. Examining the time duration properties of the sEMG crossings can oer a more

detailed look into sEMG properties. The aim is to get a representative and accurate reading and

insight of the spectral behaviour of the sEMG signal and the median frequency better reects the

spectral changes of the sEMG signal during fatigue [12, 1618].


5.2 Median Frequency and sEMG Spike Duration 120

0.4

0.3

0.2

0.1

sEMG Amplitude (V)


Z1 Z2 Z3 Z4 Z5 Z6

T2 T4
0
T1 T3 T5

-0.1

-0.2

-0.3
0 50 100
Time (samples)

Figure 5.1: sEMG segment indicating the zero crossings and the time interval between these
crossigns.

The Mean Spike Length (MSP) has been used as an estimator of fatigue in the past [19].

However, MSP is calculated from the number of crossings in a given window and is based on the

assumption that all crossing durations are equally spaced with a linear distribution among them.

Looking into the actual distribution of the time distance between the individual crossings [5] and

estimating their median value will yield a metric of fatigue comparable to the true median frequency

of the myoelectric power spectrum, as well as provide a detailed insight to the sEMG physical

changes during fatigue. Fig. 5.1 shows the sEMG zero crossings and the time distance between

these crossings used for sEMG fatigue analysis. This new metric will be dened as Spike Duration

based Median Frequency approximation (SDMF, in Hz) and will be dened as the reciprocal of

1
twice the median length of the crossings, SDM F = 2τ̃ .

The SDMF from three biceps brachii sEMG recordings of three dierent subjects was com-

puted using MATLAB


® and compared to the iMDF. The SDMF and iMDF plots can be seen in

Fig. 5.2 and the corresponding R, R2 and RM S values in Table 5.1. It is easily observed that

the changes in the power spectrum expressed by iMDF are reected with great detail in SDMF.

Although the absolute frequencies do not match, there is a strong relationship between the two

frequency trends. This observation is further supported by the computed R and R2 values between

iMDF and SDMF, where the correlation between them is above 90%.

The recording of the sEMG signal is very susceptible to the movement of the electrodes,

the recording device or the electrode leads. Residual artefacts such as motion artefacts, spikes and
5.2 Median Frequency and sEMG Spike Duration 121

180 75 110
MDF
160 SDMF
70 100
Smooth MDF
Smooth SDMF
140
65 90

120
60 80
Frequency (Hz)

100
55 70
80
50 60
60

45 50
40

20 40 40

0 35 30
0 20 40 60 80 100 120 140 0 20 40 60 80 100 0 10 20 30 40 50 60 70
Time (s) Time (s) Time (s)

(a) (b) (c)


Figure 5.2: SDMF and iMDF plots of biceps brachii sEMG recordings of three dierent subjects
(a), (b), (c) respectively).

Table 5.1: Correlation coecient values between the original and smoothed iMDF and
SDMF.
Subject 1 Subject 2 Subject 3
Direct Smooth Direct Smooth Direct Smooth
R 0.95 0.99 0.97 0.99 0.94 0.99
R2 0.90 0.98 0.93 0.99 0.88 0.99
RMS 5.77 1.38 2.42 0.53 3.82 1

other sources of low freq noise have to be identied and removed prior to processing the recording.

A test signal was constructed by inserting a 10 Hz sine wave segment at regular intervals in a

unaltered (clean") and ltered sEMG signal. The resulting test signal is shown in Fig. 5.3a. The

test signal resembles an extreme case of an sEMG recoding with the presence of motion artefacts.

It was constructed for the purpose of evaluating SDMF susceptibility to artefacts. Estimation of

the iMDF of the altered sEMG using normal frequency analysis tools (such as the computation of

the periodogram) without prior removal of the artefacts would result to a biased estimate that does

not reect the spectral content of the original sEMG signal. This can be observed in Fig. 5.3b.

The presence of low frequency artefacts can signicantly alter measurements of mean values and

concentrations, such as ZCR, mean spike length and peak and spike counting. The median of a set

of data is dened as the number halfway into the set after the data have been arranged in order

from least to greatest. Thus, the median is less sensitive to outlier values and can provide a more

reliable and accurate approximation of the power spectrum even in extreme recordings than other

metrics computing mean concentrations. This can be observed from Fig. 5.4 and Table 5.2, where

the same altered sEMG signal was used to estimate the SDMF and compared to the iMDF of the

original, unaltered, sEMG signal. It is clear that the SDMF can tolerate the presence of outlier
5.2 Median Frequency and sEMG Spike Duration 122

0.15
0.15 8080

sMEG
sMEG
7070 sEMG
sEMG
& artefacts
& artefacts
0.1
0.1

6060

0.05
0.05
sEMG Amplitude (V)
sEMG Amplitude (V)

5050

iMDF (Hz)
iMDF (Hz)
00 4040

3030
-0.05
-0.05

2020

-0.1
-0.1
1010

-0.15
-0.15 00
00 2000
2000 4000
4000 6000
6000 8000
8000 10000
1000012000
1200014000
1400016000
16000 00 2020 4040 6060 8080 100
100 120
120 140
140 160
160 180
180
Time
Time
(samples)
(samples) Time
Time
(samples)
(samples)

(a) (b)

Figure 5.3: (a) sEMG segment showing the addition of low frequency (10 Hz) motion artefacts, (b)
iMDF computed for the unaltered and the altered sEMG signal.

Table 5.2: Correlation coecient values between the original and smoothed iMDF and
SDMF with the presence of simulated motion artefacts.
Subject 1 Subject 2 Subject 3
Direct Smooth Direct Smooth Direct Smooth
R 0.89 0.98 0.90 0.99 0.76 0.97
R2 0.79 0.97 0.83 0.98 0.59 0.95
RMS 8.58 2.88 3.98 1.17 7.32 2.46

values and provide a representable and accurate reading, as a strong correlation with iMDF was

observed with values higher than 75%. The advantages of the SDMF metric are presented bellow:

Bit-stream based, mixed signal (robust to process variations) processing,

Closely reects the slowing down of MUAPs,

Not aected by high frequency noise,

Increased robustness to motion artefacts,

Robust to outlier values,

Reduced complexity over iMDF methods,

Potentially lower power than iMDF methods.


5.2 Median Frequency and sEMG Spike Duration 123

180 75 110
MDF
160 SDMF
70 100
Smooth MDF
Smooth SDMF
140
65 90

120
60 80
Frequency (Hz)

100
55 70
80
50 60
60

45 50
40

20 40 40

0 35 30
0 20 40 60 80 100 120 140 0 20 40 60 80 100 0 10 20 30 40 50 60 70

Time (s) Time (s) Time (s)

(a) (b) (c)


Figure 5.4: SDMF and iMDF plots of biceps brachii sEMG recordings of three dierent subjects
(a), b), (c) respectively). The SDMF was computed using the artefact infected sEMG signal and the
iMDF using the clean sEMG signal.

5.2.1 Algorithm for Spike Duration Based iMDF Approximation

In order to estimate the distribution and the median value of the time duration between zero

crossings, as seen in Fig. 5.1, the sEMG signal is converted to a series of pulses (bit-stream) with

the aid of a Pulse Width Modulator (PWM). In the presented application, the PWM is a simple

comparator, with the threshold set to the common mode of the amplied signal. The resulting

positive (Fig. 5.1, red periods) and negative (Fig. 5.1, green periods) pulses (toggles) are processed

separately. With the aid of a Pulse Length Detector (PLD), each toggle's length can be estimated.

The PLD calculates the toggle length concentration that is equal to several predened time constants

τ. The aim is to nd the required τ such as the number of toggles with length T >τ is equal to

the number of toggles with length T < τ. This is accomplished by comparing the total numbers

of toggles with the number of toggles with periods T >= τ . Consider Fiq. 5.5 for an architectural

block diagram illustration of the proposed algorithm. By progressively counting toggles with longer

length, starting from a small τ and increasing it, the distribution of toggle lengths is approximated.

For any probability distribution, the medial is by denition any real number k that P (X ≤ k) =
Rk 1
P (X ≥ k) = −∞ f (x)dx = 2 . Hence, the relationship between the counters and τ̃ is given by

τ̃ = min( Counter
2
1
− Counter2 ).

The PLD consists of a series of delay and overlap stages. Each stage progressively delays

the input bit-stream and compares the delayed version with the original undelayed one. Consider

Fiq. 5.6, where (a) is the undelayed positive toggle bit-stream, (b) the undelayed negative toggle

bit-stream, (c) the already delayed positive toggle bit-stream and (d) the comparison between the

two versions of the same bit-stream. If the two signals overlap, then the toggle length is greater or
5.2 Median Frequency and sEMG Spike Duration 124

possitive PLDup
τ1 τ2 τ3 ... τn
sEMG PWM
negative PLDdown
τ1 τ2 τ3 ... τn

Counter 2

_
Counter 1 +1/2 ~τ Output τ

Figure 5.5: Architectural block diagram illustration of the proposed SDMF tracking algorithml.

Stages
equal to the 3-39 delay at that stage and the comparison output increments a counter. Hence,
added
{

the counter values from all the stages represent the concentration of toggles with length larger than
Delay & Delay & Delay &
overlap overlap overlap
stage 1 the equivalent
stage 1 stage delay.
stage 1 If the two pulses do not overlap, it means that the toggle length is

longer that the current delay stage and it will increment one of the subsequent counters. Thus,

the expected distribution of counted toggles by each counter (from all stages) is a monotonically
Delay & Delay & Delay &
overlap overlap overlap
decreasing line. Furthermore, the starting value for each counter is expected to decrease as the
stage 1 stage 2 stage 40
) stage is incremented.

adder adder adder


The proposed SDMF circuit implementation is presented in Fiq. 5.7. The sEMG signal

Ca1 Ca2 Ca40


enters the PWM block, which produces the toggle pulses for spike period as shown in Fiq. 5.6a,b.

Output ~τ = max | ( Ct/2 - Ca ) |


The delay and overlap stage is presented in more detail in Fiq. 5.8. An 8 bit ripple counter was

1
empirically selected to meet the requirements of the system. The introduced delay is equal to
CLK .

In case there is a wrong overlap pulse (Fig. 5.6d), it is corrected using an Overlap Correction
Delay & overlap stage
circuit, comprising of two D-type ip ops (DFFs) and a xnor gate. A starting delay is introduced

to adjust the dynamic range of the system and eliminate very short toggles due to high frequency
D Q

noise. The aim of the system is to nd the required delay τ such as the number of toggles with
x(n) CLK
length above that delay is equal to the number of toggles bellow that delay. The counters are

evaluated regularly at a frequency CLK2 . Hence, the total number of toggles and the ones that
D Q
are longer than τ are compared and the as in Eq. 5.4. The min function is designed in VHDL

in a similar manner to the max function usedxd(n) D Q CLK


in the Muscle Fibre Conduction Velocity (MFCV)

CLK
estimator in Chapter 3, section 4.3.2) and is shown in Appendix
CLK B. The two dierences between

the two functions are the minimum operation change and the addition of a subtraction stage to the
INC
new function. RST RST RST
counter
8

Out
5.2 Median Frequency and sEMG Spike Duration 125

PWM sEMG signal


Toggle length

Positive
a) toggle

Negative
toggle
b)

Toggle length Delayed


c) >=
Delay
positive
toggle
sEMG PWM
τ1 τ

Wrong Overlap
d)

Overlap
Overlap

AND Output
to Counter C

Time
Counter 1
Figure 5.6: (a) is the undelayed positive toggle bit-stream, (b) the undelayed negative toggle bit-
stream, (c) the already delayed positive toggle bit-stream and (d) the comparison between the two
versions of the same bit-stream. If the two signals overlap, then the toggle length is greater or equal
to the added delay at that stage and the comparison output increments a counter.

Stages 3-39
{

xup(n) Delay & Delay & Delay &


PWM
Start overlap overlap overlap
delay stage 1 stage 2 stage 40
xdup(n)

xdown(n) Delay & Delay & Delay &


Start overlap overlap overlap
delay stage 1 stage 2 stage 40
xddown(n)

adder adder adder adder

Ct Ca1 Ca2 Ca40

Output ~τ = min | ( Ct/2 - Ca ) |

Figure 5.7: SDMF tracking system circuit implementation.


dder adder

Ca2 Ca40

)| 5.2 Median Frequency and sEMG Spike Duration 126

Delay & overlap stage

D Q Overlap
Correction

x(n) CLK

D Q

xd(n) D Q CLK

CLK CLK

INC
RST RST RST
counter
8

Out
Figure 5.8: Circuit implementation of the delay & overlap stage, including an overlap correction circuit.

 
Ct
Dn,i = min − (Ca1 , Ca2 ...Can ) (5.4)
2 t=CLK2

The SDMF is computed using Eq. 5.5, where P LDτ# is the estimated delay at which the

number toggles with length above that are equal to the number the ones with length bellow that.
Stages 3-39
e2 The Start Delay for Correlation
P LD Stage
T # is set to 40
an empirical value of 5 (1 unit delay = 1 CLK cycle).
{

A total of 40 stages were implemented to allow for a wide dynamic range of computation. As

mentioned in the analysis above, the expected distribution of counted toggles by each counter
D Q

should be a monotonically decreasing line. Consider Fig. 5.9a, where the counter value from all
CLK
stages is shown at three distinct points in time. All three plots show a decreasing trend with time.

It was observed that some Dof the


Q smaller length toggles, if closely spaced, are not removed by the

Overlap Correction
D Q circuit.
CLK This has the eect of increasing the counted toggles in the longer

delays (stage # ≥ 30). However, this does not impact the estimated values signicantly, as it only
CLK

occurs at the start of the contraction where the toggle lengths are shorter and is only observed
INC INC
RST RST in long delay stages. RSTeach RST
The starting value for counter is expected to decrease as the stage is
counter counter
8 8
incremented. This can be observed in Fig. 5.9b, where the counter values for three distinct stages
Out (2) Out (40)
are plotted for the complete duration of the sEMG signal of a fatiguing contraction. The SDMF

for the same signal as in Fig. 5.2c is computed using the median() function in MATLAB
® and

D two
the proposed algorithm. The Q estimates for SDMF are shown in Fig. 5.10. It is easily observed

CLK

D Q
5.3 SDMF Tracking ASIC Architecture 127

240
240 300
300

220
220 pulse
pulse # at
# at 10s
10s pulse
pulse # at
# at scale
scale 1 1
pulse
pulse # at
# at 40s
40s 250
250 pulse
pulse # at
# at scale
scale 2020
200
200 pulse
pulse # at
# at 60s
60s pulse
pulse # at
# at scale
scale 3535

180
180
Number of pulses (#)
Number of pulses (#)

Number of pulses (#)


Number of pulses (#)
200
200
160
160

140
140 150
150

120
120
100
100
100
100

8080
5050
6060

4040 0 0
0 0 5 5 1010 1515 2020 2525 3030 3535 4040 0 0 1010 2020 3030 4040 5050 6060 7070

Delay
Delay number
number (#)(#) Time
Time (s)(s)

(a) (b)

Figure 5.9: (a) the counter value from all stages at three distinct points in time. All three plots
show a decreasing trend with time. (b) the counter values for three distinct stages for the complete
duration of the sEMG signal of a fatiguing contraction.

that the proposed algorithm successfully estimates the median crossing length. The Mean Absolute

Relative Dierence (MARD) between the two plots is less than 6.5% and can be attributed to the

non-monotonic counting behaviour of some stages.

CLK
SDM F (Hz) = (5.5)
2(P LDT # + Start_Delay)

5.3 SDMF Tracking ASIC Architecture

The developed SDMF ASIC (code name Batman) shown in Fig. 5.11 consists of three major building

blocks: a) a sEMG Instrumentation Amplier (IA) with a Programmable Gain Amplier (PGA) and

a second order Low Pass Filter (LPF), b) a PWM bit-stream converter comprised by an analogue

comparator and d) a circuit implementation of the proposed SDMF tracking algorithm. The signal

gets amplied and band-pass ltered by the IA, PGA and LPF. Following that, it is converted

to a series of pulses (toggles) by the comparator and subsequently gets processed by the SDMF

algorithm. In addition, the SDMF ASIC includes a bias generator circuit, a digital timing control

circuit, a 10-bit Analogue to Digital Converter (ADC) and a Serial Peripheral Interface (SPI) to

communicate with any receiving circuit.


5.3 SDMF Tracking ASIC Architecture 128

160
MDF
SDMF chip
140 SMDF matlab

120

100
Frequency (Hz)

80

60

40

20
0 10 20 30 40 50 60 70
Time (s)

Figure 5.10: Estimated SDMF comparison between the median() function in MATLAB® and the
proposed algorithm. The error between
b)
them is given by MARD = 6.5%.
240 300

220 pulse # at 10s pulse # at scale 1


pulse # at 40s 250 pulse # at scale 20
200 pulse # at 60s pulse # at scale 35

180
Number of pulses (#)

200
160

140 150

Analog Out Bit-Stream Out


120
100
100 a) sEMG readout Stage b) Bit-Stream
El1
Delay & Delay &
overlap overlap
80 TI TC PGA
50 stage stage
Delay
6-bit
SPI

60 El2 x40
/

C1 x1 Delay & Delay &


Epidermis

DC Servo 1 overlap overlap


40 0
0 5 10 15 20 25 30 35 40 0 10 20 30 40 50 stage 60 70 stage

Delay
El3 number (#) Bias Generator Time (s) Counter Counter
Vref : Setting DC, Timing Control
close proximity Min
to electrodes / Enable Min
6-bit Selectror
10-bit ADC 16-bit SPI
SDMF Tracking
ASIC c) SDMF Estimator

CLK 1 CLK 2 CLK ADC EOC 4-signal IN/OUT

Figure 5.11: System block architecture of the SDMF tracking ASIC.


5.3 SDMF Tracking ASIC Architecture 129

Wet Electrode Dry Electrode


+ +
Metal VsEMG VsEMG
- -

Gel <1k

Skin Contact <100k 10nF >1M 10nF

Body Rbody Rbody

Figure 5.12: Skin-electrode impedance model for dry and wet electrodes.

5.3.1 sEMG Front End Signal Processing

The circuit has been implemented in a commercially available 0.35 µm CMOS technology provided

by AMS (C35B4). Standard cell libraries were used for the implementation of the ADC and the

comparator (ADC10A, COMP).

5.3.1.1 Choice of Electrodes

In Chapter 4, the developed MFCV tracking ASIC and the resulting wearable node were designed

to operate using self adhesive gel electrodes (AMBU Neuroline (72000-S/25)). The reasons for this

choice were to provide a lower and better matched impedance interface between the skin and the

electrodes and also provide a medium to attach and hold the wearable node on the user. Consider

Fig. 5.12 for the dry and wet electrode impedance model. By matching the skin-electrode impedance

between the sensing electrodes, mains and common mode noise can be reduced. Furthermore, as seen

in Eq. 5.6 where electrode impedance Zel1,2 is constant, by increasing the front-end amplier's input

impedance Zin common mode noise and other sources of noise to the electrodes can be minimised.

Following the analysis in [20], the skin-electrode to output signal voltage gain is given by Eq. 5.7

and the input refereed noise at the source is given by Eq. 5.8, where:

vs (ω) ⇒ signal source on skin interface,

ii,n (ω) ⇒ net current noise at amplier input,


5.3 SDMF Tracking ASIC Architecture 130

Yc (ω) ⇒ gc + ωCc skin-electrode coupling admittance,

Yi (ω) ⇒ amplier input admittance,

vi,n ⇒ input referred amplier voltage noise,

Av ⇒ amplier voltage gain.

 
Zel2 − Zel1
vA − vB = vcm . (5.6)
Zin

Yc (ω)
G(ω) = Av . (5.7)
Yc (ω) + Yi (ω)

Yc (ω) + Yi (ω) ii,n


vs,n = vi,n + . (5.8)
Yc (ω) Yc (ω)

Finally, assuming a noiseless innite input impedance amplier, the source input referred

noise power density reduces to Eq. 5.9.

It is observed that by increasing the amplier input impedance, the electrode impedance

mismatch eects and input signal attenuation is minimised. Furthermore, as expected, a dry elec-

trode would be noisier than a wet electrode [20, 21]. However, wet electrodes can be problematic

with long term monitoring, since the gel slowly dries out and the Ag/AgCl contact does not touch

the skin properly [22]. In addition, dry electrodes are more practical, easy to use and long lasting.

However, due to the lack of a conductive medium (gel) they are susceptible to motion artefacts.

2 4kT gc
vs,rms = . (5.9)
|Yc (ω)|2

The wearable node developed in Chapter 4 performed as expected and the overall imple-

mentation was successfully. However, the device size was relatively large for a convenient and light

wearable. As seen form the analysis in in section 4.6, the device size is governed by the size and

location of the electrodes. Hence, in an eort to minimise device area, increasing monitoring time

and make the node easier to use, it was decided that the next generation of muscle fatigue moni-

toring ASIC would function using dry electrodes. Following the skin-electrode impedance analysis

above, in order to keep the amplier input impedance high the oating high pass lter could not

be used. Hence, the new amplier should be capable of rejecting the DC Polarization Voltage (PV)
5.3 SDMF Tracking ASIC Architecture 131

c)
a) b) 20

IM8 = 1.6u A
10 2
10 Vbp1 Vbp2
5n A M7 M8 VCM M7 M8 Vb
Ibias = 1u A M3
R1
Vbp3 VCM
280 M5 M6
Vip Vin

IM3 = I+IR1
2 5

IM4 = I-IR1
10
M1 M2 10 R2 Ao Gm M1
C2
10 Cext
M3 M4 10 Ao
Von 5 Vop M3 M4 Vbn3
20
2 Vbn2
40 5
20 Von
M5 M6 Vop
M1 M2
Vbn1

c) d) 20
2
10
10 Vbp1 Vbp2 VCM M7 M8
Ibias = 1u A M3 M4
VCM/Ao- Vbp3
M5 M6
20 10
20 VCM 10
Gm M1 M2 Ao+
Cext Ao- 10 R3 R4
Ao/Ao+ 10
IM5 = 3.2u A

Vbn3 M3 M4
M5 Vbn2
5
Vop 20 Von
M1 M2

Figure 5.13: (a) transconductance, (b) transimpedance (single ended), (c) DC servo and (d) tran-
simpedance (double ended) circuit implementations.

from the biopotential electrodes. Although it is reported in literature that PV voltage can reach

300 mV [23, 24], measurements using the MFCV ASIC, by lowering its externally controlled gain,

and steel electrodes (1 cm2 ) indicated that such a high PV is unrealistic. If the electrodes are rmly

attached to the skin, then PV could be less than 50 mV. The solution would be to use an IA with

a DC servo loop to cancel out the electrode oset, in the expense, however, of power consumption.

5.3.1.2 Analogue Front End

Another aspect of the MFCV ASIC that could be improved was the power consumption of the

instrumentation amplier. The standard three opamp IA, although a popular choice for biopotential

measurements [23, 2529], triples the system's power consumption and doubles the amplier noise

contributions. Moreover, the Common Mode Rejection Ratio (CMRR) of the three-opamp IA

depends on the matching of the resistors. This leaves two other choices for a front end architecture

with a high imput impedance. Either a Harrison amplier architecture [30] or a current feedback

amplier architecture. Although the Harrison amplier oers great performance, the long time
5.3 SDMF Tracking ASIC Architecture 132

constants associated with the big input capacitors and huge feedback resistors and the possible

leakage eects that can cause the output DC level to drift (described in Chapter 3, section 3.5)

make it not the optimum choice for the intended application. As the sEMG signal is susceptible

to large spikes/motion artefacts, the Harrison amplier would have to reset very often and possibly

take a long time to return to the nominal DC operating point. Since the presented algorithms

work with zero crossings, it would be better to avoid this topology. The current feedback topology

is also widely used in biopotential measuring systems [24, 3136] and oers a solution to all the

considerations described so far. The gain of the current feedback IA is precisely dened by the ratio

of two resistors and the CMRR does not rely on the matching of the resistors. The developed IA

design was adapted from [24].

As seen in Fig. 5.11, the current feedback IA consists of a transconductance (TC) input

stage, a transimpedance (TI) output stage and a DC servo loop for rejecting the electrodes' as well

as the amplier's DC oset, allowing the use of a higher gain. For simplicity, the IA is followed by

a PGA with the same architecture. The overall noise performance is dominated by the rst stage

(IA) while the gain is precisely controlled by the second stage. The TC, TI (single ended), DC servo

and TI (double ended) circuit implementations are shown in Fig. 5.13a,b,c and d respectively. At

the TC stage, the dierential input signal from the electrodes is copied to the terminals of R1 and

the same current deects the currents of transistors M3 and M4 . The input dynamic range of the

amplier is given by Eq. 5.10. R1 was set to 12.5 kΩ and the quiescent IM 3,M 4 current to 800 nA

resulting to an input dynamic range of 10 mV, in an eort to balance power consumption, dynamic

range and noise performance. According to [24], transistor sizing allowed for Rout,M 5,6 to be much

1 1 1
larger than
gm1 , gm3 and R1 .

DR = ±(IM 3,M 4 × R1 ). (5.10)

The TI stage converts the TC output current from transistors M5,6 into voltage using the

replicated transistors M1,2 . Transistors M7,8 of the TI stage force the same biasing current as M7,8

of the TC stage. Thus, half the current dierence of M1,2 of the TI stage ows through the load

R2/3+4
resistor R2 or R3 + R4 . The voltage gain of the two combined stages is derived as G= R1 and

with R3 + R4 = 200M Ω the expected gain is 44.1 dB. For the PGA, R1 is set to R1 = 770kΩ for

a bigger DR and the gain is controlled with a 5-bit adjustable R2 resistor, oering a gain range

between 1 and 32. Hence, the overall gain varies from 160 to 5130 (44.1-74.2 dB).
5.3 SDMF Tracking ASIC Architecture 133

Ibias = 6.5n A
Ibias = 6.5n A

Gm2
Gm1 C2
C1
Vin

Figure 5.14: Second order, low pass lter, gm-C architecture.

Noise is mostly dened by the TI stage, and it is optimised by forcing transistors M3−8

to operate in strong inversion. Finally, the DC servo loop is responsible for ltering out the DC

electrode oset. A gm − C low pass lter in negative feedback forms an integrator which settles to

the output DC operating point of the IA. Due to the large time constants needed, the capacitor used

is external with a value of 10 µF. The resulting DC level is used to adjust the bias current of the

TI stage and hence, control the common mode voltage of the IA. The high pass corner frequency

of the DC servo loop is 6.3 Hz and it is capable of rejecting an electrode oset up to 48.9 mV.

The resulting high-pass lter behaviour is advantageous for ltering out motion artefacts and other

sources of noise to the amplier, since the sEMG power is concentrated above 10 Hz.

5.3.1.3 sEMG Filtering

A second order, low-pass gm − C lter from [37] was implemented to limit the bandwidth of the

recorder signal. The lter architecture is shown in Fig. 5.14, where the transfer function and corner

frequency are given by Eq. 5.11 and Eq. 5.12. The operation transconductance amplier (OTA)

used employed bump linearisation transistors to further reduce the transconductance and hence the

lter corner frequency. By operating the dierential pair in weak inversion, the gm is accurately

Ids
controlled by the biasing current as gm = nVt . With gm1 = gm2 , Ibias = 6.5 nA, C1 = 14.3 pF and

C2 = 7 pF the resulting corner frequency was 788 Hz.

gm1gm2
G(s) = . (5.11)
s2 C 1 C2 + sC1 gm2 + gm1gm2

gm
ωo = √ . (5.12)
C1 C2
5.4 SDMF Tracking ASIC Experimental Results 134

Figure 5.15: Die micro-photograph of the SDMF tracking ASIC.

5.4 SDMF Tracking ASIC Experimental Results

The SDMF tracking ASIC micro-photograph is shown in Fig. 5.15. The IA and LPF performance

characteristics are a function of the biasing current used. A master current of 3.2 µA was created

and then divided and distributed across the circuits. A copy of this current was sent to a pad in

order to better characterise the performance of the ASIC. The averaged master current from four

chips was 3.63 µA which implies a 13.4% increase in the biasing current. The average measured

power consumption of the IA, including the TI, TC and DC servo loop, was 18.1 µA. However,

most of the power, 8.2 µA, is consumed by the DC servo loop. The total static and dynamic

power consumption of the digital core (measured at 10 kHz) is 4.32 µW and of the analogue front

end (measured) is 125.1 µW from a 3.3 V supply. Table 5.3 summarises the power consumption

breakdown of the SDMF ASIC. The SDMF algorithm comprises of 50% more DFFs than the MFCV

algorithm. Moreover, the min function also performs subtraction, hence the overall number of gaits

is increased compared to the max function used in the MFCV algorithm. This increase in used

gates explains the increase in power of the SDMF algorithm compared to the MFCV algorithm.
5.4 SDMF Tracking ASIC Experimental Results 135

Table 5.3: SDMF ASIC power breakdown (C35, 3.3V).


Core Measured Current
IA 18.1 µA
PGA 18.5 µA
SDMF 1.31 µA
Estimated
TI 4.95 µA
TC 4.95 µA
DC servo 8.2 µA
ADC 45 µA
Bit-Stream Converter 68 µA
Total power 498 µW

5.4.1 System Validation

Firstly, the analogue front end was characterised with noise, gain and frequency measurements. Fol-

lowing that, the SDMF algorithm was independently veried. Subsequently, appropriate comparator

thresholds were chosen and all three stages (front end, bit-stream converter, SDMF algorithm) were

connected together to test the complete system.

The lowest measured integrated input-referred noise of the complete front-end (0.1-2 kHz)

was 1.45 µV (highest of 4 chips at 1.54 µV), with a noise oor at 106 √nV and a corner frequency
(Hz)
of 2.5 Hz. The IA noise performance is shown in Fig. 5.16a. The measured dierential and common

mode gain of the IA and LPF are shown in Fig. 5.16b. The corner frequencies are 6.9 Hz and 880.5

Hz (6.3, 788.2 simulated) with a CMRR of 90.66 dB at 50 Hz. The measured gain was 48.6 dB,

higher than the simulated value of 45.8 dB. The rst order High Pass Filter (HPF) behaviour of

the DC servo loop and the second order behaviour of the LPF are easily observed. The increase in

the low pass cuto can be attributed to the increase of the master bias current, since the chosen

gm − C lter architecture is very sensitive to biasing. The total harmonic distortion (THD) of the

IA was measured with the help of a SRS SR760 FFT spectrum analyser and a Tektronix AFG3102

arbitrary waveform generator. The Tektronix 100 Hz, 1 Vpp sine wave, after averaging 30 FFT

measurements had THD = 0.539%. The IA, driven by a 100 Hz, 1 mVpp sine wave and an output

of 218 mVpp had THD=0.91%.

The DC servo has a large time constant in order to lter out any DC electrode oset and

stop the amplier from saturating. As a result, when the amplier is turned on, it requires 10

seconds before it reaches it's stable operating point. After the DC servo settles down, it carries on
Simulation
Measurement

5.4 SDMF Tracking ASIC Experimental Results 136

60
10-6

10-7 106nV 40

Differential
Power spectrum (V/sqrt(Hz))

10-8 20 Common Mode

Gain (dB)
10-9 CMRR = 90.66 dB
0

10-10
-20
10-11

-40
10-12

10-13 -60
10-4 10-2 100 102 104 106 100 101 102 103 104
Frequency (Hz) Frequency (Hz)

(a) (b)

Figure 5.16: (a) Input-referred noise measurement results of the analogue front-end signal path
(IA, PGA, LPF), (b) Common-mode rejection ratio measurement of the IA using a 500mVpp input
signal.

ltering the output of the amplier as expected. Due to the increase of the basing current, the DC

servo dynamic range increased to approximately 60 mV. The start-up transient response of the IA

is shown in Fig. 5.17.

The SDMF algorithm was independently veried before proceeding with complete system

measurements. After isolating the SDMF processing unit, a 100 Hz square wave was applied to it's

input, resembling the output of the PWM. The SDMF was driven with a 4 kHz sampling clock and

a 1 Hz time window. The simulated SDMF settled to an output value of 100 Hz, or an output delay

value of 40 (× 2, including starting delay), which was matched by the measurement results.

The experimental set-up, including the measuring board, microcontroller and dry electrode

conguration, is shown in Fig. 5.18. The electrodes were made of steel disks with 1cm diameter and

Interelectrode Distance (IED) of 2 cm and were attached to metal studs inside an epoxy mould.

In order to minimise external noise interference picked up by the long leads, the electrode cables

were shielded. The shield potential was set to the bias electrode potential of 1.65 V (VCM ). The

positioning requirements for the SDMF ASIC are relatively relaxed compared to the MFCV ASIC.

Thus, there is no need to place the electrodes according to the muscle's innervation zone, allowing

the user to chose the desired location more freely. Nevertheless, the electrodes should be placed

away form the myotendinous zones of the muscle as well as the boarders of the muscle in order to

avoid cross-muscle interference. Interestingly, when the electrodes were placed on the top part of
5.4 SDMF Tracking ASIC Experimental Results 137

1.82 Saturated output

1.8

1.78
Output common mode (V)

1.76

1.74
Cext charging
1.72

1.7

1.68

1.66 Chip turn-on 1.65 V input VCM Stable operation

1.64
0 2 4 6 8 10 12 14
Time (s)

Figure 5.17: Transient responce of the IA start-up. The ouput reached a stadle operating point
after 10 seconds due to the large time constant involved with the DC servo loop.

the inner left biceps brachii muscle, a faint Electrocardiography (ECG) signal was picked up, as

seen in Fig. 5.19. The signal was almost burred in noise and hence would not pose any problems

during the sEMG measurement and processing stages.

The issue of setting the correct threshold for the comparator was addressed for the rst time

in Chapter 4, section 4.5.1. In the MFCV ASIC, careful threshold selection placed it above the

noise oor. The threshold should be low enough to be closer to the base of the sEMG MUAP

spike and at the same time be above the peak noise amplitude. The comparators used in both

ASICs exhibit some small (<5 mV) hysteresis to combat with the presence of high frequency noise

while switching. Only one transition from high-to-low or low-to-high is desired. Without hysteresis

every time the positive terminal crosses the negative, due to the presence of noise, there would be

several transitions of the output. As a result, the wrong bit-stream would be produced and alter the

MFCV/SDMF results. Moreover, due to parasitics capacitances in the layout of the ASIC (or even

PCB designs) some hysteresis is required to deal with kickback noise every time the comparator

switches. In Chapter 4, it was concluded that a comparator with increased hysteresis limits could be

a better approach than manually setting the threshold level. The hysteresis threshold levels should

be placed above and below the maximum noise amplitude and centred around the output common
5.4 SDMF Tracking ASIC Experimental Results 138

Coax-cable, shield at Vcm

Battery supply
Steel disks
d = 1 cm
Cext KL26Z to PC

ASIC
VB Vin+ Vin-

Electrodes Measuring Unit


Figure 5.18: PCB measurement board for the SDMF ASIC. The dry electrodes are made of steel
disks, 1cm in diameter. The ASIC communicates withthe microcontroller via SPI and the microcon-
troller to the PC via UART.

2.5
Heartbeats 2
2
1.5
1.5
1
1

0.5
Amplitude (mV)

0.5

0 0

-0.5 -0.5

-1
-1
-1.5
-1.5
-2
-2
-2.5
0 1 2 3 4 5 6 7 3.8 4 4.2 4.4 4.6 4.8
Time (s) Time (s)

Figure 5.19: Transient reconding from the upper part of the inner left biceps brachii muscle. ECG
heartbeats were detected and shown in detail in the left gure.
5.4 SDMF Tracking ASIC Experimental Results 139

2.1
VLH = 1.658 V
2 VCM = 1.650 V
VHL = 1.642 V
1.9

1.8
Amplitude (v)

1.7

1.6

1.5
VCM _
1.4 VOUT
+
1.3
RI RF
VIN
1.2
1 1.1 1.2 1.3
Time (s)

Figure 5.20: Shown are an sEMG recording and the position of the selected hysteresis thresholds.
Hysteresis is introduced with the aid of positive feedback, ass seen in the bottom left conrer.

mode voltage. Since this IA uses a DC servo loop to lter out electrode and amplier DC osets,

the output VCM is well dened and constant across dierent chips. Furthermore, the comparator's

positive and output terminal were connected to pads to allow for post-fabrication changes or even

bypassing of the comparator. By connecting two external resistors and forming a positive feedback,

hysteresis was added to the comparator. Consider Fig. 5.20 for the circuit implementation and

graphical representation of the hysteresis limits. By selecting RI = 25 kΩ and RF = 5.1 MΩ the

resulting hysteresis was 16 mV and centred around VCM. This way, when there is no contraction

and there is not any sEMG present, there would be no change at the input of the SDMF algorithm.

Hence, when there is sEMG present, the algorithm would not compute wrong values by taking into

account segments with noise.

5.4.2 Physiological Measurements

Simulations of the SDMF algorithm were performed using a 4 kHz sampling clock and a operating

window of 1 s. However, it was found experimentally that tracking accuracy and system responsive-

ness were increased by using a 3 kHz sampling clock and a 330 ms operating window. A total of ve

volunteers were used to evaluate the performance of the SDMF tracking ASIC. The experimental
5.4 SDMF Tracking ASIC Experimental Results 140

2.22.2 0.250.25

2.12.1

2 2 0.2 0.2

1.91.9

1.81.8 0.150.15
Amplitude (V)

Amplitude (V)
Amplitude (V)

Amplitude (V)
1.71.7

1.61.6 0.1 0.1

1.51.5
smoothed
smoothed sEMG
sEMG Increasing
Increasing withwith fatigue
fatigue
1.41.4 0.050.05

1.31.3

1.21.2 0 0
0 0 10 10 20 20 30 30 40 40 50 50 60 60 70 70 0 0 10 10 20 20 30 30 40 40 50 50 60 60 70 70
Time
Time (s) (s) Time
Time (s) (s)

(a) (b)

Figure 5.21: (a) sEMG recording of a contraction starting from a rested state until exhaustion, (b)
smoothed sEMG amplitude using a 300 ms moving average window.

protocol was a simplied version of the protocol used in Chapter 4, section 4.5.2.1). A smaller

subject group of n=5 and a simplied protocol were chosen due to time constrains involving the

approval of a new ethics application.

The subjects were asked to stand or sit with their back and elbow xed against a wall in

order to minimise compensatory movements. Subjects were required to keep in contact with the wall

throughout the whole duration of the testing. Following that, the subjects were asked to perform

an isometric contraction by lifting a 10 kg load. The muscles started from a completely rested state

until exhaustion. Fig. 5.21a shows a sEMG recording from the left bicept brachii muscle during

a fatiguing contraction. The signal amplitude is approximately 500 mVpp . Fig. 5.21b shows the

smoothed response of the absolute of the sEMG signal. A moving average lter with a window

length of 300 ms was used. It can be observed that as the muscle fatigues, the amplitude of the

sEMG signal gradually increases. During this contraction, the smoothed amplitude almost doubles

in amplitude. There is a rapid increase in the sEMG amplitude at the start of the contraction as

force is applied gradually. Following that, the sEMG amplitude increases steadily as force remains

constant and the muscle fatigues. As explained in Chapter 2 and observed in this measurement,

the amplitude of the sEMG signal could be used as a reliable indicator of fatigue.

The accuracy of the SDMF ASIC was established by comparing the ASIC results to

MATLAB
® . The amplied sEMG signal from the ASIC is recorded using a 16-bit ADC by ADIn-

struments. The iMDF is computed in MATLAB


® using the medf req() function and then compared
5.4 SDMF Tracking ASIC Experimental Results 141

to the ASIC SDMF estimate. Fig. 5.22b-f shows the SDMF estimate (blue) compared to the equiva-

lent iMDF values (red). By adding hysteresis to the comparator when there is no sEMG present the

SDMF algorithm does not compute wrong estimates by taking into account noise crossings. Hence,

as seen in Fig. 5.22a in the highlighted green regions, it is easier to discriminate the start of the

contraction using SDMF than observing iMDF. When computing the iMDF of an sEMG signal, the

part of the signal containing only noise should be identied and removed. It is clear that the SDMF

ASIC is capable of tracking the spectral changes and compression of the sEMG power spectrum.

As a result, it can be used as an estimator of muscle fatigue.

5.4.3 Discussion

Although the SDMF is used to get an insight on the spectral changes of the sEMG signal, it cannot

be compared iMDF directly. Hence, the two metrics are smoothed using a moving average lter

with a width of 20 samples. The corresponding R, R


2 and RMS values of the comparison between

the smoothed SDMF and iMDF results are shown in Table 5.4. The average correlation between

them is 0.945, verifying the strong relationship between the two metrics. If the DC oset between

SDMF and iMDF is removed, then the MARD between them can be calculated, although they are

two dierent metrics. The average MARD is 3.4%.

Table 5.4: Correlation coecient values between the smoothed iMDF and measured
SDMF.
Subject 1 Subject 2 Subject 3 Subject 4 Subject 5
Smooth Smooth Smooth Smooth Smooth
R 0.97 0.98 0.89 0.92 0.97
R2 0.94 0.96 0.79 0.85 0.94
RMS 1.56 1.68 3.32 3.11 2.92

MARD SDMF-8 Hz
2.62%
SDMF-14 Hz
2.05%
SDMF-5 Hz
3.67%
SDMF-8 Hz
4.07%
SDMF-0 Hz
4.62%

Having established the functionality of the analogue front end and the SDMF algorithm, the

eect of the gain setting on the SDMF performance was evaluated. The sEMG signal from two

subjects was saved at a sampling frequency of 50 kHz and played back to the system using the

12-bit DAC on the FRDM-K64F microcontroller board followed by a 40 dB signal attenuator. The

input signal had an amplitude of 1 mV and the PGA gain was increased for every measurement

from 1 to 10. Fig. 5.23 shows the playback SDMF results for two subjects. It was observed that

after a certain point, the chosen gain had little to no eect on the SDMF output. On average, after
5.4 SDMF Tracking ASIC Experimental Results 142

120 100 100

120 1
110 90 90

100 100 1
80 80

90
80 70 70

SDMF (Hz)
SDMF (Hz)

SDMF (Hz)

SDMF (Hz)
SDMF (Hz)
80
60 60 60
70

40 50 50
60

50 20 40 40

40 30 30
60 0 50 20 40
100 60 80
150 100 120
200 140 160
250 180 0 10 0 2010 30
20 3040 40 50 50 60 60 70
Time (s) Time (s) Time (s) Time (s)

(a) (b)

120 100 100 90

120
110 90 90
80

100 100
80 80
70
90
80 70 70
SDMF (Hz)

SDMF (Hz)
SDMF (Hz)

SDMF (Hz)
SDMF (Hz)

80 60
60 60 60
70
50
40 50 50
60

40
20 50 40 40

40 30 30 30
20 400 60 80
50 100 120
100 140 160
150 180 200 250 0 10 0 20 10 30 20 40 30 50 60
40 70
50 60 0
Time (s) Time (s) Time (s) Time (s)

(c) (d)

90 90 90 90

80 80 80 80

70 70 70 70
SDMF (Hz)

SDMF (Hz)

SDMF (Hz)

SDMF (Hz)

60 60 60 60

50 50 50 50

40 40 40 40

30 30 30 30
70 60 70 0 5 0 10 5 15 1020 1525 2030 2535 3040 3545 40 45 0 5 0 10 5 15 1020 1525 2030 2535 3040 3545 40 45
Time (s) Time (s) Time (s) Time (s)

(e) (f )

Figure 5.22: (a) Comparison of the SDMF (red) and iMDF (blue) trends before and after the muscle
contraction (green regions), (b-f) SDMF (red), iMDF (blue) and their smoothed (black) trends for
Subjects 1-5.
5.4 SDMF Tracking ASIC Experimental Results 143

9090 100100
G =G7= 7
8585 G =G6= 6
G =G5= 5 G =G
8=8
G =G4= 4 90 90 G =G
5=5
8080 G =G3= 3 G =G
3=3
G =G2= 2
G =G1= 1
7575
80 80
7070

SDMF (Hz)
SDMF (Hz)

6565 70 70

6060
60 60
5555

PGA
PGA gain
gain
5050
50 50
4545

4040 40 40
0 0 20 20 40 40 60 60 80 80 100100 120120 0 0 50 50 100100 150150 200 200 250 250
Time
Time (s) (s) Time
Time (s) (s)

(a) (b)

Figure 5.23: (a) Smoothed SDMF responce with sEMG playback from Subject 1 and a varying
PGA gain from 1 to 7, (b) SDMF plot and smoothed responce with sEMG playback from Subject 2
and a varying PGA gain from 3 to 8.

the sEMG signal was amplied to an amplitude above 0.4 Vpp the SDMF algorithm could produce

an accurate measurement. In the measurements presented in Fig. 5.22, the PGA gain was set to 5

and the sEMG amplitude was approximately 0.5 Vpp .

There are several sources of error for the SDMF algorithm its comparison with iMDF. The

algorithm resembles the operation of the MFCV estimator. Hence, the accuracy is limited by the

number of available delay stages. Moreover, due to the operation of the algorithm, it is possible

for smaller length pulses to be counted in the longer delay stages, thus skewing o the SDMF

reading. The processing windows should be carefully aligned such as every signal epoch contains

the same information to compute either SDMF or iMDF. Any misalignment of the signals could

yield dierent absolute values per segment, but keep the overfall shape and trend of the spectral

compression. Finally, a better way to integrate the pulse length distribution produced by the

SDMF algorithm would be to progressively shift through all the delay stage counters starting from

the bottom until the desired value is reached. Compared to the current method, which looks into

all the counter values at the same time, the proposed method would always settle to the rst

intersection of the correct value and not be susceptible to errors due to the occurrence of equal

values. Also, it would not be biased by the shorter pulses counter in the longer delay stages, as it

would probably have stopped shifting through them before reaching the longer delays. The system

performance specications are summarised in Table 5.5. The developed ASIC realised a real-time

medial pulse length tracker with a dedicated sEMG IA and SPI programmable PGA.
5.4 SDMF Tracking ASIC Experimental Results 144

Table 5.5: Summary of SDMF tracking ASIC.


Technology 0.35 µm 2P4M
Voltage Supply 3.3 V
Current Consumption Total: 151µA
SDMF: 1.31 µA
Amplier: 36.6 µA
Bit-Stream Converter: 68 µA
ADC: 45 µA
Total power 498 µW
CMRR 90.66 dB
Gain Adjustable (48.6-78.7 dB)
Bandpass frequency range 6.9 Hz, 880.5 kHz
Input dierential voltage range 10 mV
DC oset cancellation 60 mV
Input Referred Noise Density nV
106 √
Hz
Computation window Adjustable by CLK2
Estimation Accuracy Dependable on CLK1
Number of Delays 40
SDMF, iMDF correlation 0.945

It has been demonstrated that SDMF can be a reliable estimator of muscle fatigue and that is

correlates well with iMDF. At the same time, the SDMF algorithm is simpler to implement than any

of the two iMDF systems presented in Chapter 3. Moreover, the implementation is lower power and

robust to motion artefacts and process and mismatch variation due to its digital architecture. The

SDMF algorithm is more reliable, accurate, lower power and for these reasons it will be preferred

over the iMDF tracking systems.

5.4.4 The second version of the Wearable Muscle Fatigue Monitor

The SDMF tracking ASIC was integrated into a Wearable Muscle Fatigue Monitoring (MFM) node,

similar to the wireless node presented in Chapter 4, section 4.6


1. The second MFM node is shown in

Fig. 5.24a,b. The node features the same Nordic Semiconductor nRF51822 2.4 GHz Bluetooth Low

Energy System-on-Chip (SoC). The rst MFM device featured a large 300 mAh battery, oering 27

h of continuous BLE operation. In order to decrease the overall size and weight of the new device,

the battery capacity was reduced from 300 mAh to 165 mAh, oering an approximated battery

life of 15 h of continuous BLE operation. These changes allowed the node to be less obstructive

and hence achieve a highly ergonomic design. A size comparison between the two MFM versions is

1 The wearable device PCB was designed by Silvia Gallo and the and the control application was updated by
Usama Arif under the direct supervision of Ermis Koutsos.
5.4 SDMF Tracking ASIC Experimental Results 145

(a) (b) (c)

(d) (e)

Figure 5.24: (a) Revised Wireless MFM node, bottom view, (b) Revised Wireless MFM node, top
view, (c) Size comparison between old and new MFM versions, (d) Size comparison between old and
new MFM versions with their cases on, (e) Size comparison between wet electrode sockets and custom
made dry electrodes.

shown in Fig. 5.24c,d and e.

A major advancement of the SDMF ASIC front end amplier was its ability to operate with

dry electrodes. Therefore, the revised wireless node was updated to feature dry, custom made

electrodes. The electrodes for the new node were made from stainless steel bars, 2 mm in diameter

and 15 mm in length for the sensing electrodes and 25 mm in length for the biasing electrode. This

has allowed for a 65% decrease in weight (from 37.6 g to 13.1 g) and 61% decrease is volume (from

40×62×16 mm to 34×41×11 mm). The rst MFM device featured long cables to connect the PCB

to the electrode studs attached on the case. Although these cables allowed for an easy access to the

electronics once the case was opened, they increased the overall height requirements and contributed

to the accumulation of additive noise. Thus, metal springs were employed to ensure the metal bars

(electrodes) were in contact with the PCB, shown in Fig. 5.24c. This allowed for easier handling of

the device, reduced overall height and elimination additional sources of noise.
5.4 SDMF Tracking ASIC Experimental Results 146

(a) (b)

Figure 5.25: (a) Application window where the user can select number and location of wireless
MFM nodes, (b) Application window where the user can save muscle names and locations used.

The new node was designed to be attached to the user with the use of adhesive plasters

wrapping around the device, instead of adhesive electrodes. Thus, the monitor can stay rmly

attached to the user for longer periods of time. Moreover, due to the increase in the adhesive

area and decrease is size and weight, the new node is better secured on the skin, allowing for less

movement. The increased stability can combat the tendency of dry electrodes to generate motion

artefacts due to the absence of a conductive gel.

The Android application provides the user interface to the wearable. When the application

acquires data from the wearable, it stores the data in a dierent directory for each registered user.

After connecting to the wearable, the Android application allows the user to set the sampling

intervals control the ASIC's functions. The application was updated to allow the user to connect

up to four nodes into one mobile device and save their location on the body, as seen in Fig. 5.25a,b.

Lastly, a colour coded scheme (green, yellow, red) as an indication of the approximated level of

fatigue (Fig. 5.25a). This addition allows the users to quickly asses their fatigue level without the

need to continuously monitor the detailed SDMF results.


5.5 Summary 147

5.5 Summary

This chapter presented a system capable of tracking the sEMG spectral changes by processing the

signal in the time domain. The sEMG power spectrum is closely linked to the MUAPs' conduction

velocity and ISI. It has been shown that the ZCR correlates with the mean frequency of the power

spectrum, however it is a simplistic representation of the mean number of spikes. Creating a

distribution of the time interval of the crossings and estimating its median is a more promising,

accurate and robust metric for tracking the power spectrum characteristics. In this chapter it is

demonstrated that it can be a reliable estimator of muscle fatigue.

The developed system oers a simpler, low power and robust to motion artefacts method for

estimating the median spike length of an sEMG signal. It is further shown that this estimate strongly

correlates with the median frequency of the sEMG signal (correlation > 0.9). The sEMG signal is

processed as a bit-stream, showing that it is possible to accurately track the spectral compression

of the sEMG during fatigue with one bit representation. Furthermore, the developed algorithm

can be easily adapted to estimate the distribution of spike duration, ISI and spike period. This

information would not be possible to obtain without the use of more advanced signal processing

techniques (STFT) since it cannot be cannot be retrieved simply with ZCR monitoring or peak

counting. Hence, the presented SDMF metric can oer signicant insight on muscle fatigue and

sEMG statistics and help to better understand muscle fatigue.

A digital algorithm for nding the median length between crossings was coupled with a low

noise amplier, a PGA and a LPF and utilises a standard SPI communication protocol. The sEMG

front end amplier was optimised to operate with wet and dry electrodes, increasing the system's

exibility. Moreover, the presented ASIC was integrated in a small and lightweight wearable device

which can serve as a platform to expand the knowledge about the formation of sMEG from MUAPs

during fatigue. As such, it serves as an expansion of the capabilities of the iMDF and MFCV tracking

systems, which provide information about muscle fatigue and recovery, but not the underlying

mechanisms.
REFERENCES 148

References
[1] G. Inbar, O. Paiss, J. Allin, and H. Kranz, Monitoring surface emg spectral changes by the zero

crossing rate, Medical and Biological Engineering and Computing, vol. 24, no. 1, pp. 1018,

1986.

[2] R. Merletti, L. Lo Conte, and C. Orizio, Indices of muscle fatigue, Journal of Electromyography
and Kinesiology, vol. 1, no. 1, pp. 2033, 1991.

[3] A. Fuglsang-Frederiksen, The utility of interference pattern analysis, Muscle & nerve, vol. 23,
no. 1, pp. 1836, 2000.

[4] M. Cifrek, V. Medved, S. Tonkovi¢, and S. Ostoji¢, Surface emg based muscle fatigue evalua-

tion in biomechanics., Clinical biomechanics (Bristol, Avon), vol. 24, no. 4, p. 327, 2009.

[5] D. A. Gabriel, J. R. Basford, and K.-N. An, Assessing fatigue with electromyographic spike

parameters, Engineering in Medicine and Biology Magazine, IEEE, vol. 20, no. 6, pp. 9096,

2001.

[6] O. Dayan, I. Spulber, A. Eftekhar, P. Georgiou, J. Bergmann, and A. McGregor, Applying

emg spike and peak counting for a real-time muscle fatigue monitoring system, in Biomedical
Circuits and Systems Conference (BioCAS), 2012 IEEE, pp. 4144, IEEE, 2012.

[7] G. Hägg, Electromyographic fatigue analysis based on the number of zero crossings, Pügers
Archiv European Journal of Physiology, vol. 391, no. 1, pp. 7880, 1981.

[8] C. J. De Luca, Physiology and mathematics of myoelectric signals, IEEE Transactions on


Biomedical Engineering, no. 6, pp. 313325, 1979.

[9] P. Lago and N. Jones, Eect of motor-unit ring time statistics on emg spectra, Medical and
Biological Engineering and computing, vol. 15, no. 6, pp. 648655, 1977.

[10] L. H. Lindstrom and R. I. Magnusson, Interpretation of myoelectric power spectra: a model

and its applications, Proceedings of the IEEE, vol. 65, no. 5, pp. 653662, 1977.

[11] M. B. Reaz, M. Hussain, and F. Mohd-Yasin, Techniques of emg signal analysis: detection,

processing, classication and applications, Biological procedures online, vol. 8, no. 1, pp. 1135,
2006.
REFERENCES 149

[12] C. J. De Luca, The use of surface electromyography in biomechanics, Journal of applied


biomechanics, vol. 13, pp. 135163, 1997.

[13] J. Finsterer and B. Mamoli, Turn/amplitude parameter changes during sustained eort,

Electroencephalography and Clinical Neurophysiology/Electromyography and Motor Control,


vol. 101, no. 5, pp. 438445, 1996.

[14] A. Fuglsang-Frederiksen, The utility of interference pattern analysis, Muscle & nerve, vol. 23,
no. 1, pp. 1836, 1999.

[15] S. O. Rice, Mathematical analysis of random noise, Bell System Technical Journal, vol. 23,

no. 3, pp. 282332, 1944.

[16] F. B. Stulen and C. J. De Luca, Frequency parameters of the myoelectric signal as a measure of

muscle conduction velocity, IEEE Transactions on Biomedical Engineering, no. 7, pp. 515523,
1981.

[17] T. Schweitzer, J. Fitzgerald, J. Bowden, and P. Lynne-Davies, Spectral analysis of human

inspiratory diaphragmatic electromyograms, Journal of Applied Physiology, vol. 46, no. 1,

pp. 152165, 1979.

[18] R. Merletti, M. A. Sabbahi, and C. J. De Luca, Median frequency of the myoelectric signal,

European journal of applied physiology and occupational physiology, vol. 52, no. 3, pp. 258265,
1984.

[19] D. A. Gabriel, Reliability of semg spike parameters during concentric contractions, Elec-
tromyography and clinical Neurophysiology, vol. 40, no. 7, pp. 423430, 2000.

[20] Y. M. Chi, T.-P. Jung, and G. Cauwenberghs, Dry-contact and noncontact biopotential elec-

trodes: methodological review, IEEE reviews in biomedical engineering, vol. 3, pp. 106119,

2010.

[21] P. Laferriere, E. D. Lemaire, and A. D. Chan, Surface electromyographic signals using dry

electrodes, IEEE Transactions on Instrumentation and Measurement, vol. 60, no. 10, pp. 3259
3268, 2011.

[22] D. Hewson, J. Duchêne, and J.-Y. Hogrel, Changes in impedance at the electrode-skin interface

of surface emg electrodes during long-term emg recordings, in Engineering in Medicine and
REFERENCES 150

Biology Society, 2001. Proceedings of the 23rd Annual International Conference of the IEEE,
vol. 4, pp. 33453348, IEEE, 2001.

[23] E. M. Spinelli, R. Pallàs-Areny, and M. A. Mayosky, Ac-coupled front-end for biopotential

measurements, IEEE Transactions on Biomedical Engineering, vol. 50, no. 3, pp. 391395,

2003.

[24] R. F. Yazicioglu, S. Kim, T. Torfs, H. Kim, and C. Van Hoof, A 30 w analog signal processor

asic for portable biopotential signal monitoring, IEEE Journal of Solid-State Circuits, vol. 46,
no. 1, pp. 209223, 2011.

[25] R. Pallas-Areny and J. G. Webster, Ac instrumentation amplier for bioimpedance measure-

ments, IEEE Transactions on Biomedical Engineering, vol. 40, no. 8, p. 831, 1993.

[26] M. J. Burke and D. T. Gleeson, A micropower dry-electrode ecg preamplier, IEEE Trans-
actions on Biomedical Engineering, vol. 47, no. 2, pp. 155162, 2000.

[27] E. M. Spinelli, N. Martínez, M. A. Mayosky, and R. Pallàs-Areny, A novel fully dieren-

tial biopotential amplier with dc suppression, IEEE transactions on biomedical engineering,


vol. 51, no. 8, pp. 14441448, 2004.

[28] C.-J. Yen, W.-Y. Chung, and M. C. Chi, Micro-power low-oset instrumentation amplier

ic design for biomedical system applications, IEEE Transactions on Circuits and Systems I:
Regular Papers, vol. 51, no. 4, pp. 691699, 2004.

[29] J. Huijsing, Operational ampliers: theory and design. Springer Science & Business Media,

2011.

[30] R. R. Harrison and C. Charles, A low-power low-noise cmos amplier for neural recording

applications, IEEE Journal of solid-state circuits, vol. 38, no. 6, pp. 958965, 2003.

[31] H. Krabbe, A high-performance monolithic instrumentation amplier, in Solid-State Circuits


Conference. Digest of Technical Papers. 1971 IEEE International, vol. 14, pp. 186187, IEEE,

1971.

[32] F. Eatock, A monolithic instrumentation amplier with low input current, in Solid-State
Circuits Conference. Digest of Technical Papers. 1973 IEEE International, vol. 16, pp. 148

149, IEEE, 1973.


REFERENCES 151

[33] A. P. Brokaw and M. P. Timko, An improved monolithic instrumentation amplier, IEEE
Journal of Solid-State Circuits, vol. 10, no. 6, pp. 417423, 1975.

[34] M. S. Steyaert, W. M. Sansen, and C. Zhongyuan, A micropower low-noise monolithic instru-

mentation amplier for medical purposes, IEEE Journal of Solid-State Circuits, vol. 22, no. 6,
pp. 11631168, 1987.

[35] R. Martins, S. Selberherr, and F. A. Vaz, A cmos ic for portable eeg acquisition systems,

IEEE Transactions on Instrumentation and measurement, vol. 47, no. 5, pp. 11911196, 1998.

[36] R. F. Yazicioglu, P. Merken, and C. Van Hoof, Integrated low-power 24-channel eeg front-end,

Electronics Letters, vol. 41, no. 8, pp. 457458, 2005.

[37] R. L. Geiger and E. Sanchez-Sinencio, Active lter design using operational transconductance

ampliers: a tutorial, Circuits and Devices Magazine, IEEE, vol. 1, no. 2, pp. 2032, 1985.
152

Chapter 6

A sEMG Analysis System-on-Chip for


Muscle Fatigue Monitoring

6.1 Introduction

S
O far, from the three systems presented, two have been tested and validated with human

subjects. Each one looks into the dierent properties of the surface EMG (sEMG) signal

during fatigue. Furthermore, each chip iteration oered a more complete version of a muscle fatigue

monitoring system. This chapter presents the nal attempt to create a complete, reliable and

autonomous system capable of tracking muscle fatigue. Improvements were made to the previous

systems to make the new Application Specic Integrated Circuit (ASIC) more robust, requiring less

external components on the interfacing board and allow it to work in real-life scenarios.

The Muscle Fibre Conduction Velocity (MFCV) can oer valuable information about the

muscle recovery and lactic acid concentration. Furthermore, Spike Duration based Median Fre-

quency approximation (SDMF) closely relates to instantaneous Median Frequency (iMDF), thus

oering a unique look into the spectrum compression. Spike counting is a simple metric that relates

to the Motor Unit (MU) ring rate and is believed to relate to muscle engagement and force output

[13]. Lastly, the Mean Absolute Value (MAV) of the sEMG amplitude is an indication of muscle

engagement, applied force, muscle fatigue/recovery and as mentioned in Chapter 2, it plays a vital

role in the establishment of a fatigue index using Joint Analysis of Amplitude and Spectrum (JASA).

Creating a system capable of providing all that information allows for a collective monitoring of the

muscle that oers a unique insight in muscle function, fatigue and recovery.

As seen in the previous chapters, there was a natural progression in the each of the presented

systems' capabilities. Chapter 3 showcased an circuit implemented in CMOS capable of tracking


6.2 sEMG SoC Requirements 153

iMDF. However, the iMDF ASIC did not have an supporting circuits to form a fatigue monitoring

tool. Chapter 4 presented a MFCV tracking system with a xed gain amplier and a simple Serial

Peripheral Interface (SPI) communication interface. The SDMF ASIC in Chapter 5 included an

programmable Gain Amplier (PGA), a better band-pass lter and was designed to work with

dry electrodes, oering greater exibility and increased ergonomics for the user. This chapter

presents the combination of the best aspects of the human validated systems along with certain

improvements to increase the device usability. All previous systems were designed to operate under

static conditions. These type of contractions are very useful to help characterise the muscle, better

understand sEMG and in turn, the underlying reasons for muscle fatigue and loss of force generation.

Hence, the developed systems are ideal tools intended for laboratory use. Contractions is real life

however, can be static but are mostly dynamic (e.g. walking, exercising, lifting). A system able to

perform well under static and dynamic contractions would vastly increase the areas of application,

expanding the device's use to real life, everyday, personal monitoring. This chapter presents a

complete System-on-Chip (SoC) for the analysis of sEMG signals and muscle fatigue monitoring.

6.2 sEMG SoC Requirements

The presented MFCV tracking ASIC in Chapter 3 proved that an ASIC can be used to monitor

muscle fatigue and take part in the development of wearable monitoring systems. However, with

a xed amplier gain, any dierence between the two sEMG channels could not be accounted for.

Also, due to the presence of DC osets, it was not possible to achieve high gains. Although there was

a timing circuit present in order to control the algorithm operation and data output of the chip, all

the clocks were supplied individually, leaving the task to the microcontroller. Lastly, there was no

common mode generator or ground buers inboard, requiring external components. Furthermore, it

was designed to operate with gel electrodes. This type of electrode oers good surface interface with

low resistance, low noise and adhere well to the skin. However, it was observed that wet electrodes

have a limited working life, as the gel and glue dry out. Since there was not an ADC on-board the

ASIC, this task was left to to an external microcontroller. The SDMF ASIC featured a variable

gain amplier and was designed to operate with dry electrodes. As described in Chapter 5, the

SDMF ASIC outperforms the iMDF tracking systems presented in Chapter 3 in terms of power,

robustness to motion artefacts and process and mismatch variations, reliability and accuracy. Hence,

the SDMF algorithm is preferred over the iMDF tracking algorithms. Again ,this ASIC did not
6.2 sEMG SoC Requirements 154

feature a clock signal generator, thus requiring several input clock signals. The on-board ADC was

a great addition, relaxing the requirements of the microcontroller and increasing the robustness of

the system, as the signal was digitised on chip and was less susceptible to external sources of noise,

either from the instrumentation board or the microcontroller.

As a result, it was sensible to combine the best aspects of both ASICs and try to increase

their capabilities. Hence, the MFM ASIC features both the SDMF and MFCV algorithms. It has an

ADC to digitise and stream the amplied sEMG from both channels. Both sEMG channels feature

a separate, adjustable gain, controlled digitally. There is also a ground generation and adjustment

circuit. A timing generator is added that generates all the necessary clock signals and from one

master clock of 1 MHz, while oering the exibility to the user to adjust each signal desperately.

The master clock can be provided by the microcontroller or even by an external oscillator (due to

the common frequency used). A Finite State Machine (FSM) is added to control all the functions of

the ASIC as well as to control the data exchange through the SPI interface. As a result, the number

of external components needed to operate this chip is minimal. The required external components

consist of capacitors only; Cext for the DC servo loop of the ampliers and decoupling capacitors

for the supplies and ground.

As mentioned above, an important step in the creation of a complete fatigue monitoring

SoC is enabling the device to monitor dynamic contractions. In dynamic contractions, muscle

engagement varies with time. In a periodic movement such as walking, one muscle gets activated

and contacts to push us forward and then relaxes as another muscle from a dierent part of the body

takes over. As a result, the observed sEMG signal from that muscle consists of segments where there

is sEMG present and segments where there is not. Proper analysis requires the identication of the

active sEMG segments in order to exclude parts of the recording with no valuable information and

avoid processing the static noise present in the recording. In order to identify the starting points

and stopping points of the sEMG segments in a dynamic contraction recording, an onset detector

is used. The developed Muscle Fatigue Monitoring (MFM) ASIC will compute the MFCV, SDMF,

mean spike count and MAV sEMG amplitude in real time enabling the use of the ASIC in more

applications and more demanding fatigue monitoring systems.


6.3 Adaptation for Dynamic Contractions 155

VTHR
VIN VON
5 x RC
VCM VCM
LPF
Figure 6.1: Onset detector circuit on prototyping board.

6.3 Adaptation for Dynamic Contractions

Both the MFCV and SDMF algorithms use delay stages to process the incoming sEMG bit-stream

in a certain time window. The delay and processing interval are dened by a clock signal. Hence,

it is possible to pause the algorithms when there is no sEMG present so that they do not process

the noise. In order to test this concept, an external onset circuit was constructed on a prototyping

board and paired to the existing SDMF ASIC.

A basic onset detector is fairly simple to construct. The sEMG signal is rectied so as to

get its absolute value. Then, the rectied sEMG signal is low pass ltered to remove the amplitude

uctuations and produce a smoother signal [48]. The ltered signal is compared to a known

threshold value, typically a multiple of the noise standard deviation. When sEMG is present, the

ltered signal will start to rise above the common mode and cross the threshold. By adding a

comparator to the end of this circuit and adjusting the threshold, a pulse indicating the start and

end of an active sEMG segment during a dynamic contraction is generated. It has been shown

[9, 10] that a non-linear signal pre-processor can further increase the EMG onset detection accuracy

in low Signal-to-Noise Ratios (SNR) and can easily be included in further iterations of the current

design, if deemed necessary.

The described onset detection circuit was constructed on a prototyping board and is shown

in Fig. 6.1. A simple inverting rectifying circuit takes the absolute of the incoming sEMG record-

ing. The rectied signal is buered and amplied using an inverting amplier. Following that, a

fth order R-C Low Pass Filter (LPF) tracks the average amplitude. The corner frequency was

empirically set to 25 Hz in order to produce a smooth output DC value equivalent to the MAV of

the sEMG signal. Finally, a comparator produces the required onset pulse, indicating the active

regions in the recording. This circuit operates in real time, along with the SDMF ASIC. The input

to the onset detector circuit is the amplied sEMG from the ASIC.
6.3 Adaptation for Dynamic Contractions 156

The onset pulse is now fed to the microcontroller responsible for generating the two SDMF

clocks, one dening the delay and one the processing time window. A dynamic contraction can

be seen in Fig. 6.2a, where the subject was asked to repetitively lift a load while standing, using

only the arm muscles. The sEMG recording is from the inner left biceps brachii. A closer look to

the individual sEMG active segments can be seen in Fig. 6.2b. As the subject lifted the load, the

sEMG increased in amplitude. When the load was fully lifted, then the subject started lowering it.

During that period, the muscles were less active, hence the sEMG amplitude was smaller. Finally,

as the subjects waited before repeating the process, the sEMG amplitude was zero and only noise

was recorded.

If the ASIC were to process the signal from Fig. 6.2a, the resulting SDMF output would be

as in Fig. 6.2c. The long inactive sEMG periods are considered as pulses and mislead the estimation

algorithm. Given that the onset positions of the sEMG signal are known, one solution would be to

discard any SDMF results where no sEMG is present. This approach is based on the assumption

that the processing window is perfectly aligned with the inactive sEMG period. However, this is

rarely is the case. Hence, as the contraction starts while the algorithm still processes the inactive

period, a wrong estimate is produced. The resulting eect could be described as an oscillation

around the correct SDMF value, as seen in Fig. 6.2c.

Another more promising solution would be to pause the SDMF algorithm during these in-

active periods. In order to examine the eect of the pausing mechanism on the SDMF ASIC a

dynamic sEMG signal was constructed from previous recordings and played back to the system.

This way, it was easier to monitor and adjust the circuit until the desired eect was achieved, with-

out wearing out a human subject. The reconstructed signal and the resulting onset pulse are shown

in Fig. 6.2d. The microcontroller code was modied to take into account the onset detector output.

When the onset was high, the clocks would function normally, thus enabling the SDMF algorithm.

When the onset was low, then the low frequency clock responsible for the processing window, would

stop. Hence, the algorithm would pause generating new results. The computed SDMF for the re-

constructed dynamic sEMG signal without the onset detector is shown in Fig. 6.2e and the SDMF

for the same signal with the onset detector turned on is shown in Fig. 6.2f. The corresponding R,

R
2 and RMS values of the comparison between the smoothed SDMF and iMDF results are shown

in Table 6.1. The correlation between them is 0.97, and the oset free error is less than 5%. It

is clear that the addition of the onset circuit greatly and pausing mechanism greatly improves the
6.4 Circuit Implementation 157

accuracy of the SDMF algorithm during dynamic contractions and expands the ASIC's capabilities.

Table 6.1: Examples of dynamic contractions and the eect of the onset detector and
pausing algorithm on SDMF accuracy.
Subject 1
Smooth
R 0.97
R2 0.94
RMS 1.75

MARD SDMF-10 Hz
4.6%

6.4 Circuit Implementation

The amplier from the SDMF ASIC has been tested and validated in the lab with real measurements.

Hence, the same front-end will be used for the MFM ASIC. Since the MFCV algorithm requires

two channels, the amplier is duplicated. Separate control of the gain between the two channels

will increase the accuracy and performance of the MFCV ASIC. The bias generator is updated with

the addition of a virtual ground generator. It comprises of a proportional to absolute temperate

circuit (provided by AMS standard cell library) and a buering opamp with an adjustable feedback

to control the virtual ground (common mode voltage -VCM-). During testing of the MFCV and

SDMF ASICs it was observed that the bias electrode coupling to the human body had a big eect

on the stability of VCM. In order to isolate the ground for the biasing electrode, as well as the rest of

the circuits, on chip ground buers are added. Since the overall aim is to create a truly miniaturised

fatigue monitoring system, suitable for a wearable device, the onset detector is implemented in the

ASIC, along with the rest of the sEMG processing circuits. The circuit implementation is shown

in Fig. 6.3. The rectifying opamp is replaced with a comparator and a chopper in order to increase

the accuracy in small amplitude and speed. Since a very low corner frequency is required for the

LPF, a switch-capacitor (SC) lter is chosen, to avoid the use of either very large components or

very small transconductance (gm ) OTAs which are hard to achieve and require calibration. The

LPF corner frequency is accurately controlled with a clock signal. The frequency response of the

third order SC LPF is shown in Fig. 6.4a (fc = 11 Hz, CLK = 4 kHz).

A transient response of the simulated onset detector is shown in Fig. 6.4b. A 100 Hz sine wave

is used to simulate the sEMG signal. After rectication and ltering, an analogue LPF was added

to smooth out the residual spikes from the SC lter. Without the analogue LPF, the comparator
6.4 Circuit Implementation 158

3 3

2.5 2.5

2 2

Amplitude (V)
SDMF (Hz)

1.5 1.5

1 1

0.5 0.5

Start
0 0
0 20 40 60 80 100 120 140 110 111 112 113 114 115 116 117 118 119
Time (s) Time (samples)

(a) (b)

120
0.05

0.04
100
0.03

80 0.02

0.01
SDMF (Hz)

Amplitude (V)

60 0

-0.01
40
-0.02

-0.03
20
-0.04
Start Start
0 -0.05
0 50 100 150 0 20 40 60 80 100 120 140
Time (s) Time (s)

(c) (d)

120 100
Smoothed
SDMF chip
110
iMDF matlab
90

100

80
90
Frequency (Hz)
SDMF (Hz)

80 70

70
60

60

50
50
Start
40 40
0 20 40 60 80 100 120 140 0 20 40 60 80 100 120 140
Time (s) Time (s)

(e) (f )

Figure 6.2: (a) sEMg recording of a dynamic contraction, (b) close-up section of dynamic contrac-
tion, (c) SDMF output for the dynamic contraction recording, (d) synthesised dynamic contraction
(blue) and the onset pulse (red), (e) SDMF output for the synthesised dynamic contraction and (f)
SDMF output for the synthesised dynamic contraction with the onset detector on. From (a) it is
clear that the SDMF algorithm is better at detecting the start/end point of the contraction.
6.4 Circuit Implementation 159

VIN 400f 4p
VCM 1x SC-RC

VTHR
VON
3x SC-RC
VF

Figure 6.3: Onset detector circuit implementation for the MFM ASIC.

0
3.5
VON

-50 3
VRECT
2.5

-100
Amplitude (V)
Gain (dB)

2
VFILT VTHR
1.5 VCM
-150

1
-200
0.5 VIN
CLK
-250 0
10-1 100 101 102 103 104 105 0 0.01 0.02 0.03 0.04 0.05
Frequency (Hz) Time (s)

(a) (b)

Figure 6.4: (a) Frequency responce of the fth order SC LPF with CLK = 4 kHz, (b) Transient
responce of the onset detector. The sEMG signal is approximated with a 100 Hz sine wave.
6.4 Circuit Implementation 160

would switch uncontrollably. Finally, the threshold is controlled with a 10-bit digital to analogue

converter (DAC) provided by AMS standard cell libraries. The threshold is externally controlled

through the SPI from a microcontroller. The threshold should can positioned at a level above the

noise oor, as a multiple of its standard deviation.

Although there exist several circuit implementations of real-time, adaptive, noise-tracking

threshold generators [1115], it was decided to adjust the threshold manually. Thus, more exibility

is oered when customising the onset detector operation to a specic dynamic contraction. As a

result, the onset detector can be set to ignore noise as well as small amplitude sEMG contractions

and help distinguish between a weak one and a strong one.

6.4.1 Digital Control

All the operations of the MFM ASIC are controlled by a FSM. The FSM receives instructions from

the microcontroller through the SPI and distributes them across the ASIC's circuits. Similarly, it

sends all the processed data back in the same way. The FSM has four states to govern the ASIC

functions; idle, read, send and test. The state selection is controlled by the rst two bits of the

input SPI instruction and the state diagram can be seen in Fig. 6.5. The ASIC goes to the idle
state as soon as its powered on and reset automatically using a Power-on-Reset (POR) circuit. In

that state the PGA gains are set to unity, the VCM to 1.65 V and the ADC is set to power down

mode. Also, the DAC outputs are set to zero along with all the output registers. Finally, the timer

control sets all the clocks to a predened starting value. The VHDL implementation of the FSM

and the timer controller are shown in Appendix B.

Following the initialisation that occurs in the idle state, the user can either program the ASIC
with a new set of commands or start receiving data. This is selected again with the appropriate SPI

command. Moving on to the read state, the ASIC is expecting the incoming commands and does
not send anything back to the microcontroller. In this state the user can select the desired PGA gain

for both sEMG channels, set the onset detector DACs to a specic value, turn the ADC ON or OFF,

adjust the VCM, set the SDMF and MFCV clocks to the desired frequency and select how both

algorithms respond to the onset pulse. All this information requires a set of ve SPI transactions.

Nevertheless, if the user does not wish to program all the registers, one SPI transaction could be

enough. A detailed input SPI command breakdown is shown in Table 6.2. As mentioned earlier,

the rst two bits are allocated for state selection. The SPI[13:11] bits are used to correctly allocate
6.4 Circuit Implementation 161

11
01

read 00 test
11

01 00
idle

00
01 10
10 00 10
11

send

Figure 6.5: FSM state diagram. The SPI[15:14] bits control the state transitions.

Table 6.2: Input and output SPI control sequences.


SPI BITS: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
IN 1 state sel in1 timer[10:0]
IN 2 state sel in2 timer[11] Gain Amp 1 Gain Amp 2
IN 3 state sel in3 X DAC1
IN 4 state sel in4 X DAC2
IN 5 state sel in5 ADC on SDMF on MFCV on GND X

OUT 1 IMDF ADC: EMG ch1


OUT 2 MFCV ADC: EMG ch2
OUT 3 Spike count[8:3] ADC: EMG RMS ch1
OUT 4 0 0 0 Spike count[2:0] ADC: EMG RMS ch2
OUT 5 SPI_IN[15:0]

the incoming command to the appropriate registers.

After the ASIC has been successfully programmed its time to start sending data back. Al-

though the ASIC is producing the data, it is congured as the SPI slave. This means that the

microcontroller governs the initiation of the SPI transaction. Hence, the ASIC has a dedicated

output to inform the microcontroller that it is ready to send data. In the case that the ADC is ON,

the interrupt is controlled by the ADC's End of Conversion output (EOC). When EOC goes high,

the ADC has a valid output and the microcontroller reads the results. When the ADC is OFF, the

interrupt is controlled by the individual SDMF and MFCV timing controllers. At the start of each

processing window, both algorithms have a valid result for the previous window. However, both

algorithms do not share the same processing window. Hence, the FSM selects the algorithm with

the shortest window and sends forwards its ready-to-send (RTS) interrupt to the microcontroller.

Contrary to the read state where the user can only send one SPI command to selectively
6.5 Mucle Fatigue Monitoring ASIC Overview 162

Analog Out

10-bit DAC
Bias Generator Analog Buffers
El1
Vref : Setting DC, Timing Onset
close proximity X
to electrodes
Onset Detector 10-bit ADC
El2
SDMF
Epidermis

IA, PGA MFCV Onset


Estimator
El3
C
FSM Gain Control Cyclic
MUX Timing
FSM
C Control
El4
SDMF & MFCV
IA, PGA
SDMF Onset
El5 Estimator
Onset Detector
Onset
16-bit SPI
X
Timing
Ground Generator
Power
& Ground Buffers
10-bit DAC On MFM ASIC
Reset

EOC CLK IN/OUT


C

Figure 6.6: System block architecture of the Muscle Fatigue Monitoring ASIC.

program the ASIC, in the send state all the results are sent sequentially. To achieve that, four

SPI transactions are required, even when the ADC is OFF. The FSM counts the number of SPI

transactions and sends the data in the correct order. The detailed output SPI command breakdown

is shown in Table 6.2. Finally, the last state is the test state, where the input SPI bits are forwarded
to the output SPI registers. Hence, the SPI and FSM operation can be veried prior to using the

MFM ASIC.

6.5 Mucle Fatigue Monitoring ASIC Overview

The developed MFM ASIC (code name Atlas) is shown in Fig. 6.6. The ADC sampling rate is set

to twice the LPF corner frequency, at 1.5k Hz per channel. When the ASIC EOC goes high, the

microcontroller initiates a series of SPI transactions and receives all the data. The chip is designed

to be completely autonomous, with the only external components required being the charging

capacitors for the front-end and the decoupling capacitors for the ground and power supplies. As a

result, a single microcontroller can control several MFM ASICs concurrently. Hence, this is the rst

ASIC completely dedicated to sEMG analysis and muscle fatigue monitoring. It serves as a tool to

visualise and process two sEMG channels in close proximity in order to monitor and understand

the physiological changes the muscles undergo during fatigue.


6.6 Summary 163

Figure 6.7: Die micro-photograph of the MFM ASIC.

A micro-photograph of the MFM ASIC is shown in Fig. 6.7. The chip has two power supply

rails, one dedicated for the analogue and one for the digital circuits. A single pad-ring is used

to oer increased Electrostatic Discharge (ESD) protection. Rail-splitters oer complete isolation

between the two supplies. A separate ground pad has been used to isolate the analogue and digital

circuits, by biasing the ground area between them. Furthermore, the analogue and digital circuits

are arranged in separate areas in an eort to minimise cross-talk and digital noise feeding into the

sensitive analogue circuits. Finally, the digital circuits have been metal-lled to meet the metal and

polysilicon density requirements as this chip was part of a wafer run and each design had to be self

sustained.

6.6 Summary

This chapter presented a sEMG System-on-Chip (SoC) for the monitoring of muscle fatigue. It

combines the MFCV and SDMF algorithms, providing a unique insight in muscle fatigue. The

computation of amplitude parameters such as the MAV of the sEMG amplitude and the spike

concentration oers a complete look into the amplitude and spectrum parameters of the sEMG.

As a result, a fatigue index under the JASA protocol can be established. It features two sEMG

ampliers and an ADC to stream the two channels and MAV values to the user. Moreover, a

sEMG onset detector is included, oering dynamic contraction compatibility and unlocking the
6.6 Summary 164

full potential of the developed technology. It is designed to be standalone and programmed though

standard SPI protocol. Therefore, several MFM SoCs can be combined to work in parallel, centrally

controlled by a microcontroller, thus reducing data transmission costs and bandwidth requirements.
REFERENCES 165

References
[1] R. Conwit, D. Stashuk, B. Tracy, M. McHugh, W. Brown, and E. Metter, The relationship of

motor unit size, ring rate and force, Clinical neurophysiology, vol. 110, no. 7, pp. 12701275,

1999.

[2] H. Milner-Brown, R. B. Stein, and R. Yemm, Changes in ring rate of human motor units

during linearly changing voluntary contractions, The Journal of physiology, vol. 230, no. 2,

p. 371, 1973.

[3] B. Bigland-Ritchie, Emg/force relations and fatigue of human voluntary contractions., Exer-
cise and sport sciences reviews, vol. 9, no. 1, pp. 75118, 1981.

[4] G. Allison, Trunk muscle onset detection technique for emg signals with ecg artefact, Journal
of Electromyography and Kinesiology, vol. 13, no. 3, pp. 209216, 2003.

[5] X. Li and A. Aruin, Muscle activity onset time detection using teager-kaiser energy operator,

in 2005 IEEE Engineering in Medicine and Biology 27th Annual Conference, pp. 75497552,

IEEE, 2005.

[6] M. Magda, Emg onset detectiondevelopment and comparison of algorithms, 2015.

[7] J. Drapaªa, K. Brzostowski, A. Szpala, and A. Rutkowska-Kucharska, Two stage emg onset

detection method, Archives of Control Sciences, vol. 22, no. 4, pp. 427440, 2012.

[8] R. P. Di Fabio, Reliability of computerized surface electromyography for determining the onset

of muscle activity, Physical Therapy, vol. 67, no. 1, pp. 4348, 1987.

[9] S. Solnik, P. Rider, K. Steinweg, P. DeVita, and T. Hortobágyi, Teagerkaiser energy operator

signal conditioning improves emg onset detection, European journal of applied physiology,
vol. 110, no. 3, pp. 489498, 2010.

[10] X. Li, P. Zhou, and A. S. Aruin, Teagerkaiser energy operation of surface emg improves muscle

activity onset detection, Annals of biomedical engineering, vol. 35, no. 9, pp. 15321538, 2007.

[11] H.-L. Chan, M.-A. Lin, T. Wu, S.-T. Lee, Y.-T. Tsai, and P.-K. Chao, Detection of neuronal

spikes using an adaptive threshold based on the maxmin spread sorting method, Journal of
Neuroscience Methods, vol. 172, no. 1, pp. 112121, 2008.
REFERENCES 166

[12] L. Traver, C. Tarin, P. Marti, and N. Cardona, Adaptive-threshold neural spike detection by

noise-envelope tracking, Electronics Letters, vol. 43, no. 24, p. 1, 2007.

[13] J. Holleman, A. Mishra, C. Diorio, and B. Otis, A micro-power neural spike detector and fea-

ture extractor in. 13µm cmos, in 2008 IEEE Custom Integrated Circuits Conference, pp. 333

336, IEEE, 2008.

[14] R. R. Harrison, A low-power integrated circuit for adaptive detection of action potentials in

noisy signals, in Engineering in Medicine and Biology Society, 2003. Proceedings of the 25th
Annual International Conference of the IEEE, vol. 4, pp. 33253328, IEEE, 2003.

[15] D. Kim, M. Stanacevic, R. Kamoua, and Z. Mainen, A low-power low-data-rate neural record-

ing system with adaptive spike detection, in 2008 51st Midwest Symposium on Circuits and
Systems, pp. 822825, IEEE, 2008.
167

Chapter 7

Conclusion

T
HIS thesis explores and develops for the rst time a CMOS-based technology dedicated to

real-time EMG analysis that targets muscle fatigue estimation using bespoke signal pro-

cessing. Various challenges associated with implementing a fatigue monitor in a standard CMOS

technology have also been identied and methods of solution have been proposed. Furthermore,

this work demonstrates the use of such miniaturised systems in the development of wearable muscle

fatigue monitoring devices. As a result, biofeedback facilitated by the use of the developed platforms

can become a reality.

Chapter 2 introduces the muscle physiology, in particular the mechanisms of muscle contrac-

tion and the surface EMG (sEMG) formation. The key sEMG attributes that relay muscle fatigue

information are identied and appropriate methods to detect them are investigated. Finally, a

review of existing approaches for fatigue monitoring paves the way for the approach of this thesis.

7.1 Contributions

Chapter 3 comprises the rst attempt for a low power CMOS system that estimates the instan-

taneous Median Frequency (iMDF) of the sEMG signal, which is considered the golden standard

for muscle fatigue assessment. Two systems are demonstrated; the rst system bypasses the need

for complex digital architectures by employing a fully analogue approach. A second system was

designed to advance the rst, using a mixed signal architecture in order to increase robustness to

process variations and decrease computational complexity and power consumption.

Chapter 4 initially reviews available methods for muscle bre conduction velocity estima-

tion, and concludes that cross-correlation is the most appropriate for implementation in CMOS

technology. This conclusion provides the springboard to develop a novel bit stream approach that
7.2 Recommendations for Future Work 168

greatly simplies the sEMG signal without any loss of information, thus reducing computational

complexity and minimising power consumption. The developed technology was evaluated in a clin-

ical study with a set of healthy individuals, which conrmed the accuracy and eciency of the

developed technology. Finally, the microchip was embedded in a wireless (Bluetooth) node operat-

ing with commercially available wet electrodes, introducing the use of custom Application Specic

Integrated Circuits (ASICs) in wearable electronics for unsupervised muscle fatigue monitoring.

Chapter 5 introduces a new metric similar to iMDF combining the advantages of the bit-

stream approach developed in Chapter 4, showing that it is possible to accurately track the spectral

compression of the sEMG during fatigue with one bit representation. This was achieved by exploiting

a link between zero crossing and median frequency. The developed technology was tested with

human subjects, demonstrating that the validity of the proposed fatigue metric. A second wireless

node was designed to accommodate the new microchip, further reducing the size and weight of the

previously developed node. This was partially achieved by switching to the use of custom-designed,

dry electrodes, oering a more ergonomic design.

Chapter 6 integrates the work presented in Chapters 4 and 5 to deliver the rst System-

on-Chip (SoC) oering complete insight into the underlying mechanisms and physiological changes

during muscle fatigue through sEMG analysis. This is achieved by exploiting both amplitude and

spectrum parameters of the sEMG signal. The new platform was designed to operate under both

static and dynamic contractions to maximise impact in real-life applications. The proposed approach

is scalable, as several muscle fatigue monitoring SoCs can operate in parallel and periodically relay

key information about the muscle, thus reducing data transmission costs and bandwidth require-

ments. Therefore, the technology can be used for multiple concurrent muscle fatigue feedback.

7.2 Recommendations for Future Work

Future developments based on material described in this thesis are proposed in the following areas:

7.2.1 System Optimisation and Enhancement

Having established methods and algorithmic approaches for reliable fatigue assessment, the devel-

oped circuits can be redesigned with the sole purpose of minimising power consumption. In addition,

measurement and characterisation of the SoC presented in Chapter 6 will oer a completed view

on the systems presented in this thesis. This work presented a CMOS implementation of the most
7.2 Recommendations for Future Work 169

appropriate sEMG analysis tools for fatigue evaluation. Nevertheless, establishing a fatigue index,

a metric indicating the fatigue level calibrated to each individual, remains a complicated task yet to

be realised in CMOS. Incorporating this feature in the developed systems would constitute a more

comprehensive tool suitable for real-life situations.

7.2.2 Next Generation Wearable Electronics

There is an increasing trend to develop wearable electronics, however, these lack integrated pro-

cessing and consist of sensing components such as electrodes. In addition, to date biosensors are

regarded as stand-alone measuring devices. However, distributed and coordinated body sensing can

substantially increase the amount of available information and in turn, biofeedback eectiveness.

Thus, integrating multiple smart (autonomous processing), centrally controlled EMG monitoring

nodes in a wearable yet unobtrusive platform (such as a smart clothing), has the potential to revo-

lutionise patient monitoring process and expand lab based testing into everyday life situations. The

technology proposed in this thesis can signicantly advance wearable sensors, as it oers integrated,

unobstructive, multi-node sensing.

7.2.3 Multimodal Biosensors

While monitoring electrophysiological parameters is useful for monitoring general well-being and

specic muscle performance, acquiring a more comprehensive picture of underlying physiology can

be achieved via expanding the biosensing modalities of the wearable device. More specically,

electrochemical measurements can provide important additional insight into the overall health and

peripheral fatigue state through physiologically relevant electrolytes such as potassium and sodium.

A distributed multimodal sensing platform that monitors electrolytes in sweat in coalition with local

EMG muscle performance, would constitute the next generation of wearable biosensors and pave

the way for researchers to embed smart biofeedback mechanisms in our modern way of living. So

far, body chemistries are measured via lab or point-of-care based testing of blood samples, extracted

via needles or ngerprick sensors. These highly inconvenient methods cannot provide a continuous,

real-time feedback. The development of a multi-node, multimodal wearable platform would, for

the rst time, provide a quantiable set of metrics to physiotherapists, sports professionals and

patients, such that they can accurately manage and optimise treatment through feedback and a

powerful insight in human body performance. The technology presented in this thesis has the

potential to be integrated with chemical sensors, creating a high-information content device.


List of publications 170

List of publications

Journal papers

E. Koutsos, V. Cretu,P. Georgiou, A Muscle Fibre Conduction Velocity Tracking ASIC for Local

Fatigue Monitoring, IEEE Transactions on Biomedical Circuits and Systems, vol. 10, no. 6, pp.

1119-1128, 2016

Conference papers

D. Sun, E. Koutsos, P. Georgiou, A neuromorphic based median frequency tracker for muscle

fatigue monitoring, 2016 IEEE Biomedical Circuits and Systems Conference (BioCAS), 2016, (in

proceedings)

M. Douthwaite, N. Moser, E. Koutsos, D. Yates, P. Mitcheson, P. Georgiou, Averaging in an

ISFET array for wearable thermoelectrically powered perspiration analysis, 2016 IEEE Biomedical
Circuits and Systems Conference (BioCAS), 2016, (in proceedings)

D. Sun, E. Koutsos, P. Georgiou, Comparison of sEMG bit-stream modulators for cross-correlation

based muscle fatigue estimation, 2016 IEEE International Symposium on Circuits and Systems
(ISCAS), pp. 838841, 2016

J. Heaey, E. Koutsos, P. Georgiou, Live demonstration: Wearable device for remote EMG and

muscle fatigue monitoring, 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS), pp.
1-5, 2015

E. Koutsos, P. Georgiou, An analogue instantaneous median frequency tracker for EMG fatigue

monitoring, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1388-

1391, 2014
171

Appendix A

A.1 VHDL code for neuromorphic iMDF tracker

In order to improve the robustness of the system and to further simplify the design, a digital adder

is used instead of an analogue integrator. The integrated power dierence is used to control the

cut-o frequency of the high-pass lter, thus allowing the lter to select dierent portion of the

spectrum and estimate the median frequency of the input signal. The VHDL implementation of the

controller is shown bellow


1.

1 module Intg (CLKIN, RST, data_in_HPF, data_in_LPF, LFCLK, tap_out, data_reg,

count_reset, rst_period);

2
3 //CLKIN is the period of integration, LFCLK is the rate of tap changing.

4 //Proposed CLKIN = 10kHz, LFCLK = 10Hz.

5
6 input CLKIN;

7 input LFCLK;

8 input RST;

9 input [7:0] rst_period;

10 input [7:0] data_in_HPF;

11 input [7:0] data_in_LPF;

12 output [6:0] tap_out;

13 output [8:0] data_reg;

14 output count_reset;

15

1 The presented implementation was designed by Daiwen Sun under the direct supervision of Ermis Koutsos.
A.1 VHDL code for neuromorphic iMDF tracker 172

16 reg [7:0] rst_count;

17 reg signed [8:0] signed_data_in_HPF;

18 reg signed [8:0] signed_data_in_LPF;

19 reg signed [8:0] data_reg;

20 reg signed [8:0] data_conv;

21 reg [6:0] tap_out;

22 reg count_reset;

23
24 initial begin

25 signed_data_in_LPF = 9'sd0;

26 signed_data_in_HPF = 9'sd0;

27 tap_out = 7'd25;

28 data_reg = 9'sd0;

29 rst_count = 6'b0;

30 end

31
32 always @(posedge CLKIN)

33 begin

34 count_reset = 1'b0;

35 signed_data_in_HPF <= data_in_HPF;

36 signed_data_in_LPF <= data_in_LPF;

37 data_conv <= signed_data_in_HPF − signed_data_in_LPF;

38 //Reset integrator and tap_out if RST is asserted

39 if (RST == 1'b0)

40 begin

41
42 //Lock integrator when saturated

43 if (data_reg > 9'sd127) begin

44 data_reg <= 9'sd127;

45 end

46 else if (data_reg < −9'sd127) begin


A.1 VHDL code for neuromorphic iMDF tracker 173

47 data_reg <= −9'sd127;

48 end

49 else begin

50 data_reg <= data_reg + data_conv;

51 end

52 end

53
54 if (RST == 1'b1)

55 begin

56 data_reg <= 9'd0;

57 tap_out <= 7'd64;

58 end

59
60 //give reset signal when rst_period passed

61 rst_count <= rst_count + 1'b1;

62 if (rst_count == rst_period)begin

63 count_reset = 1'b1;

64 rst_count <= 6'b0;

65 end

66 end

67
68 //Do tap operations following rising edge of LFCLK

69 always @(posedge LFCLK)

70 begin

71 if (data_reg < 9'sd0 && tap_out > 7'd1)

72 begin

73 tap_out <= tap_out − 7'd1;

74 end

75 if (data_reg >= 9'sd0 && tap_out < 7'd125)

76 begin

77 tap_out <= tap_out + 7'd1;


A.1 VHDL code for neuromorphic iMDF tracker 174

78 end

79 end

80 endmodule
175

Appendix B

B.1 VHDL code for MFCV ASIC (OPTIMUS)

Listed bellow is the VHDL code for max selection function.

1 LIBRARY ieee;
2 USE IEEE.std_logic_1164.ALL;
3 USE IEEE.numeric_std.ALL;
4 USE work.ALL;
5 ENTITY max_funct IS
6 PORT(
7 --input signals
8 --2 vectors of 14 bit
9 input1, input2 : IN std_logic_vector(13 downto 0);
10 --enable : IN std_logic;
11 --maximum of the 2 input signals
12 output_max : OUT std_logic_vector(13 downto 0);
13 flag : OUT std_logic
14 );
15 END max_funct;
16 ARCHITECTURE comb OF max_funct IS
17 BEGIN
18 bigger: PROCESS(input1, input2)
19 BEGIN
20 if input1 > input2 then
21 output_max <= input1;
22 flag <= ’1’;
23 else
24 output_max <= input2;
25 flag <= ’0’;
26 end if;
27 END PROCESS bigger;
B.1 VHDL code for MFCV ASIC (OPTIMUS) 176

28 END comb;

1 LIBRARY ieee;
2 USE IEEE.std_logic_1164.ALL;
3 USE IEEE.numeric_std.ALL;
4 USE work.ALL;
5 ENTITY max_full IS
6 PORT(
7 --input signals
8 --2 vectors of 14 bit
9 input1, input2, input3, input4, input5, input6, input7, input8, input9, input10 : IN
std_logic_vector(13 downto 0);
10 input11, input12, input13, input14, input15, input16, input17, input18, input19,
input20 : IN std_logic_vector(13 downto 0);
11 input21, input22, input23, input24, input25, input26, input27, input28, input29,
input30 : IN std_logic_vector(13 downto 0);
12 input31, input32, input33, input34, input35, input36, input37, input38, input39,
input40 : IN std_logic_vector(13 downto 0);
13 --enable : IN std_logic;
14 --maximum of the 2 input signals
15 output : OUT std_logic_vector(39 downto 0)
16 );
17 END max_full;
18 ARCHITECTURE comb OF max_full IS
19 signal f : std_logic_vector(39 downto 0);
20 type output_full_mem is array (0 to 39) of std_logic_vector(13 downto 0);
21 signal output_max : output_full_mem;
22 BEGIN
23 output(39) <= f(38) and f(37) and f(35) and f(30) and f(20) and f(0);
24 output(38) <= f(38) and f(37) and f(35) and f(30) and f(20) and not(f(0));
25 output(37) <= f(38) and f(37) and f(35) and f(30) and not(f(20)) and f(1);
26 output(36) <= f(38) and f(37) and f(35) and f(30) and not(f(20)) and not(f(1));
27 output(35) <= f(38) and f(37) and f(35) and not(f(30)) and f(21) and f(2);
28 output(34) <= f(38) and f(37) and f(35) and not(f(30)) and f(21) and not(f(2));
29 output(33) <= f(38) and f(37) and f(35) and not(f(30)) and not(f(21)) and f(3);
30 output(32) <= f(38) and f(37) and f(35) and not(f(30)) and not(f(21)) and not(f(3));
31 output(31) <= f(38) and f(37) and not(f(35)) and f(31) and f(22) and f(4);
32 output(30) <= f(38) and f(37) and not(f(35)) and f(31) and f(22) and not(f(4));
33 output(29) <= f(38) and f(37) and not(f(35)) and f(31) and not(f(22)) and f(5);
34 output(28) <= f(38) and f(37) and not(f(35)) and f(31) and not(f(22)) and not(f(5));
35 output(27) <= f(38) and f(37) and not(f(35)) and not(f(31)) and f(23) and f(6);
36 output(26) <= f(38) and f(37) and not(f(35)) and not(f(31)) and f(23) and not(f(6));
B.1 VHDL code for MFCV ASIC (OPTIMUS) 177

37 output(25) <= f(38) and f(37) and not(f(35)) and not(f(31)) and not(f(23)) and f(7);
38 output(24) <= f(38) and f(37) and not(f(35)) and not(f(31)) and not(f(23)) and not(f
(7));
39 output(23) <= f(38) and not(f(37)) and f(36) and f(32) and f(24) and f(8);
40 output(22) <= f(38) and not(f(37)) and f(36) and f(32) and f(24) and not(f(8));
41 output(21) <= f(38) and not(f(37)) and f(36) and f(32) and not(f(24)) and f(9);
42 output(20) <= f(38) and not(f(37)) and f(36) and f(32) and not(f(24)) and not(f(9));
43 output(19) <= f(38) and not(f(37)) and f(36) and not(f(32)) and f(25) and f(10);
44 output(18) <= f(38) and not(f(37)) and f(36) and not(f(32)) and f(25) and not(f(10));
45 output(17) <= f(38) and not(f(37)) and f(36) and not(f(32)) and not(f(25)) and f(11);
46 output(16) <= f(38) and not(f(37)) and f(36) and not(f(32)) and not(f(25)) and not(f
(11));
47 output(15) <= f(38) and not(f(37)) and not(f(36)) and f(33) and f(26) and f(12);
48 output(14) <= f(38) and not(f(37)) and not(f(36)) and f(33) and f(26) and not(f(12));
49 output(13) <= f(38) and not(f(37)) and not(f(36)) and f(33) and not(f(26)) and f(13);
50 output(12) <= f(38) and not(f(37)) and not(f(36)) and f(33) and not(f(26)) and not(f
(13));
51 output(11) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and f(27) and f(14);
52 output(10) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and f(27) and not(f
(14));
53 output(9) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and not(f(27)) and f
(15);
54 output(8) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and not(f(27)) and
not(f(15));
55 output(7) <= not(f(38)) and f(34) and f(28) and f(16);
56 output(6) <= not(f(38)) and f(34) and f(28) and not(f(16));
57 output(5) <= not(f(38)) and f(34) and not(f(28)) and f(17);
58 output(4) <= not(f(38)) and f(34) and not(f(28)) and not(f(17));
59 output(3) <= not(f(38)) and not(f(34)) and f(29) and f(18);
60 output(2) <= not(f(38)) and not(f(34)) and f(29) and not(f(18));
61 output(1) <= not(f(38)) and not(f(34)) and not(f(29)) and f(19);
62 output(0) <= not(f(38)) and not(f(34)) and not(f(29)) and not(f(19));
63 A1: entity max_funct port map( input1 => input1, input2 => input2, output_max =>
output_max(0), flag => f(0) );
64 A2: entity max_funct port map( input1 => input3, input2 => input4, output_max =>
output_max(1), flag => f(1) );
65 A3: entity max_funct port map( input1 => input5, input2 => input6, output_max =>
output_max(2), flag => f(2) );
66 A4: entity max_funct port map( input1 => input7, input2 => input8, output_max =>
output_max(3), flag => f(3) );
67 A5: entity max_funct port map( input1 => input9, input2 => input10, output_max =>
output_max(4), flag => f(4) );
B.1 VHDL code for MFCV ASIC (OPTIMUS) 178

68 A6: entity max_funct port map( input1 => input11, input2 => input12, output_max =>
output_max(5), flag => f(5) );
69 A7: entity max_funct port map( input1 => input13, input2 => input14, output_max =>
output_max(6), flag => f(6) );
70 A8: entity max_funct port map( input1 => input15, input2 => input16, output_max =>
output_max(7), flag => f(7) );
71 A9: entity max_funct port map( input1 => input17, input2 => input18, output_max =>
output_max(8), flag => f(8) );
72 A10: entity max_funct port map( input1 => input19, input2 => input20, output_max =>
output_max(9), flag => f(9) );
73 A11: entity max_funct port map( input1 => input21, input2 => input22, output_max =>
output_max(10), flag => f(10) );
74 A12: entity max_funct port map( input1 => input23, input2 => input24, output_max =>
output_max(11), flag => f(11) );
75 A13: entity max_funct port map( input1 => input25, input2 => input26, output_max =>
output_max(12), flag => f(12) );
76 A14: entity max_funct port map( input1 => input27, input2 => input28, output_max =>
output_max(13), flag => f(13) );
77 A15: entity max_funct port map( input1 => input29, input2 => input30, output_max =>
output_max(14), flag => f(14) );
78 A16: entity max_funct port map( input1 => input31, input2 => input32, output_max =>
output_max(15), flag => f(15) );
79 A17: entity max_funct port map( input1 => input33, input2 => input34, output_max =>
output_max(16), flag => f(16) );
80 A18: entity max_funct port map( input1 => input35, input2 => input36, output_max =>
output_max(17), flag => f(17) );
81 A19: entity max_funct port map( input1 => input37, input2 => input38, output_max =>
output_max(18), flag => f(18) );
82 A20: entity max_funct port map( input1 => input39, input2 => input40, output_max =>
output_max(19), flag => f(19) );
83 B1: entity max_funct port map( input1 => output_max(0), input2 => output_max(1),
output_max => output_max(20), flag => f(20) );
84 B2: entity max_funct port map( input1 => output_max(2), input2 => output_max(3),
output_max => output_max(21), flag => f(21) );
85 B3: entity max_funct port map( input1 => output_max(4), input2 => output_max(5),
output_max => output_max(22), flag => f(22) );
86 B4: entity max_funct port map( input1 => output_max(6), input2 => output_max(7),
output_max => output_max(23), flag => f(23) );
87 B5: entity max_funct port map( input1 => output_max(8), input2 => output_max(9),
output_max => output_max(24), flag => f(24) );
88 B6: entity max_funct port map( input1 => output_max(10), input2 => output_max(11),
output_max => output_max(25), flag => f(25) );
B.2 VHDL code for SDMF ASIC (BATMAN) 179

89 B7: entity max_funct port map( input1 => output_max(12), input2 => output_max(13),
output_max => output_max(26), flag => f(26) );
90 B8: entity max_funct port map( input1 => output_max(14), input2 => output_max(15),
output_max => output_max(27), flag => f(27) );
91 B9: entity max_funct port map( input1 => output_max(16), input2 => output_max(17),
output_max => output_max(28), flag => f(28) );
92 B10: entity max_funct port map( input1 => output_max(18), input2 => output_max(19),
output_max => output_max(29), flag => f(29) );
93 C1: entity max_funct port map( input1 => output_max(20), input2 => output_max(21),
output_max => output_max(30), flag => f(30) );
94 C2: entity max_funct port map( input1 => output_max(22), input2 => output_max(23),
output_max => output_max(31), flag => f(31) );
95 C3: entity max_funct port map( input1 => output_max(24), input2 => output_max(25),
output_max => output_max(32), flag => f(32) );
96 C4: entity max_funct port map( input1 => output_max(26), input2 => output_max(27),
output_max => output_max(33), flag => f(33) );
97 C5: entity max_funct port map( input1 => output_max(28), input2 => output_max(29),
output_max => output_max(34), flag => f(34) );
98 D1: entity max_funct port map( input1 => output_max(30), input2 => output_max(31),
output_max => output_max(35), flag => f(35) );
99 D2: entity max_funct port map( input1 => output_max(32), input2 => output_max(33),
output_max => output_max(36), flag => f(36) );
100 E1: entity max_funct port map( input1 => output_max(35), input2 => output_max(36),
output_max => output_max(37), flag => f(37) );
101 F1: entity max_funct port map( input1 => output_max(37), input2 => output_max(34),
output_max => output_max(38), flag => f(38) );
102 END comb;

B.2 VHDL code for SDMF ASIC (BATMAN)

Listed bellow is the VHDL code for min dierence selection function.

1 LIBRARY ieee;
2 USE IEEE.std_logic_1164.ALL;
3 USE IEEE.numeric_std.ALL;
4 USE work.ALL;
5 ENTITY diff_funct IS
6 PORT(
7 input, ca : IN std_logic_vector(8 downto 0);
8 diff : OUT std_logic_vector(8 downto 0)
9 );
B.2 VHDL code for SDMF ASIC (BATMAN) 180

10 END diff_funct;
11 ARCHITECTURE comb OF diff_funct IS
12 BEGIN
13 bigger: PROCESS(input,ca)
14 BEGIN
15 diff <= std_logic_vector(
16 resize(
17 unsigned(
18 abs(
19 signed(resize(unsigned(input), 10))
20 -
21 (signed(resize(unsigned(ca), 10)) / 2)
22 )
23 ),9)
24 );
25 END PROCESS bigger;
26 END comb;

1 LIBRARY ieee;
2 USE IEEE.std_logic_1164.ALL;
3 USE IEEE.numeric_std.ALL;
4 USE work.ALL;
5 ENTITY min_funct IS
6 PORT(
7 input1, input2 : IN std_logic_vector(8 downto 0);
8 output_min : OUT std_logic_vector(8 downto 0);
9 flag : OUT std_logic
10
11 );
12 END min_funct;
13 ARCHITECTURE comb OF min_funct IS
14 BEGIN
15 bigger: PROCESS(input1, input2)
16 BEGIN
17 if input1 > input2 then
18 output_min <= input2;
19 flag <= ’0’;
20 else
21 output_min <= input1;
22 flag <= ’1’;
23 end if;
24 END process bigger;
B.2 VHDL code for SDMF ASIC (BATMAN) 181

25 END comb;

1 LIBRARY ieee;
2 USE IEEE.std_logic_1164.ALL;
3 USE IEEE.numeric_std.ALL;
4 USE work.ALL;
5 ENTITY min_full IS
6 PORT(
7 input1, input2, input3, input4, input5, input6, input7, input8, input9, input10 : IN
std_logic_vector(8 downto 0);
8 input11, input12, input13, input14, input15, input16, input17, input18, input19,
input20 : IN std_logic_vector(8 downto 0);
9 input21, input22, input23, input24, input25, input26, input27, input28, input29,
input30 : IN std_logic_vector(8 downto 0);
10 input31, input32, input33, input34, input35, input36, input37, input38, input39,
input40 : IN std_logic_vector(8 downto 0);
11 ca : IN std_logic_vector(8 downto 0);
12 output_top : OUT std_logic_vector(39 downto 0)
13 );
14 END min_full;
15 ARCHITECTURE comb OF min_full IS
16 signal f : std_logic_vector(39 downto 0);
17 type output_full_mem is array (0 to 39) of std_logic_vector(8 downto 0);
18 signal output_min : output_full_mem;
19 signal diff : output_full_mem;
20 BEGIN
21 output_top(39) <= not(f(38)) and not(f(34)) and not(f(29)) and not(f(19));
22 output_top(38) <= not(f(38)) and not(f(34)) and not(f(29)) and f(19);
23 output_top(37) <= not(f(38)) and not(f(34)) and f(29) and not(f(18));
24 output_top(36) <= not(f(38)) and not(f(34)) and f(29) and f(18);
25 output_top(35) <= not(f(38)) and f(34) and not(f(28)) and not(f(17));
26 output_top(34) <= not(f(38)) and f(34) and not(f(28)) and f(17);
27 output_top(33) <= not(f(38)) and f(34) and f(28) and not(f(16));
28 output_top(32) <= not(f(38)) and f(34) and f(28) and f(16);
29 output_top(31) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and not(f(27))
and not(f(15));
30 output_top(30) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and not(f(27))
and f(15);
31 output_top(29) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and f(27) and
not(f(14));
32 output_top(28) <= f(38) and not(f(37)) and not(f(36)) and not(f(33)) and f(27) and f
(14);
B.2 VHDL code for SDMF ASIC (BATMAN) 182

33 output_top(27) <= f(38) and not(f(37)) and not(f(36)) and f(33) and not(f(26)) and
not(f(13));
34 output_top(26) <= f(38) and not(f(37)) and not(f(36)) and f(33) and not(f(26)) and f
(13);
35 output_top(25) <= f(38) and not(f(37)) and not(f(36)) and f(33) and f(26) and not(f
(12));
36 output_top(24) <= f(38) and not(f(37)) and not(f(36)) and f(33) and f(26) and f(12);
37 output_top(23) <= f(38) and not(f(37)) and f(36) and not(f(32)) and not(f(25)) and
not(f(11));
38 output_top(22) <= f(38) and not(f(37)) and f(36) and not(f(32)) and not(f(25)) and f
(11);
39 output_top(21) <= f(38) and not(f(37)) and f(36) and not(f(32)) and f(25) and not(f
(10));
40 output_top(20) <= f(38) and not(f(37)) and f(36) and not(f(32)) and f(25) and f(10);
41 output_top(19) <= f(38) and not(f(37)) and f(36) and f(32) and not(f(24)) and not(f
(9));
42 output_top(18) <= f(38) and not(f(37)) and f(36) and f(32) and not(f(24)) and f(9);
43 output_top(17) <= f(38) and not(f(37)) and f(36) and f(32) and f(24) and not(f(8));
44 output_top(16) <= f(38) and not(f(37)) and f(36) and f(32) and f(24) and f(8);
45 output_top(15) <= f(38) and f(37) and not(f(35)) and not(f(31)) and not(f(23)) and
not(f(7));
46 output_top(14) <= f(38) and f(37) and not(f(35)) and not(f(31)) and not(f(23)) and f
(7);
47 output_top(13) <= f(38) and f(37) and not(f(35)) and not(f(31)) and f(23) and not(f
(6));
48 output_top(12) <= f(38) and f(37) and not(f(35)) and not(f(31)) and f(23) and f(6);
49 output_top(11) <= f(38) and f(37) and not(f(35)) and f(31) and not(f(22)) and not(f
(5));
50 output_top(10) <= f(38) and f(37) and not(f(35)) and f(31) and not(f(22)) and f(5);
51 output_top(9) <= f(38) and f(37) and not(f(35)) and f(31) and f(22) and not(f(4));
52 output_top(8) <= f(38) and f(37) and not(f(35)) and f(31) and f(22) and f(4);
53 output_top(7) <= f(38) and f(37) and f(35) and not(f(30)) and not(f(21)) and not(f(3)
);
54 output_top(6) <= f(38) and f(37) and f(35) and not(f(30)) and not(f(21)) and f(3);
55 output_top(5) <= f(38) and f(37) and f(35) and not(f(30)) and f(21) and not(f(2));
56 output_top(4) <= f(38) and f(37) and f(35) and not(f(30)) and f(21) and f(2);
57 output_top(3) <= f(38) and f(37) and f(35) and f(30) and not(f(20)) and not(f(1));
58 output_top(2) <= f(38) and f(37) and f(35) and f(30) and not(f(20)) and f(1);
59 output_top(1) <= f(38) and f(37) and f(35) and f(30) and f(20) and not(f(0));
60 output_top(0) <= f(38) and f(37) and f(35) and f(30) and f(20) and f(0);
61 X1: entity diff_funct port map( input => input1, ca => ca, diff => diff(0) );
62 X2: entity diff_funct port map( input => input2, ca => ca, diff => diff(1) );
B.2 VHDL code for SDMF ASIC (BATMAN) 183

63 X3: entity diff_funct port map( input => input3, ca => ca, diff => diff(2) );
64 X4: entity diff_funct port map( input => input4, ca => ca, diff => diff(3) );
65 X5: entity diff_funct port map( input => input5, ca => ca, diff => diff(4) );
66 X6: entity diff_funct port map( input => input6, ca => ca, diff => diff(5) );
67 X7: entity diff_funct port map( input => input7, ca => ca, diff => diff(6) );
68 X8: entity diff_funct port map( input => input8, ca => ca, diff => diff(7) );
69 X9: entity diff_funct port map( input => input9, ca => ca, diff => diff(8) );
70 X10: entity diff_funct port map( input => input10, ca => ca, diff => diff(9) );
71 X11: entity diff_funct port map( input => input11, ca => ca, diff => diff(10) );
72 X12: entity diff_funct port map( input => input12, ca => ca, diff => diff(11) );
73 X13: entity diff_funct port map( input => input13, ca => ca, diff => diff(12) );
74 X14: entity diff_funct port map( input => input14, ca => ca, diff => diff(13) );
75 X15: entity diff_funct port map( input => input15, ca => ca, diff => diff(14) );
76 X16: entity diff_funct port map( input => input16, ca => ca, diff => diff(15) );
77 X17: entity diff_funct port map( input => input17, ca => ca, diff => diff(16) );
78 X18: entity diff_funct port map( input => input18, ca => ca, diff => diff(17) );
79 X19: entity diff_funct port map( input => input19, ca => ca, diff => diff(18) );
80 X20: entity diff_funct port map( input => input20, ca => ca, diff => diff(19) );
81 X21: entity diff_funct port map( input => input21, ca => ca, diff => diff(20) );
82 X22: entity diff_funct port map( input => input22, ca => ca, diff => diff(21) );
83 X23: entity diff_funct port map( input => input23, ca => ca, diff => diff(22) );
84 X24: entity diff_funct port map( input => input24, ca => ca, diff => diff(23) );
85 X25: entity diff_funct port map( input => input25, ca => ca, diff => diff(24) );
86 X26: entity diff_funct port map( input => input26, ca => ca, diff => diff(25) );
87 X27: entity diff_funct port map( input => input27, ca => ca, diff => diff(26) );
88 X28: entity diff_funct port map( input => input28, ca => ca, diff => diff(27) );
89 X29: entity diff_funct port map( input => input29, ca => ca, diff => diff(28) );
90 X30: entity diff_funct port map( input => input30, ca => ca, diff => diff(29) );
91 X31: entity diff_funct port map( input => input31, ca => ca, diff => diff(30) );
92 X32: entity diff_funct port map( input => input32, ca => ca, diff => diff(31) );
93 X33: entity diff_funct port map( input => input33, ca => ca, diff => diff(32) );
94 X34: entity diff_funct port map( input => input34, ca => ca, diff => diff(33) );
95 X35: entity diff_funct port map( input => input35, ca => ca, diff => diff(34) );
96 X36: entity diff_funct port map( input => input36, ca => ca, diff => diff(35) );
97 X37: entity diff_funct port map( input => input37, ca => ca, diff => diff(36) );
98 X38: entity diff_funct port map( input => input38, ca => ca, diff => diff(37) );
99 X39: entity diff_funct port map( input => input39, ca => ca, diff => diff(38) );
100 X40: entity diff_funct port map( input => input40, ca => ca, diff => diff(39) );
101 A1: entity min_funct port map( input1 => diff(0), input2 => diff(1), output_min =>
output_min(0), flag => f(0) );
102 A2: entity min_funct port map( input1 => diff(2), input2 => diff(3), output_min =>
output_min(1), flag => f(1) );
B.2 VHDL code for SDMF ASIC (BATMAN) 184

103 A3: entity min_funct port map( input1 => diff(4), input2 => diff(5), output_min =>
output_min(2), flag => f(2) );
104 A4: entity min_funct port map( input1 => diff(6), input2 => diff(7), output_min =>
output_min(3), flag => f(3) );
105 A5: entity min_funct port map( input1 => diff(8), input2 => diff(9), output_min =>
output_min(4), flag => f(4) );
106 A6: entity min_funct port map( input1 => diff(10), input2 => diff(11), output_min =>
output_min(5), flag => f(5) );
107 A7: entity min_funct port map( input1 => diff(12), input2 => diff(13), output_min =>
output_min(6), flag => f(6) );
108 A8: entity min_funct port map( input1 => diff(14), input2 => diff(15), output_min =>
output_min(7), flag => f(7) );
109 A9: entity min_funct port map( input1 => diff(16), input2 => diff(17), output_min =>
output_min(8), flag => f(8) );
110 A10: entity min_funct port map( input1 => diff(18), input2 => diff(19), output_min =>
output_min(9), flag => f(9) );
111 A11: entity min_funct port map( input1 => diff(20), input2 => diff(21), output_min =>
output_min(10), flag => f(10) );
112 A12: entity min_funct port map( input1 => diff(22), input2 => diff(23), output_min =>
output_min(11), flag => f(11) );
113 A13: entity min_funct port map( input1 => diff(24), input2 => diff(25), output_min =>
output_min(12), flag => f(12) );
114 A14: entity min_funct port map( input1 => diff(26), input2 => diff(27), output_min =>
output_min(13), flag => f(13) );
115 A15: entity min_funct port map( input1 => diff(28), input2 => diff(29), output_min =>
output_min(14), flag => f(14) );
116 A16: entity min_funct port map( input1 => diff(30), input2 => diff(31), output_min =>
output_min(15), flag => f(15) );
117 A17: entity min_funct port map( input1 => diff(32), input2 => diff(33), output_min =>
output_min(16), flag => f(16) );
118 A18: entity min_funct port map( input1 => diff(34), input2 => diff(35), output_min =>
output_min(17), flag => f(17) );
119 A19: entity min_funct port map( input1 => diff(36), input2 => diff(37), output_min =>
output_min(18), flag => f(18) );
120 A20: entity min_funct port map( input1 => diff(38), input2 => diff(39), output_min =>
output_min(19), flag => f(19) );
121 B1: entity min_funct port map( input1 => output_min(0), input2 => output_min(1),
output_min => output_min(20), flag => f(20) );
122 B2: entity min_funct port map( input1 => output_min(2), input2 => output_min(3),
output_min => output_min(21), flag => f(21) );
123 B3: entity min_funct port map( input1 => output_min(4), input2 => output_min(5),
output_min => output_min(22), flag => f(22) );
B.3 VHDL code for MFM ASIC (ATLAS) 185

124 B4: entity min_funct port map( input1 => output_min(6), input2 => output_min(7),
output_min => output_min(23), flag => f(23) );
125 B5: entity min_funct port map( input1 => output_min(8), input2 => output_min(9),
output_min => output_min(24), flag => f(24) );
126 B6: entity min_funct port map( input1 => output_min(10), input2 => output_min(11),
output_min => output_min(25), flag => f(25) );
127 B7: entity min_funct port map( input1 => output_min(12), input2 => output_min(13),
output_min => output_min(26), flag => f(26) );
128 B8: entity min_funct port map( input1 => output_min(14), input2 => output_min(15),
output_min => output_min(27), flag => f(27) );
129 B9: entity min_funct port map( input1 => output_min(16), input2 => output_min(17),
output_min => output_min(28), flag => f(28) );
130 B10: entity min_funct port map( input1 => output_min(18), input2 => output_min(19),
output_min => output_min(29), flag => f(29) );
131 C1: entity min_funct port map( input1 => output_min(20), input2 => output_min(21),
output_min => output_min(30), flag => f(30) );
132 C2: entity min_funct port map( input1 => output_min(22), input2 => output_min(23),
output_min => output_min(31), flag => f(31) );
133 C3: entity min_funct port map( input1 => output_min(24), input2 => output_min(25),
output_min => output_min(32), flag => f(32) );
134 C4: entity min_funct port map( input1 => output_min(26), input2 => output_min(27),
output_min => output_min(33), flag => f(33) );
135 C5: entity min_funct port map( input1 => output_min(28), input2 => output_min(29),
output_min => output_min(34), flag => f(34) );
136 D1: entity min_funct port map( input1 => output_min(30), input2 => output_min(31),
output_min => output_min(35), flag => f(35) );
137 D2: entity min_funct port map( input1 => output_min(32), input2 => output_min(33),
output_min => output_min(36), flag => f(36) );
138 E1: entity min_funct port map( input1 => output_min(35), input2 => output_min(36),
output_min => output_min(37), flag => f(37) );
139 F1: entity min_funct port map( input1 => output_min(37), input2 => output_min(34),
output_min => output_min(38), flag => f(38) );
140 END comb;

B.3 VHDL code for MFM ASIC (ATLAS)

Listed bellow is the VHDL code for the Finite State Machine.

1 library IEEE;
2 USE IEEE.STD_LOGIC_1164.ALL;
3 USE IEEE.NUMERIC_STD.ALL;
B.3 VHDL code for MFM ASIC (ATLAS) 186

4 --after read only, wait for EOC to change state to send only, so that the reset_adc
is correct. also, send 2 send only commands to get the 1st result, and then 1 per
EOC
5 -- Address is a 16-bit input coming from the SPI
6 ------------------SSXXXXXXXXXXXXXX------------------
7 -- SS: Select Operation
8 ---- 00: idle
9 ---- 01: read_only
10 ---- 10: send_only
11 ---- 11: test
12 entity safe_state is
13 port(
14 clk: in std_logic;
15 EOC: in std_logic;
16 READY: in std_logic;
17 CS: in std_logic;
18 data_from_spi: in std_logic_vector(15 downto 0);
19 reset: in std_logic;
20 ADC: in std_logic_vector(9 downto 0);
21 MFCV: in std_logic_vector(5 downto 0);
22 IMDF: in std_logic_vector(5 downto 0);
23 N: in std_logic_vector(8 downto 0);
24 G1: out std_logic_vector(4 downto 0);
25 G2: out std_logic_vector(4 downto 0);
26 PD: out std_logic;
27 AGND: out std_logic_vector(2 downto 0);
28 SEL_IMDF: out std_logic_vector(1 downto 0);
29 SEL_MFCV: out std_logic_vector(3 downto 0);
30 CLOCK_SEL: out std_logic_vector(11 downto 0);
31 DAC1: out std_logic_vector(9 downto 0);
32 DAC2: out std_logic_vector(9 downto 0);
33 INTERRUPT: out std_logic;
34 RESET_ADC: out std_logic;
35 data_to_spi: out std_logic_vector(15 downto 0)
36 );
37 end entity;
38 architecture rtl of safe_state is
39 type state_type is (idle, read_only, send_only, test);
40 -- Register to hold the current state
41 signal state,nstate : state_type;
42 signal SEND : std_logic;
43 signal PD_in : std_logic;
B.3 VHDL code for MFM ASIC (ATLAS) 187

44 signal EOC_in,TRIGGER : std_logic;


45 signal CS_in, CS_in2, CS_inin, CS_ininin : std_logic;
46 signal data_from_spi_in, data_from_spi_in2: std_logic_vector(13 downto 0);
47 signal TEST_COUNTER: std_logic_vector(1 downto 0);
48 begin
49 -- Logic to advance to the next state
50 process (clk, reset)
51 begin
52 if reset = ’0’ then
53 state <= idle;
54 nstate <= idle;
55 elsif (clk’EVENT and clk=’1’) then
56 state<=nstate;
57 case state is
58 when idle =>
59 RESET_ADC<= ’0’;
60 if ((data_from_spi(15) = ’0’) and (data_from_spi(14) = ’1’)) then
61 nstate <= read_only;
62 elsif ((data_from_spi(15) = ’1’) and (data_from_spi(14) = ’0’)) then
63 nstate <= send_only;
64 elsif ((data_from_spi(15) = ’1’) and (data_from_spi(14) = ’1’)) then
65 nstate <= test;
66 end if;
67 when read_only=>
68 if ((data_from_spi(15) = ’0’) and (data_from_spi(14) = ’0’)) then
69 nstate <= idle;
70 elsif ((data_from_spi(15) = ’1’) and (data_from_spi(14) = ’0’)) then
71 nstate <= send_only;
72 RESET_ADC<=’1’;
73 elsif ((data_from_spi(15) = ’1’) and (data_from_spi(14) = ’1’)) then
74 nstate <= test;
75 end if;
76 when send_only=>
77 if ((data_from_spi(15) = ’0’) and (data_from_spi(14) = ’0’)) then
78 nstate <= idle;
79 elsif ((data_from_spi(15) = ’0’) and (data_from_spi(14) = ’1’)) then
80 nstate <= read_only;
81 elsif ((data_from_spi(15) = ’1’) and (data_from_spi(14) = ’1’)) then
82 nstate <= test;
83 end if;
84 when test=>
85 if ((data_from_spi(15) = ’0’) and (data_from_spi(14) = ’0’)) then
B.3 VHDL code for MFM ASIC (ATLAS) 188

86 nstate <= idle;


87 elsif ((data_from_spi(15) = ’0’) and (data_from_spi(14) = ’1’)) then
88 nstate <= read_only;
89 elsif ((data_from_spi(15) = ’1’) and (data_from_spi(14) = ’0’)) then
90 nstate <= send_only;
91 end if;
92 when others=> NULL;
93 end case;
94 end if;
95 end process;
96 -- Logic to determine output
97 process (clk)
98 begin
99 if (clk’EVENT and clk=’1’) then
100 EOC_in<= EOC;
101 CS_in<= CS;
102 CS_inin<= CS_in;
103 CS_ininin<= CS_inin;
104 data_from_spi_in2<= data_from_spi(13 downto 0);
105 data_from_spi_in<= data_from_spi_in2;
106 case state is
107 when idle =>
108 G1<= "11110";
109 G2<= "11110";
110 PD_in<= ’1’;
111 AGND<= (OTHERS=>’0’);
112 SEL_IMDF<= (OTHERS=>’0’);
113 SEL_MFCV<= (OTHERS=>’0’);
114 CLOCK_SEL<= (OTHERS=>’0’);
115 DAC1<= (OTHERS=>’0’);
116 DAC2<= (OTHERS=>’0’);
117 when read_only =>
118 if(data_from_spi_in(13 downto 11) = "000") THEN
119 CLOCK_SEL(10 downto 0) <= data_from_spi_in(10 downto 0);
120 elsif(data_from_spi_in(13 downto 11) = "001") THEN
121 G1 <= data_from_spi_in(9 downto 5);
122 G2 <= data_from_spi_in(4 downto 0);
123 CLOCK_SEL(11) <= data_from_spi_in(10);
124 elsif(data_from_spi_in(13 downto 11) = "010") THEN
125 DAC1<= data_from_spi_in(9 downto 0);
126 elsif(data_from_spi_in(13 downto 11) = "011") THEN
127 DAC2<= data_from_spi_in(9 downto 0);
B.3 VHDL code for MFM ASIC (ATLAS) 189

128 elsif(data_from_spi_in(13 downto 11) = "100") THEN


129 PD_in<= data_from_spi_in(10);
130 SEL_IMDF<= data_from_spi_in(9 downto 8);
131 SEL_MFCV<= data_from_spi_in(7 downto 4);
132 AGND<= data_from_spi_in(3 downto 1);
133 end if;
134 when others=> NULL;
135 end case;
136 end if;
137 end process;
138 process(clk, PD_in, EOC, READY ,EOC_in, CS_in2)
139 VARIABLE COUNTER1 : STD_LOGIC_VECTOR(1 DOWNTO 0);
140 begin
141 if PD_in = ’0’ then
142 SEND <= EOC;
143 else
144 SEND <= READY;
145 end if;
146 if (clk’EVENT and clk=’1’) then
147 case state is
148 when idle =>
149 data_to_spi<= (OTHERS=>’0’);
150 COUNTER1:= (OTHERS=>’0’);
151 when send_only =>
152 --if rising_edge(TRIGGER) then
153 if (CS_inin = ’1’ and CS_ininin = ’0’) then
154 data_to_spi(9 downto 0) <= ADC;
155 IF(COUNTER1 = "00") THEN
156 data_to_spi(15 downto 10) <= IMDF;
157 COUNTER1 := std_logic_vector(unsigned(COUNTER1) + "01");
158 ELSIF (COUNTER1 = "01") THEN
159 data_to_spi(15 downto 10) <= MFCV;
160 COUNTER1 := std_logic_vector(unsigned(COUNTER1) + "01");
161 ELSIF (COUNTER1 = "10") THEN
162 data_to_spi(15 downto 10) <= N(8 downto 3);
163 COUNTER1 := std_logic_vector(unsigned(COUNTER1) + "01");
164 ELSIF (COUNTER1 = "11") THEN
165 data_to_spi(12 downto 10) <= N(2 downto 0);
166 data_to_spi(15 downto 13) <= "000";
167 COUNTER1 := (OTHERS=>’0’);
168 end if;
169 end if;
B.3 VHDL code for MFM ASIC (ATLAS) 190

170 when test =>


171 data_to_spi <= data_from_spi;
172 when others=> NULL;
173 end case;
174 end if;
175 TEST_COUNTER<= COUNTER1;
176 end process;
177 process (CS)
178 begin
179 CS_in2<= not(CS);
180 end process;
181 PD <= PD_in;
182 INTERRUPT<=SEND;
183 end rtl;

Listed bellow is the VHDL code for the timing control unit.

1 library IEEE;
2 USE IEEE.STD_LOGIC_1164.ALL;
3 USE IEEE.NUMERIC_STD.ALL;
4 ENTITY ATLAS_timming is
5 PORT (
6 MASTER_CLOCK : IN STD_LOGIC;
7 RESET : IN STD_LOGIC;
8 SEL : IN std_logic_vector(11 downto 0);
9 CLK_1 : OUT STD_LOGIC;
10 CLK_2 : OUT STD_LOGIC;
11 CLK_3 : OUT STD_LOGIC;
12 CLK_4 : OUT STD_LOGIC;
13 CLK_5 : OUT STD_LOGIC);
14 END ATLAS_timming;
15 ARCHITECTURE Behavioral OF ATLAS_timming IS
16 -- (Fm/F)/2 = 1M/2/f = 500K/f
17 signal temporal1: STD_LOGIC;
18 signal temporal2: STD_LOGIC;
19 signal temporal3: STD_LOGIC;
20 signal temporal4: STD_LOGIC;
21 signal temporal5: STD_LOGIC;
22 signal COUNTERHOLD1 : STD_LOGIC_VECTOR(3 DOWNTO 0);--ADC
23 signal COUNTERHOLD2 : STD_LOGIC_VECTOR(19 DOWNTO 0);--LF MFCV
24 signal COUNTERHOLD3 : STD_LOGIC_VECTOR(7 DOWNTO 0);--HF MFCV
25 signal COUNTERHOLD4 : STD_LOGIC_VECTOR(19 DOWNTO 0);--LF IMDF
26 signal COUNTERHOLD5 : STD_LOGIC_VECTOR(7 DOWNTO 0);--HF IMDF
B.3 VHDL code for MFM ASIC (ATLAS) 191

27 CONSTANT COUNTERMAX1 : STD_LOGIC_VECTOR(3 DOWNTO 0):= "1000";--%66KHz clock


generation ADC
28 CONSTANT COUNTERMAX2 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "00111110";--%8KHz clock
generation HF
29 CONSTANT COUNTERMAX3 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "01000111";--%7KHz clock
generation
30 CONSTANT COUNTERMAX4 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "01010011";--%6KHz clock
generation
31 CONSTANT COUNTERMAX5 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "01100100";--%5KHz clock
generation
32 CONSTANT COUNTERMAX6 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "01111101";--%4KHz clock
generation
33 CONSTANT COUNTERMAX7 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "10100110";--%3KHz clock
generation
34 CONSTANT COUNTERMAX8 : STD_LOGIC_VECTOR(7 DOWNTO 0):= "11111010";--%2KHz clock
generation
35 CONSTANT COUNTERMAX9 : STD_LOGIC_VECTOR(19 DOWNTO 0):= "00011000011010100000";--%5Hz
clock generation LF
36 CONSTANT COUNTERMAX10 : STD_LOGIC_VECTOR(19 DOWNTO 0):= "00011110100001001000";--%4
Hz clock generation
37 CONSTANT COUNTERMAX11 : STD_LOGIC_VECTOR(19 DOWNTO 0):= "00101000100001110000";--%3
Hz clock generation
38 CONSTANT COUNTERMAX12 : STD_LOGIC_VECTOR(19 DOWNTO 0):= "00111101000010010000";--%2
Hz clock generation
39 CONSTANT COUNTERMAX13 : STD_LOGIC_VECTOR(19 DOWNTO 0):= "01111010000100100000";--%1
Hz clock generation
40 CONSTANT COUNTERMAX14 : STD_LOGIC_VECTOR(19 DOWNTO 0):= "11110100001001000000";--
%0.5Hz clock generation
41 BEGIN
42 PROCESS(RESET, MASTER_CLOCK)
43 VARIABLE COUNTER1 : STD_LOGIC_VECTOR(3 DOWNTO 0);--ADC
44 VARIABLE COUNTER2 : STD_LOGIC_VECTOR(19 DOWNTO 0);--LF MFCV
45 VARIABLE COUNTER3 : STD_LOGIC_VECTOR(7 DOWNTO 0);--HF MFCV
46 VARIABLE COUNTER4 : STD_LOGIC_VECTOR(19 DOWNTO 0);--LF IMDF
47 VARIABLE COUNTER5 : STD_LOGIC_VECTOR(7 DOWNTO 0);--HF IMDF
48 BEGIN
49 IF (RESET = ’0’) THEN
50 temporal1 <= ’0’;
51 temporal2 <= ’0’;
52 temporal3 <= ’0’;
53 temporal4 <= ’0’;
54 temporal5 <= ’0’;
B.3 VHDL code for MFM ASIC (ATLAS) 192

55 COUNTER1 := (OTHERS=>’0’);
56 COUNTER2 := (OTHERS=>’0’);
57 COUNTER3 := (OTHERS=>’0’);
58 COUNTER4 := (OTHERS=>’0’);
59 COUNTER5 := (OTHERS=>’0’);
60 ELSIF (MASTER_CLOCK’EVENT and MASTER_CLOCK=’1’) THEN --1MHz MASTER clock
61 IF(COUNTER1 = "0000") THEN
62 temporal1 <= NOT(temporal1);
63 COUNTER1 := COUNTERHOLD1;
64 ELSE
65 COUNTER1 := std_logic_vector(unsigned(COUNTER1) - "0001");
66 END IF;
67 IF(COUNTER2 = "00000000000000000000") THEN
68 temporal2 <= NOT(temporal2);
69 COUNTER2 := COUNTERHOLD2;
70 ELSE
71 COUNTER2 := std_logic_vector(unsigned(COUNTER2) - "00000000000000000001");
72 END IF;
73 IF(COUNTER3 = "00000000") THEN
74 temporal3 <= NOT(temporal3);
75 COUNTER3 := COUNTERHOLD3;
76 ELSE
77 COUNTER3 := std_logic_vector(unsigned(COUNTER3) - "0000001");
78 END IF;
79 IF(COUNTER4 = "00000000000000000000") THEN
80 temporal4 <= NOT(temporal4);
81 COUNTER4 := COUNTERHOLD4;
82 ELSE
83 COUNTER4 := std_logic_vector(unsigned(COUNTER4) - "00000000000000000001");
84 END IF;
85 IF(COUNTER5 = "00000000") THEN
86 temporal5 <= NOT(temporal5);
87 COUNTER5 := COUNTERHOLD5;
88 ELSE
89 COUNTER5 := std_logic_vector(unsigned(COUNTER5) - "0000001");
90 END IF;
91 END IF;
92 CLK_1 <= temporal1;
93 CLK_2 <= temporal2;
94 CLK_3 <= temporal3;
95 CLK_4 <= temporal4;
96 CLK_5 <= temporal5;
B.3 VHDL code for MFM ASIC (ATLAS) 193

97 END PROCESS;
98 PROCESS(SEL)
99 BEGIN
100 COUNTERHOLD1 <= "1000";
101 COUNTERHOLD2 <= "00000000000000000000";
102 COUNTERHOLD3 <= "00000000";
103 COUNTERHOLD4 <= "00000000000000000000";
104 COUNTERHOLD5 <= "00000000";
105 case SEL(11 DOWNTO 9) is --LF MFCV
106 when "000" => COUNTERHOLD2 <= COUNTERMAX9;
107 when "001" => COUNTERHOLD2 <= COUNTERMAX10;
108 when "010" => COUNTERHOLD2 <= COUNTERMAX11;
109 when "011" => COUNTERHOLD2 <= COUNTERMAX12;
110 when "100" => COUNTERHOLD2 <= COUNTERMAX13;
111 when "101" => COUNTERHOLD2 <= COUNTERMAX14;
112 when others => Null;
113 end case;
114 case SEL(8 DOWNTO 6) is --HF MFCV
115 when "000" => COUNTERHOLD3 <= COUNTERMAX2;
116 when "001" => COUNTERHOLD3 <= COUNTERMAX3;
117 when "010" => COUNTERHOLD3 <= COUNTERMAX4;
118 when "011" => COUNTERHOLD3 <= COUNTERMAX5;
119 when "100" => COUNTERHOLD3 <= COUNTERMAX6;
120 when "101" => COUNTERHOLD3 <= COUNTERMAX7;
121 when "110" => COUNTERHOLD3 <= COUNTERMAX8;
122 when others => Null;
123 end case;
124 case SEL(5 DOWNTO 3) is --LF IMDF
125 when "000" => COUNTERHOLD4 <= COUNTERMAX9;
126 when "001" => COUNTERHOLD4 <= COUNTERMAX10;
127 when "010" => COUNTERHOLD4 <= COUNTERMAX11;
128 when "011" => COUNTERHOLD4 <= COUNTERMAX12;
129 when "100" => COUNTERHOLD4 <= COUNTERMAX13;
130 when "101" => COUNTERHOLD4 <= COUNTERMAX14;
131 when others => Null;
132 end case;
133 case SEL(2 DOWNTO 0) is --HF IMDF
134 when "000" => COUNTERHOLD5 <= COUNTERMAX2;
135 when "001" => COUNTERHOLD5 <= COUNTERMAX3;
136 when "010" => COUNTERHOLD5 <= COUNTERMAX4;
137 when "011" => COUNTERHOLD5 <= COUNTERMAX5;
138 when "100" => COUNTERHOLD5 <= COUNTERMAX6;
B.3 VHDL code for MFM ASIC (ATLAS) 194

139 when "101" => COUNTERHOLD5 <= COUNTERMAX7;


140 when "110" => COUNTERHOLD5 <= COUNTERMAX8;
141 when others => Null;
142 end case;
143 END PROCESS;
144 END Behavioral;
195

Appendix C

C.1 Bit-Stream Cross-Correlator Modelling

Listed bellow is the MATLAB


® code for the selection of optimum window size and sampling

frequency for the bit-stream cross-correlator.

1 load results1 . mat

2 f_samp = 4000;

3 ch1aa = detrend(ch1(1.3e4−16:2.8e5−16));

4 ch2aa = detrend(ch2(1.3e4:2.8e5));

5
6 for l = 1:8%undersample and ovesample from 1K to 8K equivalent sampling rate. Also simulate

window size from 0.1sec to 1.5sec in 15 steps of 0.1

7 ch1a = resample(ch1aa,l,4);

8 ch2a = resample(ch2aa,l,4);

9 % %inserting linear delay into 1 channel and correlating with other

10 % %insert 10 msec delay in samples

11 insert_delay = 0.01*(f_samp*l/4);

12 step = oor(length(ch1aa)/insert_delay);

13 del_array(1:insert_delay) = 0;

14 out1 = 0;

15 for i =1:insert_delay

16 temp = [ch1aa((i*step)−step+1:i*step) ch1aa(i*step)];

17 out1 = [out1 temp];

18 end
C.1 Bit-Stream Cross-Correlator Modelling 196

19 out2 = [ch2aa del_array];

20 reference = 0.00;

21 out1b = zeros(1, length(out1)) ;

22 out2b = zeros(1, length(out2)) ;

23 for i =1:length(out1b)

24 if out1(i ) >= reference

25 out1b(i) = 1;

26 else

27 out1b(i) = 0;

28 end

29 if out2(i ) >= reference

30 out2b(i) = 1;

31 else

32 out2b(i) = 0;

33 end

34 end

35
36 for k =(0.1*f_samp*l/4):(0.1*f_samp*l/4):(1.5*f_samp*l/4)

37 window = k;

38 for i =1:oor(length(out1)/window)

39 r_emg = xcorr(out1(window*i−(window−1):window*i),out2(window*i−(window−1)

:window*i));

40 r_square = xcorr(out1b(window*i−(window−1):window*i),out2b(window*i−(

window−1):window*i));

41 [ v_emg(i),p_emg(i)] = max(r_emg);

42 [ v_square(i),p_square(i)] = max(r_square);

43 end

44 Delay_emg = (p_emg−window)/(f_samp*l/4);

45 Delay_Square = (p_square−window)/(f_samp*l/4);

46 DEL_XCORREMG{k/(0.1*f_samp*l/4),l} = Delay_emg;

47 DEL_XCORRSQUARE{k/(0.1*f_samp*l/4),l} = Delay_Square;
C.1 Bit-Stream Cross-Correlator Modelling 197

48 clear v_square p_emg p_square v_emg Delay_emg Delay_square

49 ch1b = out2b;

50 ch2b = out1b;

51 step = k

52 i =1;

53 t=1;

54 x=1;

55 length_samples = length(ch2b);

56 while i <=(length_samples−step)

57 temp1 = ch2b(i:i+step−1);

58 temp2 = ch1b(i:i+step−1);

59 size = length(temp1);

60 temp1 = [zeros(1,length(temp1)) temp1 zeros(1,length(temp1))];

61 for j =1:size*2+1

62 res = xor(temp1(size*2−(j−2) : size*3−j+1) , temp2);

63 out_temp(j) = sum(res(:) == 0);

64 t=t+1;

65 clear res

66 end

67 [~, index] = max(out_temp);

68 out(x) = step − index;

69 t=1;

70 x=x+1;

71 i =i+step;

72 clear index out_temp temp1 temp2 size

73 end

74 DEL_BITBASEN{k/(0.1*f_samp*l/4),l} = out./(f_samp*l/4);

75 clear out

76 end

77 clear ch2b ch2a ch1b ch1a out1 out2 out1b out2b

78 end
C.1 Bit-Stream Cross-Correlator Modelling 198

79
80 for l = 1:8

81 for i = 1:15

82 len1 = length(DEL_BITBASEN{i,l});

83 len2 = length(DEL_XCORREMG{i,l});

84 len3 = length(DEL_XCORRSQUARE{i,l});

85 y1 = 0:0.0095/len1:0.0095−0.0095/len1;

86 y2 = 0:0.0095/len2:0.0095−0.0095/len2;

87 y3 = 0:0.0095/len3:0.0095−0.0095/len3;

88 err_bitbasen(i, l ) = immse(y1,DEL_BITBASEN{i,l});

89 err_xcorremg(i,l) = immse(y2,DEL_XCORREMG{i,l});

90 err_xcorrsquare(i, l ) = immse(y3,DEL_XCORRSQUARE{i,l});

91 di1 = (y1−DEL_BITBASEN{i,l});

92 ratio1 = di1 ./DEL_BITBASEN{i,l};

93 ratio1 (isnan( ratio1 ))=0;

94 ratio1 ( isinf ( ratio1 ))=0;

95 MARD_bitbasen(i,l) = mean(abs(ratio1)).*100;

96 di2 = (y2−DEL_XCORREMG{i,l});

97 ratio2 = di1 ./DEL_XCORREMG{i,l};

98 ratio2 (isnan( ratio2 ))=0;

99 ratio2 ( isinf ( ratio2 ))=0;

100 MARD_xcorremg(i,l) = mean(abs(ratio2)).*100;

101 di3 = (y3−DEL_XCORRSQUARE{i,l});

102 ratio3 = di1 ./DEL_XCORRSQUARE{i,l};

103 ratio3 (isnan( ratio3 ))=0;

104 ratio3 ( isinf ( ratio3 ))=0;

105 MARD_xcorrsquare(i,l) = mean(abs(ratio3)).*100;

106 end

107 end
C.2 Noise Analysis 199

C.2 Noise Analysis

Listed bellow is the MATLAB


® code for the noise analysis.

1 data1 = detrend(data);

2 data2 = data1/100;% divide by di−gain in V/V

3 Fs = 100000;% dene sampling frequency in labchart/ADC

4 time = (1/100000):(1/100000):(length(data2)/100000);

5 LTime = length(time);

6 [ VOF_POWER,FREQ] = periodogram(data2,rectwin(LTime),LTime,Fs);

7 % if amplitude density labchart is used, skip periodogram and do:

8 % loglog(FrequencyHz,(Channel1VvHz))

9 gure

10 loglog (FREQ,sqrt(VOF_POWER), 'b')

11 grid on

12 % Caluculation of the integrated input refered noise

13 sum = 0;

14 Freq100m = nd(FREQ>0.1,1);

15 Unitfreq = nd(FREQ>2500,1);

16 for i =Freq100m:Unitfreq−1

17 sum=sum+(FREQ(i+1)−FREQ(i))*2*abs(VOF_POWER(i));

18 % if amplitude amplitude density labchart is used:

19 % sum=sum+(FrequencyHz(i+1)−FrequencyHz(i))*2*abs(Channel1VvHz(i)^2);

20 end

21 (sum^0.5)

22 % Plot single −sided amplitude spectrum.

23 title ( ' Single −Sided Amplitude Spectrum preamp')

24 xlabel ( ' Frequency (Hz)')

25 ylabel ( ' Power spectrum (V/sqrt(Hz))')

26 % to compare lab with cadence, load noise.csv and plot together :

27 % step1 = length(VNINX)/length(FREQ);

28 % newFreq = interp1((1:length(VNINX)), (VNINX),1:step1:length(VNINX));

29 % newMagq = interp1((1:length(VNINY)), (VNINY),1:step1:length(VNINY));


C.2 Noise Analysis 200

30 % loglog(FREQ(1:length(newFreq)),sqrt(VOF_POWER(1:length(newFreq))), 'r')

31 % hold on

32 % loglog(newFreq,newMagq, 'k')

You might also like