You are on page 1of 292

RF Bulk Acoustic Wave Filters

for Communications
For a listing of recent titles in the
Artech House Microwave Library,
turn to the back of this book.
RF Bulk Acoustic Wave Filters
for Communications

Ken-ya Hashimoto

Editor

artechhouse.com
Library of Congress Cataloging-in-Publication Data
A catalog record for this book is available from the U.S. Library of Congress.

British Library Cataloguing in Publication Data


A catalogue record for this book is available from the British Library.

ISBN-13: 978-1-59693-321-7

Cover design by Igor Valdman

© 2009 ARTECH HOUSE


685 Canton Street
Norwood, MA 02062

All rights reserved. Printed and bound in the United States of America. No part of this book
may be reproduced or utilized in any form or by any means, electronic or mechanical, includ-
ing photocopying, recording, or by any information storage and retrieval system, without
permission in writing from the publisher.
All terms mentioned in this book that are known to be trademarks or service marks have
been appropriately capitalized. Artech House cannot attest to the accuracy of this informa-
tion. Use of a term in this book should not be regarded as affecting the validity of any trade-
mark or service mark.

10 9 8 7 6 5 4 3 2 1
Contents
Preface ix

CHAPTER 1
Background and History 1
1.1 BAW Technology Background 1
1.1.1 Basic Definitions 1
1.1.2 Role of Piezoelectric Materials 2
1.1.3 Transducers and Resonators 3
1.1.4 Comparisons with SAW and Plate Wave Resonators 4
1.1.5 Other Kind of Resonators 5
1.1.6 Electrical Characteristics of Piezoelectric Resonators 7
1.1.7 Technology Driving Forces 10
1.2 Thin Plate Resonators: Towards High Frequencies 11
1.2.1 Conventional Quartz Crystal Thinning 11
1.2.2 Bonded Plate Resonators 11
1.3 Composite Resonators 12
1.4 Development of Thin Films 13
1.5 Multidimensional Effects 14
1.6 Legacy Filter Topologies 15
1.6.1 Balanced Bridge Filter 15
1.6.2 Ladder Filters 16
1.6.3 Lattice Filter 17
1.6.4 Monolithic Filters 18
1.7 Some Acoustic Device and Materials Processing Legacy 18
References 19

CHAPTER 2
Resonator and Filter Topologies 21
2.1 Plate Edge-Supported Resonators 21
2.1.1 Pothole Membrane 21
2.1.2 Pocket Membrane 24
2.1.3 Undercut Air Gap Membrane 25
2.2 Solidly Mounted Resonators 27
2.3 Electrode Metallization 29
2.4 Temperature Compensation 31
2.5 Electrically Coupled Filters 34
2.5.1 Ladder Filters 34

v
vi Contents

2.5.2 Balanced Ladder 37


2.5.3 Conventional Lattice 37
2.6 Acoustically Coupled Filters 37
2.6.1 Stacked Crystal Filter 38
2.6.2 Coupled Resonator Filter 42
2.7 Wide-Bandwidth Tuned Coupled Resonator Filters 45
2.8 Hybrid Filters 47
2.9 Summary 48
References 48

CHAPTER 3
BAW Device Basics 51
3.1 Thin Film Bulk Acoustic Wave Resonator 52
3.1.1 The Prototype Resonator and Piezoelectric Constitutive
Relations 52
3.1.2 The Basic Parameters and Equivalent Circuit 57
3.2 Basic Physics 59
3.2.1 Wave Propagation, Transmission, Reflection, and Attenuation
of Acoustic Waves 59
3.2.2 Electroacoustic Conversion 62
3.2.3 Mason Model 64
3.2.4 Dispersion Relations and Wave Modes 67
3.2.5 Resonator Design Based on Dispersion Relations 70
3.3 Device Design 74
3.3.1 Effective Coupling Coefficient 74
3.3.2 Loss Mechanisms and Q-Values 78
3.3.3 Spurious Modes 82
3.3.4 The Other Important Parameters 88
3.4 Summary 89
References 89

CHAPTER 4
Design and Fabrication of BAW Devices 91
4.1 Design Considerations for BAW Devices 91
4.1.1 Electromechanical Coupling Coefficient 91
4.1.2 Quality Factor 92
4.1.3 Spurious Modes 92
4.1.4 Power Handling 93
4.1.5 Temperature Coefficient of Frequency 93
4.1.6 Area Efficiency 94
4.1.7 Interconnect Losses and Parasitics 94
4.1.8 Robustness 95
4.1.9 Nonlinearities 96
4.2 Fabrication of BAW Devices 97
4.2.1 Material Selection 97
4.2.2 Fabrication of SMR Resonators and Filters 101
4.2.3 Fabrication Tolerances and Trimming 102
Contents vii

4.2.4 Process Controls 108


4.3 Application Space for BAW-FBAR Technology 108
4.3.1 RF Filters and Duplexers 108
4.3.2 Oscillators 112
4.3.3 Sensors 113
References 115

CHAPTER 5
FBAR Resonators and Filters 117
5.1 Introduction 117
5.1.1 Short History of FBAR 117
5.1.2 The Duplexer 119
5.1.3 The Package 122
5.1.4 FBAR in Context with the Rest of the World 123
5.2 FBAR Technology 124
5.2.1 Introduction 124
5.2.2 Modeling of FBARs 126
5.2.3 Method of Ascertaining Q 129
5.2.4 The Rayleigh-Lamb Modes 133
5.2.5 Apodization 137
5.2.6 Frames 140
5.2.7 Temperature-Compensated Resonators 145
5.2.8 Coupled Resonator Filters 149
5.3 FBAR Filters 150
5.3.1 Interstage Filters 150
5.3.2 The Duplexer and Multiplexers 152
5.4 Conclusions 156
References 158

CHAPTER 6
Comparison with SAW Devices 161
6.1 Introduction 161
6.2 Structural Comparison and Features 161
6.3 Resonator Performance and Reliability 162
6.3.1 Q-Factor 162
6.3.2 Power Durability 165
6.4 Filter Design 166
6.5 Manufacturing Process 168
6.6 Temperature Compensation Technique 168
6.7 Application Map 169
References 170

CHAPTER 7
Thin Films Deposition for BAW Devices 173
7.1 Most Commonly Used Piezoelectric Materials 173
7.1.1 Zinc Oxide 173
7.1.2 PZT 173
viii Contents

7.1.3 Aluminum Nitride 174


7.2 Methods of Deposition of Piezoelectric Films 175
7.2.1 Sputtering 175
7.2.2 Practical Aspects of the Sputter Deposition of the AlN Films 183
7.2.3 Electron Cyclotron Resonance Deposition 187
7.2.4 Ion Beam Deposition 188
7.2.5 Metalorganic Chemical Vapor Deposition 188
7.2.6 Jet Vapor Deposition 189
7.2.7 Nonvacuum Deposition 189
7.3 Metal Deposition for BAW Applications 189
7.3.1 Aluminum 191
7.3.2 Molybdenum 192
7.3.3 Tungsten 192
7.3.4 Platinum 193
7.3.5 Ruthenium 194
7.3.6 Combinations of Metals 194
References 194

CHAPTER 8
Characterization of BAW Devices 197
8.1 Introduction 197
8.2 Single-Layer Material Characterization 198
8.2.1 Introduction 198
8.2.2 Dielectric and Piezoelectric Layers 198
8.2.3 Metallic Layers 200
8.3 Laser Interferometry 201
8.3.1 Introduction 201
8.3.2 Measurement Setup 201
8.3.3 Evaluation of Dispersion 203
8.4 Loss Mechanisms 204
8.4.1 Introduction 204
8.4.2 Acoustic Leakage 205
8.4.3 Acoustic Leakage Through the Bragg Reflector 207
8.4.4 Laterally Leaking Waves 211
8.4.5 Electrical Losses 212
8.4.6 Viscoelastic Losses 212
8.4.7 Scattering Losses 214
8.5 Electrical Characterization 214
8.5.1 Introduction 214
8.5.2 Resonator Measurements 214
8.5.3 Filter Measurements 217
References 219

CHAPTER 9
Monolithic Integration 221
9.1 Introduction 221
9.2 Compatibility Issues Between IC and BAW Technologies 223
Contents ix

9.3 Practical Implementation 224


9.3.1 Technology Description 225
9.3.2 Filtering LNA 227
9.3.3 WCDMA RF Front-End 228
9.3.4 WLAN Oscillator 232
9.4 Conclusion 232
Acknowledgements 233
References 233

CHAPTER 10
System-in-Package Integration 235
10.1 Introduction 235
10.2 Trends in Front-End Integration for Wireless Applications 235
10.2.1 Multiband, Multimode Wireless Systems 235
10.2.2 SiP Versus SoC 239
10.3 SiP Technologies 241
10.3.1 Laminate Platform 241
10.3.2 LTCC Platform 242
10.3.3 Thin Film Platform 243
10.4 SiP Design 245
10.4.1 Electromagnetic Modeling 246
10.4.2 Design Methodology 251
10.5 Test and Industrialization, Known-Good Die Concept 252
10.6 RF-SiP Examples 253
10.6.1 General Wireless Examples 253
10.6.2 Examples Including BAW 255
References 257

Glossary 259
About the Author 265
List of Contributors 266
Index 267
Preface
Nowadays, electromechanical (EM) resonators are widely used in most sophisti-
cated electronic equipment. For example, bulk acoustic wave (BAW) resonators
using crystal quartz are indispensable for frequency or time generation owing to
their outstanding performances.
The mobile communication market has grown explosively in last two decades.
From a technological point of view, this growth is significantly indebted to the rapid
evolution of silicon technologies, and most of all, functionalities are now realized by
the use of silicon integrated circuits (Si-IC). However, highly precise frequency gen-
eration and excellent radio-frequency (RF) filtering are exceptional. They were only
realizable by the use of quartz resonators and surface acoustic wave (SAW) devices,
respectively.
RF-BAW devices employing a piezoelectric thin membrane were proposed in
1980. Although their excellent performance was well recognized, the majority of
engineers believed that their applicability was very limited due to extremely tight
requirements given to the device fabrication.
However, the tremendous efforts of a few believers moved mountains. RF-BAW
devices progressed surprisingly in the last decade and are now mass produced. Fur-
thermore, they are attempting to take over the current RF-SAW filter market.
The devices also receive much attention from Si-IC industries for their use as a
core element in sophisticated RF front-end and/or one-chip radio modules based on
the system-on-chip (SoC) or system-in-package (SiP) integration with active
circuitry.
This book deals with key technologies and hidden know-hows necessary for the
realization of high-performance RF-BAW resonators and filters. All the authors are
prominent professionals in this field, and they did their best to transfer their knowl-
edge to the younger generation. This book is invaluable not only for young engi-
neers and students who wish to acquire this exotic technology, but also for experts
who wish to further extend their knowledge. It is extremely hard for any person to
prepare such a monograph solely, and only fruitful collaboration of these authors
could make this difficult task possible.
By the way, the term film bulk acoustic wave resonator (FBAR) might be more
familiar to a majority of readers. However, its use is often limited to the category of
a free-standing membrane fabricated by the surface or bulk micromachining tech-
nology. Namely, the solidly mounted BAW resonator (SMR) employing the multi-
layered reflector(s) is excluded from this category. From this reason, we follow this
categorization, and the RF-BAW resonator is used as the whole set of these two cat-
egories throughout this book.

xi
xii Preface

In Chapter 1, Dr. Keneth Lakin, a pioneer of the RF-BAW devices and a techni-
cal leader in this field, reviews the background and history of the RF-BAW resona-
tors and takes readers on a virtual tour of extensive efforts that brought the
technology to its current success.
In Chapter 2, Dr. Lakin gives detailed explanations on resonator and filter
topologies that frequently appear in current RF-BAW technologies.
Electrical characteristics of RF-BAW device are simulated quite well by com-
puter simulation and its use is vital in current device design. In Chapter 3, Dr. Jyrki
Kaitila describes the BAW device basics, explaining the one-dimensional modeling,
detailing various second effects inherent for the precise simulation, and then discuss-
ing numerical techniques and underlying physics.
In Chapter 4, Dr. Robert Aigner and Dr. Lueder Elbrecht discuss RF-BAW
devices based on the solidly mounted resonator technology. First, they consider their
design and then discuss their fabrication for mass production in a semiconductor
fabrication environment.
In Chapter 5, Dr. Richard Ruby, the father of FBAR, reviews free-standing bulk
acoustic resonators (FBARs). Dr. Ruby begins this chapter with a short history
about the high obstacles that he and his group encountered, how he struggled, and
how he achieved a great triumph at the last minute.
In Chapter 6, Dr. Masanori Ueda compares the RF-BAW device with the RF-
SAW device from various points of view. Dr. Ueda has been involved in the research
and development of both of these devices, and can evaluate them without bias.
As described before, BAW device performances can be simulated numerically
fairly well. However, achievable performances are critically dependent on employed
manufacturing process, especially the quality of deposited piezoelectric thin films. In
Chapter 7, Dr. Sergey Mishin and Yuri Oshmiansky describe one of the most impor-
tant technologies for the fabrication of RF-BAW devices, namely, deposition of
high-quality thin films mandatory for realization of high-performance BAW devices.
In Chapter 8, Dr. Gernot Fattinger and Dr. Stephan Marksteiner discuss one
more important factor for the realization of high-performance RF-BAW devices:
namely, characterization of RF-BAW materials and devices. They also discuss the
major technologies of laser probing and electrical properties.
Integration of RF-BAW devices with semiconductor circuitry is one of the most
important concerns for the future in this community. In Chapter 9, Dr.
Marc-Alexandre Dubois, a principal researcher of the famous MARTINA European
Consortium, details monolithic integration of RF-BAW devices on Si.
In Chapter 10, Dr. A. Bart Smolders, Dr. Jan-Willem Lobeek, and Dr. Nicolaus
J. Pulsford discuss the RF integration from another aspect—system-in-package (SiP)
integration. They explain various technologies used in the SiP integration, demon-
strate its effectiveness, and then show how the BAW technologies fit well with
RF-SiP, which will be the mainstream for further RF integration.

Ken-ya Hashimoto
Editor
Chiba University
Chiba-sha, Japan
May 2009
CHAPTER 1

Background and History


Ken Lakin

1.1 BAW Technology Background

The purpose of this chapter is to give a brief history of the development of BAW
technology which is covered in technical detail in later chapters of this book. First it
is necessary to define what the BAW technology is and then put the history in that
context. For the purposes of this book, BAW history is interesting not so much as
who did what when (that will be apparent from numerous references) but how other
technologies were drawn upon to make the development of the modern thin film
BAW technology possible. Microelectronics has played a key role over the years by
providing materials-processing techniques previously unavailable. Review papers
give an overview of thin film resonator technology [1–5].

1.1.1 Basic Definitions


The term bulk acoustic wave (BAW) refers to primary acoustic waves that propaga-
tion in the bulk of a material whose dimensions are infinite and wherein the wave
occupies all of that volume. There are three possible propagation modes called the
normal modes of the material. Those modes are well understood for a large number
of materials whose elastic properties are known. In more practical terms, a wave in
a finite three- dimensional region can only approximate the propagation character-
istics of an infinite region. The first approximation required to support a BAW is
that the lateral extent of the medium is much larger than the wavelength and
cross-section of the wave. The practical definition of BAW is imprecise and depends
on what artifacts crop up due to the finiteness of the beam. For example, a beam
starting out as being of comparable dimensions to the wavelength would appear as
a point source and spread widely, due to diffraction, but could be described as some
complex linear combination of the normal modes. The second approximation is
that the lateral extent of the wave, and therefore of the medium, is such that the
wave is primarily one-dimensional but with some residual effects due to lateral
finiteness. In the direction of propagation the material extent may be very finite,
such as a half-wavelength thick for a resonator. Yet in such a case, dimensions will
appear large in the direction of propagation because the wave bounces within the
resonator between parallel surfaces maintaining its characteristics as if propagating
over considerable distance. Typical average lateral dimensions might be

1
2 Background and History

approximately 100 times the wavelength for resonators in filters designed for
50-ohm source and load impedances.
Whereas finiteness is a distortion imposed on BAW, other modes of propagation
are uniquely tied to the finiteness of a structure. For example, waves can propagate
along and be guided by a surface or at an interface. The most notable being the solid
to air interface that supports surface acoustic waves (SAWs). A feature of waves is
that they tend to be guided by regions of slower velocity and lower energy density. If
there is a lateral deformation at or very near a surface, the material can expand per-
pendicular to the force (Poisson effect) out into the air region. That added degree of
freedom makes the surface appear mechanically softer and as a result the SAW is
confined to the surface. In the case of SAWs the material region must be just a half
space with the relevant approximation that the material is sufficiently thick that the
wave does not exist at any other surfaces.
If the material region is formed as a plate with two parallel surfaces, but large in
lateral extent, then another set of waves, plate waves (PW), can propagate along the
parallel boundaries of the plate. These waves are most pronounced when the thick-
ness of the plate is comparable to the propagation wavelength. It turns out that such
a geometrical constraint is met by a typical BAW resonator. Further, plate waves can
be generated in BAW resonators and can plague high-performance BAW resonators
with parasitic resonances.
Other modes of propagation are possible in the typical BAW structural approxi-
mation but PW are the most pronounced.
Since a resonator can be though of as a confinement structure for a wave bounc-
ing between reflecting surfaces, it is only a manner of properly generating and con-
fining a wave to make a useful resonator. Two issues then emerge. First, how to
generate the wave, and second how to confine the wave so that most of the energy is
stored with a minimum amount of energy loss except on a controlled basis.

1.1.2 Role of Piezoelectric Materials


The most straight forward method of generating an acoustic wave is to use a piezo-
electric material. The piezoelectric direct and inverse effects are described in general
by the equations,

T = cS − eE (1.1)

D = eS + εE (1.2)

Here (1.1) is Hook’s law of elasticity, T is stress (force per unit area), S is strain,
e is the piezoelectric coefficient, c is mechanical stiffness, ε is permittivity, and E is
the electric field. The second equation shows the contribution of mechanical strain
to electric charge generation and displacement current. Accordingly, mechanical
deformations and electric properties are piezoelectrically coupled.
As will be shown in subsequent chapters, the strength of the piezoelectric cou-
pling determines the bandwidth of filters and the mechanical losses in the material
will determine resonator Q and accordingly filter insertion loss.
1.1 BAW Technology Background 3

1.1.3 Transducers and Resonators


The transduction process requires the application of an electric field to the piezo-
electric material. This is done generally in the form of metal electrodes applied to, or
in close proximity to, the surfaces of the piezoelectric material. In the technical dis-
cussions that follow it will be useful to keep in mind the distinction between trans-
ducer and resonator. Figure 1.1 shows cross-sections of three devices. Note the
adoption of the microelectronic custom of drawing wherein vertical dimensions are
greatly expanded over horizontal dimensions. In Figure 1.1(a) a set of metal elec-
trodes is applied to a piezoelectric plate much as in a simple capacitor. The outer
metal surfaces are against air or vacuum so that acoustic waves reflect off these sur-
faces and effectively stay confined to the material body. The combination of elec-
trodes and piezoelectric plate constitute a transducer and because the energy is
confined within the outer surfaces of the electrodes the transducer is also a resona-
tor. In Figure 1.1(c) the electrodes are close to the piezoelectric plate but not in
mechanical contact with the plate. Small air gaps between the electrodes and piezo-
electric plate insure that most of the applied voltage is applied to the piezoelectric
plate. Because of the air boundary on the piezoelectric plate surfaces, sound energy
is confined to the piezoelectric plate. Thus the piezoelectric and electrodes are
together the transducer but the piezoelectric alone is the resonator. Since the elec-
trodes are not part of the mechanical resonance their thickness can be made large
for mechanical strength and electrical conduction purposes. Prior to about 1950,
many quartz crystal resonators were made in this format. The areas of those old res-
onators were made about 2 cm2 in order to accommodate the large currents flowing
through resonator circuits of that era. Interest in this configuration has reemerged
where thin electrodes have too much electrical loss.
In the air gap coupled resonator the added series capacitance of the combined
air gaps, Cg, reduces the effective piezoelectric coupling by the factor 1/(1+Ct /Cg)
where Ct is the piezoelectric plate capacitance.
Figure 1.1(b) shows a transducer atop another plate of material. The resonator
in this case is the material region from topside of the top electrode to bottom side of
the bottom plate. The resonator may be one or many half-wavelengths thick. Practi-
cal resonators have been made where the thickness is around 120 half-wavelengths
(mode number equal to 120) at a given frequency. These are called overmoded reso-

PIEZOELECTRIC d PIEZOELECTRIC d
ELECTRODES
ELECTRODES
(a) (c)

PIEZOELECTRIC
PIEZOELECTRIC d
ELECTRODES d
(d)

(b)

Figure 1.1 Cross-sections of BAW resonators. (a) Piezoelectric plate with attached electrodes. (b)
Piezoelectric transducer attached to a substrate. (c) Piezoelectric plate with electrodes separated by
an air gap from the plate. (d) Piezoelectric plate with lateral electric field excitation.
4 Background and History

nators (OMR). A small change in frequency can cause the resonance to shift up or
down one mode number and many resonances can exist over the bandwidth of the
transducer. The frequency spacing is the reciprocal of the round-trip time of a
propagating wave.
In Figure 1.1(d) the lateral field resonator is designed to keep electrodes out of
the resonator by exciting the piezoelectric plate with fringing electrical fields that are
mostly parallel to the plate surface. Resonance is established between two
unelectroded surfaces.
Historically, resonators (called crystals then and today) of the type in Figure
1.1(c) were used until the early 1950s. With the need for smaller resonators and the
availability of metal plating techniques, crystals of the form in Figure 1.1(a) were
produced in ever decreasing sizes. The configuration in Figure 1.1(b) was limited to
transducers for delay lines and other applications. Transducer bonding techniques
were not advanced enough to support low-loss transduction although some metal-
lurgical techniques showed some promise in special applications. It was the need for
BAW delay-line transducers at high frequencies that led to the development of piezo-
electric thin film deposition [6]. And, it was not until the introduction of thin film
deposition did the composite configuration show some promise as a resonator. The
air gap and lateral field resonators have a modern day application in quartz crystals
for low-aging applications where metal electrodes would have detrimental effects,
or for microwave resonators where acoustic losses in the electrodes are excessive.

1.1.4 Comparisons with SAW and Plate Wave Resonators


It is apparent from the discussion above that an acoustic resonator can be formed by
a transduction means and mechanical boundaries that confine the energy. As intro-
duced above, there are other waves that exist at boundaries such as SAWs and PWs.
Figure 1.2 shows the cross-section of a SAW transducer wherein the thickness of
the substrate is much larger than the wavelength of the SAW. Here spatially periodic

(a)

(b)
Figure 1.2 SAW transducer and resonator. (a) Side view showing driven electrodes and reflectors.
(b) Top view of transducer and resonator. In practice there are many more pairs of transducer elec-
trodes and more reflector stripes on both sides of the transducer.
1.1 BAW Technology Background 5

electrodes generate a wave that is synchronous at center frequency with the period-
icity of the electrodes. Typical electrodes are a quarter-wavelength long in the prop-
agation direction and much wider that a wavelength in the width (depth in the
drawing) direction. Once launched, a SAW propagates in both directions along the
surface until intercepted by another transducer. A resonator can be formed by using
an array of electrodes on both sides of the transducer that intercept the wave to such
an extent as to cause a significant reflection back towards the transducer. The trans-
ducer can be designed so that some of the reflection occurs within the transducer
itself. Accordingly, a resonator can be formed by the transduction and reflection
process. A SAW can also be abruptly reflected by a vertical termination of the mate-
rial region but this leads to waves that reflect in such a manner as to radiate into the
bulk of the material and thus would constitute a loss mechanism. The details of
SAW resonators and filters are described in a later chapter.
Plate waves propagating in the lateral dimension of a plate are confined to the
plate by the top and bottom surfaces. It is assumed that the plate is much larger in
width than in thickness. In Figure 1.3(a) is illustrated an electrode pair, one on top
and one on the bottom of the plate. The electrodes are approximately a half-wave-
length long in the propagation directions. The number of waves that can be excited
is quite complex unless means are taken to trap a single mode under the electrodes
and have the propagation of other modes cutoff in the external regions. When
energy is trapped in the electrode region the device becomes a resonator. Figure
1.3(b) shows the results of a numerical calculation of the mechanical displacement
for a trapped resonator. The electroded region slows the wave propagation and
allows the energy to stay confined. The standing wave is confined to the electroded
region and has an evanescent decay outside the region where other modes are
accordingly cutoff.
Historically, plate wave resonator and associated monolithic crystal filter
(MCF) technologies were overcome by the advancements in SAW devices. The main
advantage of the MCF would be comparatively small size at a given frequency but
particularly at frequencies below 100 MHz.

1.1.5 Other Kind of Resonators


Figure 1.4 illustrates some other resonators of historical significance that can be
implemented in thin film form. They will be discussed in order of apparent stiffness
and therefore applicable frequency. In Figure 1.4(a) the top view of a cantilever
beam is shown and it is assumed that the lateral dimensions of the beam are much
larger than the plate thickness. The flexural beam resonance has the lowest poten-
tial operating frequency because of the relatively high degree of compliance of the
beam. In Figure 1.4(b) the beam is clamped at both ends and so is substantially
stiffer. In Figure 1.4(c) a thin plate is clamped on all sides resulting in a stiffer struc-
ture which can support a “drum head” resonance.
Finally, in Figure 1.4(d) a beam is supported in the center, which forces a node
point, and is allowed to vibrate in the length dimension. This is known as the length
extension mode. An extension of this device is a disk supported at the center node
point and has motion in the radial direction.
6 Background and History

PIEZOELECTRIC d

(a)

Electrodes
Amplitude, Angstroms

Horizontal Distance

(b)
Figure 1.3 Plate wave excitation and trapped energy. (a) Cross-section showing top and bottom
electrode stripes used to excite the plate wave. The electrodes are normally comparable to the
principal wavelength in the lateral propagation direction and longer in the depth direction of the
figure by many times the plate thickness. (b) Calculation of wave amplitude in the vicinity of the
electrode showing that energy is actually trapped.

These various forms of resonators may occur by deliberate design or as an arti-


fact in some other more desired resonator. They have also appeared in one form or
another in the field of MEM devices.
Figure 1.5 suggests a possible implementation in thin film form of a piezoelectric
bimorph cantilever beam resonator designed to operate in a flexure mode. A voltage
applied to the top piezoelectric plate can excite a length extension strain that causes
the beam to bend. The bending of the lower beam is detected by the piezoelectric and
generates an output voltage. Although useful in low-frequency resonators and fil-
ters, the driven flexure vibration can possibly occur in high-frequency BAW devices
as a parasitic effect.
Figure 1.6 gives a pictorial summary of frequency ranges for different modes of
vibration resonators over a range of plate thicknesses. BAW modes for longitudinal
and shear waves are higher in frequency because the material structure is stiffer for
those modes and frequency is simply inversely proportional to thickness.
1.1 BAW Technology Background 7

a
a

Air Gap
(a)
(c)

a
a

Air Gap
Air Gap
(b) (d)
Figure 1.4 Other forms of resonant structures in a plate that is much thinner than the lateral
extent of the device. (a) Beam resonator clamped at one end. (b) Beam resonator clamped at both
ends. (c) Membrane clamped on all sides. (d) Length-extensional resonator.

(a)
Gnd

Substrate

(b)

I/O

Gnd

I/O

(c)
Figure 1.5 Beam resonator. (a) Bonded plate low-frequency piezoelectric bimorph. Piezoelectric
film implementation as an example of how classical resonator or filter structures can be reinvented
in thin film form. (b) Cross-section view. (c) Top view showing electrode run-out.

1.1.6 Electrical Characteristics of Piezoelectric Resonators


This section will briefly describe the electrical properties of a resonator in order to
bring to light the reemergence of problems in thin film BAW resonators that have
plagued the BAW quartz crystal field.
8 Background and History

100
ZnO LONGITUDINAL AlN SHEAR

FILM THICKNESS, MICROMETERS


ZnO SHEAR AlN
CLAMPED LONGITUDINAL
MEMBRANE
10
LENGTH
EXTENSION

BEAMS
1.0

0.1
100 Hz 1 MHz 10 MHz 100 MHz 1 GHz 10 GHz

FREQUENCY
Figure 1.6 Approximate required film thickness as a function of frequency range for a number of
resonator technologies. A width or length to plate thickness ratio of 10:1 was assumed for the
low-frequency plate wave devices. Highest frequency operation for a given thickness is for longitu-
dinal AlN. Lower frequencies require thicker films and materials of slower velocity, or shear waves
would be applicable.

The interaction between the applied voltage and resulting current flow gives rise
to a complex impedance that exhibits both series and parallel resonance as will be
described in detail in Chapter 3. Without going into the details, the impedance of a
simple resonator is given by,

⎛ tan φ⎞
Z = (1 jωC )⎜1 − K 2 ⎟ (1.3)
⎝ φ ⎠

kd π ⎛ f ⎞
φ= = ⎜⎜ ⎟⎟ (1.4)
2 2 ⎝ fp ⎠

f p = V 2d (1.5)

K 2 = φ tan φ for f at series resonance frequency (1.6)

where f is frequency, V is the velocity of propagation, d is the thickness of the plate,


C is geometric capacitance, and K2 is the piezoelectric coupling coefficient.
Figure 1.7 shows a plot of the modeled magnitude and phase of a representative
resonator. If the device were not a piezoelectric resonator and just a capacitor then
the impedance would have the characteristic 1/f response (nearly a horizontal line on
the frequency scale in Figure 1.7). For frequencies outside resonance the resonator
has near −90° of phase, characteristic of a capacitor. As series resonance is
approached the reactance drops and a large capacitive current flows just below
series resonance. At series resonance impedance drops to a minimum value and is
resistive. For frequencies slightly above series resonance the current flow is induc-
tive. As parallel resonance is approached, the impedance becomes very large and
1.1 BAW Technology Background 9

500 90.0

75.0

60.0
400
45.0

Series Parallel 30.0


Resonance

Phase, Deg.
Zmag, Ohms

300 Resonance
15.0

-15.0
200
-30.0

-45.0
100
-60.0

-75.0

0 -90.0
1450 1500 1550 1600 1650 1700
Frequency, MHz
Figure 1.7 Simulated magnitude and phase of impedance over frequency for a one-dimensional
finite Q resonator. Series resonance occurs where the phase crosses zero with positive slope and
the impedance is a minimum. Parallel resonance is where phase crosses zero with negative slope
and impedance is a maximum.

reaches a maximum and resistive value at parallel resonance. For frequencies above
parallel resonance the resonator again becomes capacitive. The principal value of a
piezoelectric resonator is the realization of a high Q inductance if only over a short
range of frequencies. This is the ideal case that can only be approximated in
practice.
Resonators whose lateral extent is much larger than plate thickness act as many
resonators operating in parallel. The various area segments of the resonator tend to
operate independently and thickness control can be an issue. For example, suppose
a very high-quality resonator would normally exhibit a series resonant resistance of
1 ohm and a parallel resonant resistance of 2,000 ohms. Now assume that the
majority of the resonator is at parallel resonance but that 0.05% of the resonator
area is at series resonance with a series resistance accordingly scaled by area to be
2,000 ohms. These two resonator portions are electrically in parallel and the combi-
nation for the overall resonator is 1,000 ohms. Thus, the apparent parallel reso-
nance resistance of resonator has been significantly reduced. If the area of the
resonator is 200 × 200 μm, the area of the parasitic portion would be only 4.5 μm2.
Figure 1.8 shows the effect on resonator phase of the parasitic resonance. This is an
extreme example only in that the parasitic resonator was assumed to be discrete and
well defined. Distributed thickness effects such as roughness can also degrade reso-
nator performance.
The parasitic area effect can occur as a result of a lack of parallelism during res-
onator thinning. As crystal plates were made thinner to reach higher frequencies,
the degree of mechanical processing tolerance decreased, making large-area thin
resonators very difficult to fabricate. For this and other reasons, quartz crystal reso-
10 Background and History

90
75
60
45
30

Phase, Deg.
15
0
-15
-30
-45
-60
-75
-90
1550 1570 1590 1610 1630 1650
Frequency, MHz
Figure 1.8 Modeled phase of a resonator having small-area parasitic resonator having series reso-
nance at the parallel resonant frequency of the principal resonator. In practice such effects could
occur over a distribution of frequencies.

nators became smaller in lateral extent compared to thickness to the point of no lon-
ger being BAW resonators. Finally, multidimensional wave propagation effects were
incorporated for energy trapping and resonance mode control.

1.1.7 Technology Driving Forces


In order to appreciate the contents of this book it is important to understand the
driving forces that brought about the development of thin film BAW technology.
The discussion above suggests that there is more than one way to build resonators
and resonator-based filter, as is certainly the case. The drivers from the technology’s
applications are cost, performance, and device size.
The performance issue was the need for high-frequency operation well beyond
the frequencies reached by quartz crystal technology and to a certain extent beyond
SAW technology. This in turn required the development of processes for manufac-
turing thin piezoelectric films and resonators. Filters are required that exhibit the
necessary system bandwidths with low loss. This is because system architectures
have largely eliminated IF filtering and require that these functions be carried out at
the front end. A front-end filter must have low-insertion loss for receiving and lower
loss for transmitting.
Filters are a major cost driver in the cell phone market. Not only is the filter cost
itself a concern, but an inefficient filter leads to increased battery requirements. The
economies brought about by wafer-scale manufacturing have had a significant affect
on filter cost in high-volume production.
Device size is important as circuit boards become smaller and space on the
board a premium. In wafer-scale manufacturing the wafer die count is important in
determining end unit cost. In military systems the availability of small filters has a
significant affect on system architectures. Systems that might have been deemed
undesirable because they required a number of large-area dominating filters become
feasible with the existence of small filters. In particular, a thin film BAW filter is
1.2 Thin Plate Resonators: Towards High Frequencies 11

approximately 1,000 times smaller than a ceramic filter for the same frequency and
characteristics.
From a historical perspective, none of this is really all that new. What has been
significantly different is the intense pressure to achieve these goals in a short period
of time.
The goals then for the development of BAW technology were, and still are
today: (1) higher frequencies and better performance, (2) small size, and (3) low
cost. Again, from a historical perspective it is interesting to see what other technolo-
gies have been brought to bear on this effort. The sections below review the core leg-
acy resonator technologies to see what thin film BAW has been built upon.

1.2 Thin Plate Resonators: Towards High Frequencies

1.2.1 Conventional Quartz Crystal Thinning


The obvious approach to reach higher frequencies with conventional piezoelectric
resonator materials is to thin a crystal plate until the desired frequency is obtained.
Clearly there are practical limits to thinning large-area crystal plates in mass pro-
duction with perhaps the most important issue being the need to mechanically sup-
port the thin-plate resonator after the fact. For example, AT-cut quartz crystal
unsupported plates are commercially available in thickness of less than 25 microme-
ters (equivalent to approximately 60-MHz fundamental frequency) having areas of
approximately 25 mm2. (That these plates survive subsequent processing is proba-
bly due to the fact that quartz does not exhibit cleavage planes.) Once thinned to a
practical limit, these blanks can then be used as a starting point to further increase
the resonator frequency by selective area thinning [7].
One such approach, shown in Figure 1.9, is the inverted mesa configuration
wherein a thin resonator region is supported by a much thicker supporting substrate
of the same material [8]. Chemical etching techniques have been extensively investi-
gated along with ion milling to produce the thin plates in the mesa [9–17]. Further,
considerable effort has been directed towards chemical etching techniques that do
not leave a crystal facet roughened surface. The final result can be a large crystal
blank having an array of inverted mesas in a wafer-scale manufacturing format.
The chemical properties of quartz, that allow it to be relatively easily chemically
or plasma etched, have historically not been available for high K2 materials of inter-
est for resonators.

1.2.2 Bonded Plate Resonators


Other fabrication techniques were proposed to obtain thin plates, such as the one
suggested in Figure 1.10 [18]. Here a crystal plate is bonded to a substrate having an

Figure 1.9 Inverted mesa quartz plate with the thinned area produced by chemical etching.
12 Background and History

appropriate void region and the mechanical strength required to support the even-
tual thin crystal plate for the resonator. Once bonded, the crystal plate can be
mechanically thinned to the desired amount while the peripheries of the crystal plate
are supported by the substrate. Today wafer-bonding techniques developed in
microelectronics might be usefully applied in this resonator configuration, and
recently more advanced processing techniques and topologies have been proposed
for quartz mesa resonators [17]. The advantage of this legacy approach is that mate-
rials not producible in thin film form could be processed into resonators. In Chapter
2 an etching process is described that would allow the plate in Figure 1.10 to be
bonded to a flat silicon wafer, thinned, and the hole etched in the wafer afterwards.
This is a much more practical approach because it avoids the problem of the plate
bowing into the hole during polishing when the plate is thin compared to the lateral
extent of the hole.

1.3 Composite Resonators

Rather than thin down a single crystal plate, it became apparent to researchers early
on that growing the resonator material to a desired thickness might be a viable
approach [19, 20]. However, these ideas occurred well in advance of the materials
science and technology necessary to support actual device fabrication. The lead in to
the composite resonator was the microwave bulk wave delay line which required a
thin film piezoelectric transducer for high-frequency operation. These delay lines
were very thick and amounted to microseconds of time delay. The composite reso-
nator is basically a delay line that does no have an output transducer and is thin.

PIEZOELECTRIC PLATE

SUBSTRATE

(a)

(b)

(c)
Figure 1.10 Bonded plate resonator. In (a) a piezoelectric plate with bottom electrodes and sub-
strate with an open area, in (b) the plate has been bonded to the substrate, and in (c) the plate
has been thinned and upper electrodes attached. A modern approach would be to form the hole
as a final step.
1.4 Development of Thin Films 13

One of the first composite approaches, shown in Figure 1.11, would have
resulted in resonators having high mode numbers and low effective coupling coeffi-
cients because the likely substrates would have been many half-wavelengths thick. It
was not until thin silicon substrates became available that the composite resonator
could be demonstrated at high frequency. That device is discussed in detail in
Chapter 2.
The most important concept forwarded by these and other approaches, irre-
spective of their relative implementation successes, is that the desirable electrical,
mechanical, and processing properties need not reside within a single material but
can be realized by a composite of materials and processing techniques. And, that
perspective constitutes a major departure from the conventional crystal plate tech-
nology. Accordingly, the major developments in resonator technology have been
highly dependent on advances in materials processing, primarily those driven by
microelectronics integrated circuit technology.

1.4 Development of Thin Films

The principal driving force for the development of piezoelectric thin films was the
need for higher frequency microwave delay lines, and the lack of adequate fabrica-
tion techniques for thinning piezoelectric crystal plates to high frequencies. In addi-
tion, methods for bonding piezoelectric plates to delay lines were only moderately
successful due in large part to the need for an electrode between the piezoelectric
plate and delay line material.
In the early 1970s, there was also a desire to have higher velocity substrates for
SAW devices to allow operation at higher frequencies. Eventually, high-resolution
electron beam lithography, developed by the microelectronics industry, allowed the
fabrication of high-frequency SAW devices.
The first reported work directed towards thin piezoelectric films was that for
zinc oxide (ZnO) and CdS transducers for microwave delay lines [1]. Subsequent
work on films quickly moved towards piezoelectric films for SAW devices [21–24].
AlN deposition on sapphire substrates for SAW applications was reported in the
early 1970s [22]. A significant amount of work was done on ZnO for SAW devices.
The assumption with ZnO was that a process developed for bulk wave delay lines
could be easily transferred to SAW applications. However, as with other piezoelec-
tric films, it was discovered that a higher quality of film was required for SAW
transduction than for delay lines. In microwave delay lines the transducer is heavily
loaded by the delay line and the unloaded Q of the piezoelectric film need not be
much higher than the loaded Q of the transducer. When propagation was along the
length of the piezoelectric film a higher quality film was required for SAW propaga-

Piezoelectric Film

Substrate

Figure 1.11 Composite resonator composed of a thin film piezoelectric grown on a suitable sub-
strate. The film and substrate will have a 180° phase for a fundamental mode resonator.
14 Background and History

tion. The problem of material Q surfaced again, and in the extreme, when films
were applied to thin film BAW resonators where most of the energy is in the film.
Films good enough for SAW were not good enough for BAW resonators. AlN films
grown by high-temperature organometallic chemical vapor deposition for SAW
devices were of the required quality but lacked a viable means of putting an
electrode under the resonator.
Significant advances have been made in sputter film deposition as will be
detailed in a later chapter.

1.5 Multidimensional Effects

All structures actually fabricated are of course three dimensional, and it is only a
matter of the degree of multidimensionality that affects device performance. The
most important issue with BAW resonators is the generation of plate wave modes
that can be seen as spurious responses in the normal resonator response.
Figure 1.12 illustrates the physics of the problem. In Figure 1.12(a) a simple res-
onator having electrodes and a lateral dimension comparable to the piezoelectric
plate thickness is shown. Assuming a simple longitudinal mode-thickness excitation,
vertical deformation causes a lateral deformation through the natural Poisson cou-
pling. This coupling causes lateral vibrations in additional to thickness vibration in
time harmonic excitation. In Figure 1.12(b), the plate is assumed to be much larger
in lateral extent. Thus when volume element A is subjected to excitation its lateral
deformation is canceled by the like lateral deformation of adjacent cells C and B.
The result is a one-dimensional deformation locally.
End cell D is also driven to a thickness deformation but in this case there is no
adjacent cell on the left-hand side to cancel the lateral deformation in that direction.
Accordingly, volume element D generates vertical and horizontal deformations
capable of exciting lateral wave propagation in the plate.
Once excited at the plate edges, plate waves will propagate throughout the plate
reflecting off any material or electrical discontinuity. The energy contained in the
lateral wave will be dependent on the strength of the excitation. Most important is
the ratio of plate wave energy to that in the primary thickness mode. In the small res-
onator case of Figure 1.12(a), there is no real distinction between the two excitations
because the deformations are so tightly coupled and occupy the same volume. In the
extended resonator of Figure 1.12(b), the internal volume elements driven in the
thickness mode will have an associated energy larger than the plate wave in approxi-
mate proportion to the width-to-thickness ratio of the resonator.
In Figure 1.12(c) the plate wave problem is cast in a format closer to the thin film
BAW case. Here the piezoelectric plate is assumed to be of a lateral extent larger
than the electroded region, or more pertinent, the overlap of the electroded region.

D C A B D C A B

(a) (b) (c)


Figure 1.12 Resonator geometry for describing plate wave excitation. (a) Resonator having com-
parable lateral and thickness dimensions, (b) large lateral-to-thickness dimensions, and (c) part of
an extended plate.
1.6 Legacy Filter Topologies 15

The excitation of the plate wave is somewhat softened by the stiff material
region in the plate outside the electrodes. Fringing electric fields at the edges can
also excite other modes.
Total current flow in the resonator is a result of integration of displacement cur-
rent across the electrodes. Two main factors determine the influence of plate waves
on total current flow; first is the strength of the excitation, and second is the lateral
extent of the electrode relative to the wavelength of the lateral wave.
Figure 1.13 shows a simulation of a BAW resonator done with numerical analy-
sis [25]. It is clear that plate waves cause ripple in the impedance. Figure 1.14 shows
the wave distribution across the resonator. Displacement current in the resonator
has a similar ripple and as frequency changes the mode number changes for the lat-
eral standing wave. The electrode has the effect of integrating the displacement cur-
rent flowing into the electrode. For an even number of lateral half-waves the plate
wave current component averages to zero but when frequency shifts then there is a
plus/minus contribution of current that is left over and that causes the current rip-
ple. Later chapters will discuss how to mitigate this problem.

1.6 Legacy Filter Topologies

There are a number of legacy filter technologies that have application to high-fre-
quency applications. Most of the quartz crystal technology involved the use of indi-
vidual resonators integrated into a circuit possibly with the use of transformers and
inductors.

1.6.1 Balanced Bridge Filter


The balanced bridge filter is shown in Figure 1.15 is probably the simplest filter
short of using a single series resonator between input and output. The bridge is
Impedance

Phase, Deg.

5.40 5.46 5.52 5.58 5.64 5.70 5.76 5.82 5.88 5.94 6.00
Frequency, GHz x μm
Figure 1.13 Numerical analysis simulation of the phase and amplitude across a piezoelectric
plate. Frequency scale is normalized by plate thickness and impedance is normalized by resonator
capacitance reactance magnitude.
16 Background and History

Electrodes

Amplitude

Distance (mesh points)


Figure 1.14 Numerical analysis simulation of the standing wave distribution across a resonator.
The ripple is due to plate waves.

X1

Rload
C1

Figure 1.15 Classical bridge filter. In some configurations there is a resonator of shifted fre-
quency in the lower branch as well.

designed so that the capacitor matches the capacitance of the crystal when off the
resonant frequency. At series resonance the filter circuit is out of balance and the low
impedance of the crystal provides minimum insertion loss. At parallel resonance the
bridge is also out of balance but the reactance of the capacitor is much larger than
the load resistance and so there is minimal transmission. More complicated bridge
filters use resonators in both branches with the resonators slightly offset in fre-
quency for a multipole response. For high-frequency miniature filter applications
the transformer presents a problem and other filter topologies are much better.

1.6.2 Ladder Filters


The ladder filter configuration is shown in Figure 1.16 in two formats. In Figure
1.16(a) the shunt branches are capacitors. At low frequencies the Q of the resona-
tors are sufficiently high to overcome the reactance of the capacitors and provide a
multipole response. Conventionally these filters have been employed in applications
that do not require low insertion loss.
1.6 Legacy Filter Topologies 17

X1 X2 X3

C4 C5

(a)

X1 X2 X3

X4 X5

(b)
Figure 1.16 Ladder-filter circuits. In (a) capacitors are used in the shunt branches as commonly
done in low-frequency quartz crystal filters. In (b) resonators are used in both series and shunt
branches. This configuration is used in high-frequency BAW resonator filters.

In Figure 1.16(b) the resonators are also used in the shunt branches. As will be
described later, the use of shunt resonators gives lower insertion loss and a symmet-
rical passband. This is the legacy filter technology that is widely used in high-fre-
quency applications today. In practice, more or fewer resonator sections are
employed.

1.6.3 Lattice Filter


The lattice filter is another legacy filter configuration that is of increasing interest
for high-frequency applications wherein the direct connection with RF ICs is best
done with balanced networks. Shown in Figure 1.17 is the schematic of a simple lat-
tice filter. These filters are used to provide a balanced input and output and may be
cascaded to give better selectivity. Redrawn, the lattice is a form of a balanced
bridge filter. Typically, these filters used transformers for input and output.

X1

X2 X2

X1

Figure 1.17 Lattice-filter configuration that provides a balanced input and output. This configu-
ration can have wider bandwidths than the ladder filters.
18 Background and History

1.6.4 Monolithic Filters


The monolithic filter, shown in cross-section in Figure 1.18, is a relatively miniature
filter that was widely used at frequencies up to 100 MHz with some demonstrated at
higher frequencies. One R&D filter was demonstrated at around 800 MHz [26].
In the evolution of filter development the monolithic filter was replaced by SAW
filters in most applications because the SAW filter is much easier to design and man-
ufacture. Advanced thin film processing techniques show some promise for thin film
BAW implementation of the MCF configuration.

1.7 Some Acoustic Device and Materials Processing Legacy

It is readily apparent from Figure 1.1 that the BAW resonator is a simple device com-
pared to other microelectronic structures. It appears to be just a piezoelectric plate
with two electrodes. The quartz crystal legacy is to take a quartz plate and grind it
down to the required thickness to achieve the desired resonance. This has been the
most cost-effective manufacturing approach for decades supplemented in more
recent times by chemical etching and microelectronics style batch processing. As
electronics technology evolved from large vacuum tubes to smaller ones and to solid
state the need and desirability of smaller area resonators increased and the size of

(a)

(b)
Figure 1.18 Representation of a three-pole monolithic crystal filter (MCF). (a) Cross-sectional
view, and (b) top view. Electrode overlaps form the excitation or I/O regions. The center resonator
typically is not connected to outside circuitry. Energy trapping can also be done by thinning the
piezoelectric plate between and outside the resonators.
1.7 Some Acoustic Device and Materials Processing Legacy 19

quartz crystal resonators became smaller. The most valuable contribution to thin
film BAW from quartz crystal technology was a simple equivalent circuit model and
the physical understanding and computational handling of resonator spurious
responses.
Thin film BAW has most of its roots in areas of microwave acoustics and
transduction. Thin piezoelectric films were first developed to support for micro-
wave delay lines in upper-GHz frequencies. The act of attaching a piezoelectric
plate to a delay line and grinding down the plate to the desired thickness was a diffi-
cult task. It seemed much more desirable to grow the piezoelectric film to the
required thickness on an underlying electrode and subsequently fabricate a thin film
top electrode. Pioneering work in ZnO and AlN deposition was done initially for
microwave delay lines.
Perhaps the greatest contribution came from the microelectronics industry in
the form of photo lithography, film deposition, wet and dry (plasma) processing,
magnetron sputtering of metals and dielectrics, and the availability of precision sub-
strates and wafer planarization techniques. In the area of thin film deposition there
are a number of representative contributions [27–38].

References

[1] Weigel, R., et al., “Microwave Acoustic Materials, Devices, and Applications,” IEEE
Trans. MTT, Vol. 50, No. 3, March 2002, pp 738–749.
[2] Ruby, R., “Review and Comparison of Bulk Acoustic Wave FBAR and SMR Technology,”
IEEE 2007 Int. Ultrasonics Symp. Proceedings, paper 11E-3.
[3] Muralt, P., “Is there A Better Material For Thin Film BAW Applications Than AlN?” 2005
IEEE Int. Ultrasonics Symp. Proceedings, paper 5C1.
[4] Lakin, K. M., “Thin Film Resonator Technology,” 2003 Frequency Control Symp. Pro-
ceedings, paper WE1A-4 (Invited).
[5] Lakin, K. M., “Review of Thin Film Resonator Technology,” IEEE Microwave Mag., Vol.
4, No. 4, December 2003, pp. 61–67.
[6] Foster, N. F., et al., “Cadmium Sulphide and Zinc Oxide Thin-Film Transducers,” IEEE
Trans. on Sonics and Ultrasonics, Vol. Su-15, No. 1, January 1968, pp. 28–41.
[7] XECO, 1651 Bulldog, Cedar City, UT 84720.
[8] Guttwein, G. K., A. D. Ballato, and T. J. Lukaszek, “VHF-UHF Piezoelectric Resonators,”
U.S. Patent 3,694,677.
[9] Hanson, W. P., “Chemically Polished High Frequency Resonators,” Proc. 37th Ann. Freq.
Contr. Symp., 1983, pp. 261–264.
[10] Hunt, J. R., and R. C. Smythe, “Chemically Milled VHF and UHF AT-Cut Resonators,”
Proc. 39th Ann. Freq. Contr. Symp., 1985, pp. 292–300.
[11] Lepek, A., and U. Maishar, “A New Design for High Frequency Bulk Resonators,” Proc.
43rd Annual Frequency Control Symposium, Denver, CO, May 31–June 2, 1989,
pp. 544–547.
[12] Berte, M., “Acoustic-Bulk-Wave Resonators and Filters Operating in the Fundamental
Mode at Frequencies Greater Than 100 MHz,” Electronic Letters, Vol. 13, No. 9, April 28,
1977, pp. 248–250.
[13] Stern, F. M., et al., “The Fabrication of High Frequency Fundamental Crystals by Plasma
Etching,” Proc. 43rd Ann. Freq. Contr. Symp. (AFCS), 1989, pp. 634–639.
[14] Wang, J. S., S. K. Watson, and K. F. Lau, “Reactive Ion Beam Etching for VHF Crystal Res-
onators,” Proc. 34th Ann. Freq. Contr. Symp. (AFCS), 1984, pp. 101–104.
20 Background and History

[15] Brauge, J., M. Fragneau, and J. P. Aubry, “Monolithic Crystal Filters Fabricated by Chemi-
cal Milling,” Proc. 39th Freq. Cont. Symp., pp. 504–513.
[16] Ishii, O., et al., “High Frequency Fundamental Resonators and Filters Fabricated by Batch
Process Using Chemical Etching,” Proc. 1995 IEEE Freq. Cont. Symp., pp. 818–826.
[17] Lakin, K. M., G. R. Kline, and K. T. McCarron, “Self Limiting Etching of Piezoelectric
Crystals,” Proc. 1995 IEEE Int. Freq. Cont. Symp., pp. 827–831.
[18] Coussot, G., and E. Dieulesaint, “Method of Manufacturing an Electromechanical System
Having a High Frequency Resonance,” U.S. Patent 3,924,312.
[19] Curran, D. R., “Composite Resonator,” U.S. Patent 3,401,275.
[20] Sliker, T. R., and D. A. Roberts, “A Thin-Film CdS-Quartz Composite Resonator,” J. App.
Phys., Vol. 38, 1967, pp. 2350–2358.
[21] Manasevit, H. M., F. M. Erdmann, and W. I. Simpson, J. Electrochem. Soc., Vol. 118, No.
1864, 1971.
[22] Lakin, K. M., J. Liu, and K. Wang, “Aluminum Nitride on Sapphire,” 1974 IEEE
Ultrasonics Symp. Proceedings, Milwaukee, WI, November 11–14, 1974, p. 302.
[23] Shiosaki, T., Proc. IEEE 1978 Ultrasonic Symp., Vol. 100, 1978.
[24] Hickernell, F. S., Proc. IEEE, Vol. 64, No. 631, 1976.
[25] Lakin, K. M., and K. G. Lakin, “Numerical Analysis of Thin Film BAW Resonators,” 2003
IEEE Int. Ultrasonics Symposium, paper 4A-3.
[26] Lakin, K. M., G. R. Kline, and R. S. Ketcham, “Low Insertion Loss Filters Synthesized with
Thin Film Resonators,” 1987 IEEE Ultrasonics Symposium, Denver, CO, October 14–16,
1987, Vol. 1, p. 375.
[27] Hashimoto, K.-Y., et al., “Preparation of Piezoelectric ZnO Films by Target Facing Type of
Sputtering Method,” 1998 IEEE Ultrasonics Symp. Proc., Vol. 1, 1998, p. 207.
[28] Iriarte, G. F., et al., “Synthesis of C-Axis Oriented AlN Thin Films on Metal Layers: Al, Mo,
Ti, TiN and Ni,” 2002 Ultrasonics Symposium Proc., Vol. 1, 2002, pp. 311–315.
[29] Emanetoglu, N. W., et al., “MgxZn1-xO: A New Piezoelectric Material,” 2001 IEEE
Ultrasonics Symposium Proc., Vol. 1, 2001, pp. 253–256.
[30] Mishin, S., et al., “Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators:
Relationship Between Surface Quality, Microstructure and Film Properties,” 2003 IEEE
Ultrasonics Symp., 2003, p. 2028.
[31] Naik, R. S., et al., “Measurement of the Bulk, C-Axis Electromechanical Coupling Constant
as a Function of AlN Film Quality,” 2002 IEEE Ultrasonics Symp. Proc., 2002, p. 292.
[32] Lakin, K. M., K. T. McCarron, and J. F. McDonald, “Temperature Compensated Bulk
Acoustic Thin Film Resonators,” 2002 IEEE Ultrasonics Symp. Proc., Vol. 1, 2002, pp.
855–858.
[33] Bjurstrom, J., et al., “Dependence of The Electromechanical Coupling on the Degree of Ori-
entation of C-textured Thin AlN Films,” IEEE Trans. on Ultrasonics, Ferroelectrics and
Frequency Control, Vol. 51, 2004, p. 1347.
[34] Guy, I. L., E. M. Goldys, and S. Muensit, “Measurements of Piezoelectric Coefficients of
Nitride Semiconductor Films,” Semiconducting and Insulating Materials Conference, 2000.
SIMC-XI. International, July 3–7 2000, pp. 55–58.
[35] Shiosaki, T., “Growth and Applications of Piezoelectric and Ferroelectric Thin Films,”
1990 IEEE Ultrasonics Symp. Proc., Vol. 1, 1990, pp. 537–546.
[36] Driscoll, M. M., et al., “Low Noise, UHF Oscillators Utilizing High Overtone, Lateral-Field
Excitation, Lithium Tantalate Resonators,” 1991 IEEE Ultrasonics Symp. Proc., Vol. 1,
1991, pp. 453–457.
[37] Lee, C. H., et al., “Silicon Bulk Micromachined RF MEMS Switches with 3.5 Volts Opera-
tion by Using Piezoelectric Actuator,” 2004 IEEE MTT-S Digest, p. 585.
[38] Krishnaswamy, S. V., B. R. McAvoy, and M. H. Francombe, “Thin Film in Microwave
Acoustics,” in Physics of Thin Films, Academic Press, Vol. 16, 1992, pp. 145–224.
CHAPTER 2

Resonator and Filter Topologies


Ken Lakin

The principal requirement for a resonator topology is that it must have suitable
boundary surfaces that act to confine the sound wave to the resonator region. Of
course the structure needs to be practical from a manufacturing standpoint having
high yield and low cost. A configuration that might have seemed unpractical in
times past can suddenly be of interest through the application of advanced manufac-
turing techniques. There are two principal thin film resonator topologies, one with
two air interfaces and the other having one air interface and one reflector stack as
described below. Both topologies are widely used in high-volume manufacturing.

2.1 Plate Edge-Supported Resonators

From an acoustic reflector standpoint, an edge-supported membrane having top


and bottom air interfaces would seem to be the most ideal topology. The question is
how to fabricate such a structure in a practical manner.

2.1.1 Pothole Membrane


The first thin film resonators were made with the so-called pothole process illus-
trated in Figure 2.1 [1–8]. The process was made possible by some pioneering work
in microelectronics [9]. In Figure 2.1(a) a silicon wafer having (100) orientation is
given an oxide layer on the backside and a highly doped p layer of Boron on the
topside. This is a batch process that can be done in a diffusion furnace processing
hundreds of wafers at a time at low cost. Next, in Figure 2.1(b), an oxide window is
opened to expose the bottom of the pothole. The pothole is etched from the back-
side of the wafer using a wet chemical batch process that etches fast along the crys-
tal’s [100] direction but slowly along the crystal’s [111] directions leaving four walls
of (111) orientation. Once the surface is deglazed of the highly doped glass layer
formed during the diffusion, the wafer is ready for further processing.
The patterns formed in silicon are restricted to rectangular shapes because of
the anisotropy of the etching process. The p membrane so formed is typically less
than 1-micrometer thick and is accordingly translucent, appearing light brown in
transmitted light. This degree of transparency allows the location of the pothole to

21
22 Resonator and Filter Topologies

p+ Silicon (100) Silicon Oxide

(a)

Pothole

(b)

Piezoelectric

Electrodes
(c)

Removed p+ Layer
(d)
Figure 2.1 Pothole membrane process for fabricating thin film resonators: (a) a silicon (100)
wafer is given a p layer about 1.5 micrometers thick, (b) a wet etch is used to form the pothole in
the backside of the wafer, (c) the piezoelectric device is fabricated on top of the p membrane,
and finally, (d) the p membrane is plasma etched to free up the piezoelectric membrane and
devices.

be identified from the topside of the wafer during subsequent IC photolithographic


processing.
Next, the bottom electrodes are formed by a normal IC process. Typically, an Al
film is deposited on the surface of the wafer in such a manner as to provide the cor-
rect (111) orientation for subsequent AlN deposition. Typically, the piezoelectric
film is grown by sputter deposition covering the entire wafer. After the top electrode
is processed, a capacitor-type structure is formed. It is possible to conduct resonator
measurements at this stage because the resonator is a composite resonator being
composed of AlN, electrodes, and the p layer. In early work, the p layer was not
removed and was part of the resonator. The last step in Figure 2.1(d) is to plasma
etch the p membrane from the bottom side of the wafer to leave an edge-supported
piezoelectric plate. Although Figure 2.1(d) suggests a single resonator for illustra-
tion purposes, the membrane can actually support complex filter topologies having
many resonators.
The pothole process was used to fabricate resonators in the 1980s and the first
membrane resonators, without the p layer, were reported in 1982 [8]. The freely
supported resonator with air on both sides is now often called an FBAR.
A disadvantage of this process is that the p layer is still in the wafer field outside
of the pothole region. Since p silicon is a relatively good conductor it can give rise
to parasitic resonators where top electrode out-traces overlap the p field. In gen-
eral, the p area can act as an unwanted ground plane unless removed by some other
processing.
2.1 Plate Edge-Supported Resonators 23

The step in Figure 2.1(b) could be followed by a patterning of the p layer to


remove it from the majority of the topside field and, if necessary, a deposition of sili-
con dioxide followed by a planarization of the wafer. This process is outlined in Fig-
ure 2.2. In Figure 2.2(b) the p layer is selectively removed by an IC process and
then covered by an oxide deposition. Planarization of the wafer gives the structure
in Figure 2.2(c). Finally, the piezoelectric device is fabricated and the p layer is
removed. Topside electrode traces over the oxide are now given a measure of isola-
tion from the silicon wafer (which could of the high-resistivity type). Again, the
advantage of the pothole process is that low-cost batch processing can be employed.
Critical dimensionality control occurs only with the actual resonator fabrication,
but that issue is common to all resonator fabrication techniques. A disadvantage is
the large wafer area taken up by the vertical projection of the opening at the back-
side of the wafer. This could be mitigated to a certain extent by wafer thinning as
now routinely practiced in the IC industry.
The purpose of the above exercise is to illustrate how a resonator topology first
implemented in the 1970s and then mostly abandoned in the early 1990s can be
revived through application of advances in IC processing that have taken place since
then. These advances include, the ability to use thinner silicon wafers, advanced
plasma processing, and the wide spread use of chemical mechanical polishing
(CMP) or other planarizing processes.

(a)

Oxide p+ Silicon

(b)

Oxide

(c)

Piezoelectric
Oxide

Silicon

(d)

Figure 2.2 Advanced pothole process. In (a) the wafer is already provided with a p membrane,
(b) the p+ membrane is patterned and then overlain with an oxide layer, (c) the wafer is
planarized to smooth the wafer surface, and (d) the piezoelectric device is fabricated followed by a
plasma etch to remove the p region and in the process smooth the pothole walls.
24 Resonator and Filter Topologies

2.1.2 Pocket Membrane


The pocket process is similar to the pothole process except the manner of carrying
out the backside etch is much more sophisticated, offers greater freedom in struc-
tural features, but is more expensive. In Figure 2.3(a) an etch stop layer is formed (if
needed) followed by complete device fabrication in Figure 2.3(b). The backside of
the wafer needs to be oxide patterned and then followed by a deep reactive ion etch
(RIE) that leaves vertical side walls, Figure 2.3(c). The deep-etch process involves a
complex plasma chemistry that leaves the vertical side walls protected yet allows
etching in the direction of the impinging beam. The etching is known to effectively
stop on AlN and allow a good degree of process control. The etching process is done
one wafer at a time, and that adds to the cost element. The use of thin wafers is
important here.
One of the features of the deep RIE etching process, that has been exploited by
the IC industry, is the very high aspect ratio vertical structures that can be formed.
For thin film resonators, the waste of topside area caused by the pothole process is
eliminated by the steep vertical walls. The lateral resolution of the process is such
that wall-anchored vertical support structures could be fabricated as well as vertical
silicon features that are only supported by the membrane. Figure 2.4 suggests that, if
needed, some of the piezoelectric membrane can be left with fine-detailed silicon
support structures. The deep RIE process can produce very vertical narrow side wall
features with high depth-to-width aspect ratios of 100:1 or greater. Wafers are pro-
cessed individually and sufficient energy is imparted to the wafer as to require wafer
cooling to avoid damage.

Etch Stop Layer (100) Silicon Oxide

(a)

Piezoelectric

Etch Stop Layer Electrodes


Oxide

(b)

(c)
Figure 2.3 Pocket membrane process. The steps are summarized in (a) an etch stop layer (such
as AlN) is applied to the top of the wafer and an oxide layer formed on the back of the wafer to
allow definition of the hole, (b) fabrication of the thin film resonator in the normal manner, and (c)
a deep RIE to open up the hole and expose the bottom of the resonator.
2.1 Plate Edge-Supported Resonators 25

Support
Wall

Piezoelectric
Membrane

(a)

Piezoelectric

Support Support
Wall Wall

(b)
Figure 2.4 Pocket process with support structures allowed by the very high aspect ratio provided
by the RIE process (a) top view and (b) side view. Height-to-width ratios of over 100:1 are easily
achieved by this process.

Subsequent to the pothole membrane development, and about the time of the
pocket membrane process, significant advances were made in wafer bonding.
Accordingly, wafer bonding would be a way to seal off the backside holes as part of
a wafer packaging process. Either pothole or pocket process allows the wafer to be
subsequently diced with a wet process, sawing.

2.1.3 Undercut Air Gap Membrane


Perhaps the most elegant of the membrane processes is the one that forms an air gap
under the membrane, as a postfabrication dry process implemented from the top-
side of the wafer [10–13]. For example, in Figure 2.5(a) a patterned sacrificial layer
is fabricated on the topside of the substrate. This layer must be compatible with the
following metal deposition such that the metal’s crystal orientation is proper for the
subsequent piezoelectric deposition. Typically, the sacrificial layer is in a
polycrystalline or amorphous state that allows the metal to orient along preferred
directions without direct influence from the sacrificial layer. The high-energy state
of the layer is also very conducive to the subsequent removal process. On top of the
patterned sacrificial layer the lower level metal is deposited and patterned followed
by the piezoelectric film deposition. The care with which these steps must be carried
out is discussed in a later chapter.
Next, in Figure 2.5(b) VIAs are etched to access buried electrodes and the top
metal is deposited and fabricated. A VIA hole is etched or ion milled through the
overlaying device structure into the sacrificial layer. The wafer is then subjected to
26 Resonator and Filter Topologies

Piezoelectric
Support

Substrate

(a)

(b)

(c)
Figure 2.5 Air gap process. In summary, (a) a sacrificial support layer is deposited and patterned
on the wafer followed by lower electrodes and then piezoelectric film deposition, (b) top elec-
trodes are formed and then followed by VIAs to access the buried electrodes and the sacrificial
layer, and (c) the sacrificial layer is removed by a highly selective etching process.

an etching agent that enters through the hole and etches out the sacrificial layer leav-
ing a membrane as suggested in Figure 2.5(c). The etching hole need not be plugged
as long as the wafer is subsequently only dry processed, including trimming to fre-
quency, wafer dicing step, and any packaging operations.
Examples of the process are shown in the optical photographs of some test struc-
tures in Figures 2.6 and 2.7. The tests were done for two different sized etch holes.
The area of the small holes in Figure 2.7 can be compared to the area of the undercut
region. The rectangular regions (with tabs in Figure 2.6) mark the boundaries of the

Figure 2.6 Demonstration test patterns. The rectangular regions with tabs are the pattern of the
sacrificial layer. There are no metal layers under the AlN film. Holes were etched through the AlN
to expose the sacrificial layer and the wafer subsequently etched to remove the sacrificial layer.
The optical interference pattern is due to the AlN membrane bowing upward.
2.2 Solidly Mounted Resonators 27

Figure 2.7 Test structure similar to the one in Figure 2.6, except that there are more holes of
smaller diameter. Holes could be within the pattern and not just at the edges.

sacrificial layer. The optical interference pattern is caused by mechanical stress in


the film, acquired during film growth, relieved by upward bowing the film. The
width-to-thickness ratio of the sacrificial layer is over 100:1, yet the activated gas is
able to diffuse throughout the area and reaction products escape out the hole. The
mechanical pinning of the membrane to the wafer support structure would be as
good as that of the pothole or pocket process. The narrow gap, of the order one
micrometer, could allow parasitic capacitance from the resonator bottom elec-
trodes down to the silicon, and accordingly couple resonator electrodes. Typical lat-
eral dimensions of electrodes would be 100 times the gap thickness, even if the
silicon wafer is of high resistivity, the parasitic capacitance effect might be
important at parallel resonance.
The smaller etch holes could be effectively plugged by an overlay deposition of
sufficient thickness. The problem is that this would allow the film to be stressed by
thermally generated pressure in the gas trapped under the film.

2.2 Solidly Mounted Resonators

A more mechanically rugged resonator structure, illustrated in Figure 2.8, can be


formed by isolating the resonator from the substrate with a reflector array [14–17].
The array is composed of nominally quarter wavelength (acoustic) thick layers
sometimes called a Bragg reflector, the optical analog. The number of layers in the
reflector depends on the total reflection coefficient required and the reflection
occurring at each layer interface. If the substrate has relatively high impedance then
the first layer on top of the substrate should be of low impedance, the next layer
high impedance, and so forth. A suitable sequence might be SiO2 and AlN or SiO2
and W (tungsten) on a silicon or sapphire wafer. If the total reflector reflection coef-
ficient is large enough, little or no sound enters the substrate. In which case it does
not matter if the first layer is of high or low impedance relative to the substrate.
Because tungsten has relatively high mechanical impedance, each material bound-
ary has a higher reflection coefficient than if AlN were used and therefore fewer lay-
ers are required. Since AlN and most piezoelectric materials have moderately high
impedance, the layer under the resonator is of low impedance and is usually silicon
dioxide. If conductors are used for the high-impedance layers, then they generally
have to be patterned as a means of avoiding parasitic effects in complex arrays of
resonators used in filters. Such patterning can leave the wafer nonplanar but a clever
28 Resonator and Filter Topologies

Electrodes

Piezoelectric

Reflector Layers

Substrate

Figure 2.8 Solidly mounted resonator cross-section. The reflector stack is composed of acousti-
cally quarter-wavelength layers of materials that produce a substantial reflection coefficient at each
layer boundary. The bottom boundary of the resonator is 180° of phase down from the top of the
resonator.

technique of reflector fabrication and subsequent planarization has been


demonstrated [17].
Figure 2.9 shows a plot of mechanical displacement versus depth for an AlN res-
onator with aluminum electrodes at 1,800 MHz. The resonator plot is for a fre-
quency near series resonance. The standing wave is largest in the piezoelectric-
electroded region and decreases gradually through the depth of the nine-layer (not
all layers are shown) reflector stack. The entire structure is the resonator and the
electroded piezoelectric region is technically just a transducer. Because energy is
stored outside of the electrically sampled region the effective piezoelectric-coupling
coefficient of the resonator is reduced over that of an isolated thin film resonator.

0.10
Al
0.08 Electrodes
0.06
0.04
Displacement, A

0.02
0.00
-0.02
-0.04
SiO 2

SiO2
SiO2

AlN

AlN

AlN

-0.06 AlN
-0.08
-0.10
0 2 4 6 8 10
Distance, μm
Figure 2.9 Simulation of resonator displacement versus depth. The resonator is series resonant at
1,800 MHz. The most wave amplitude, and hence energy, is stored in the AlN–electroded region
with wave amplitude decreasing with depth into the reflector. The reflector is composed of nine
layers in total on a sapphire substrate.
2.3 Electrode Metallization 29

In the case shown, the transducer region is 180° thick (simply called a
half-wavelength even though there are three material regions) and the material
boundary to the right of the “bottom” electrode is also the “resonator” boundary.
If, for example, the near-in reflector layer were thicker than a quarter-wavelength,
the excess portion would appear in the resonator, causing the resonant frequency to
shift downward. Because there is more sound energy in the near-in reflector layer
than the other layers, that layer has a greater effect on overall resonator perfor-
mance compared to more distant reflector layers.
Resonator properties and some wide bandwidth filter properties are strongly
influenced by the reflection spectrum of the reflector. Bandwidth of the reflector is
affected by the impedance ratio between layers with the SiO2/W sequence having a
much wider bandwidth than an SiO2/AlN sequence as shown in Figure 2.10. In both
cases, the number of reflectors was chosen to such that there was no significant
increase in resonator performance through the addition of more layers. The various
layers in the reflector need not have exactly the same materials in the high/low
sequence so long as the sequence alternates between high and low. For example, the
first two layers nearest the resonator might be SiO2/W while the remaining layers
needed just SiO2/AlN, but fewer than nine in total because of the large reflection at
the W interfaces. That way only one W layer need be patterned. Specific details on
reflectors will be given in a later chapter.

2.3 Electrode Metallization

There are two key elements of electrode metallization that strongly affect resonator
performance as illustrated in Figure 2.11 [18, 19]. First, the effective resonator-cou-
pling coefficient has a peak in K2 at a particular thickness ratio. Here the ratio is
defined as the thickness of one metal layer to the piezoelectric thickness, and the
data is for both electrodes of the same thickness. Since filter bandwidth is strongly
tied to K2, wide-bandwidth filters may require the use of W or Mo for metallization
as a means of increasing effective K2. The second affect on resonators is the reduc-
tion in piezoelectric film thickness for a given frequency. The thinner the piezoelec-
Reflection coefficient

Frequency, MHz

Figure 2.10 Reflection spectrum of a reflector stack. The solid line is for nine layers of the
SiO2/AlN sequence and the dashed line is for a SiO2/W sequence. It will be apparent how reflector
bandwidth affects the stacked crystal filter as described in a later section.
30 Resonator and Filter Topologies

Au W

Mo
Al

(a)

Al

Mo
Au

(b)
2
Figure 2.11 Simulation of resonator effective K and frequency constant for AlN thin film resona-
tors having equal thickness metal on both sides shown as a function of the thickness ratio of one of
2 2
the metal thicknesses to the AlN thickness. In the K plot, K has a characteristic peak near the
0.1-thickness ratio for Al. The frequency constant falls rapidly for the higher impedance films of
Mo, W, and Au, allowing significantly thinner piezoelectric thickness for a given frequency.

tric the smaller the area required to achieve a desired capacitative reactance. Smaller
area translates into more filters per wafer during manufacturing and probably lower
per-filter cost.
Electrode resistance is a secondary but important factor in metallization that
can be controlled to some extent by resonator layout and methods to connect the
resonator to other circuit elements or the I/O structures. At 2 GHz the skin depth in
Al is approximately 2 micrometers and the optimum metal thickness for electrodes
at that frequency is approximately 200 nm (2,000A). This suggests that the current
flow in the electrodes is mostly uniform throughout the electrode thickness. As a
consequence, there are power losses in the electrodes that must be carefully con-
trolled. Further, the assumed equal potential nature of the electrode may be doubted
around series resonance where current flow is the greatest. Composite electrodes
composed of a high mechanical impedance electrode such as W might include an Al
layer for better conduction.
Because electrode metals are more lossy mechanically than the other materials
used in the structure, a design tradeoff is required. Thicker metal lowers resistive
losses but increases mechanical losses. Somewhere inbetween there is an optimum
2.4 Temperature Compensation 31

metal thickness that depends on the whole resonator design. However, at the upper
microwave frequencies it may be necessary to increase metal thickness to reduce
resistive losses because thinner metals become somewhat discontinuous and
disproportionately more resistive.
Figure 2.12 shows a calculation of series resonant Q versus metal thickness for
Mo, Au, and Al metal electrodes at 10 GHz. The piezoelectric thickness was
reduced to maintain the same resonant frequency as the metal thickness increased.
In the model, the Q of AlN was chosen to be 5,000 for an epitaxial film. At
near-zero metal thickness the series resistance losses dominate resonator Q. As
metal thickness increases a point is reached wherein the electrode resistance and
mechanical losses are equal, giving a peak in the Q response. Beyond that point
metal mechanical losses begin to dominate.
Ironically, one way to reduce metal losses in microwave resonators is to use the
air-gap-coupled electrode configuration used in 1940s resonators. Here there is no
sound in the electrodes and therefore they can be made as thick as required for
mechanical stability while reducing resistive losses. Two problems arise. First, the
air gap will form a capacitance in series with the resonator and thereby lower the
effective K2. For example, if the combined air gap thickness is equal to one-tenth the
piezoelectric thickness, the series air gap capacitance equals the piezoelectric capaci-
tance and K2 is reduced by a factor of two. Second, it is very difficult to maintain an
air gap small enough not to significantly impact K2 because of finite strain in the
piezoelectric films.

2.4 Temperature Compensation

Most materials have a negative temperature coefficient for stiffness, meaning quite
simply that they get softer as temperature increases. Some ferroelectric materials
have a sufficiently large polarization induced piezoelectric effect to affect the stiff-
ness of the material in a manner that is significant for acoustic resonators. Quartz is

900

800
Mo
700

600
Qseries

Au
500

400
Al
300

200

100

0
0 0.02 0.04 0.06 0.08 0.1 0.12
Metal, μm

Figure 2.12 Simulation of series resonant Q versus metal thickness for each of two electrodes on
a piezoelectric thin film resonator. The AlN Q was assumed to be 5,000, Mo Q of 400 with
5-ohms-per-square-sheet resistance at 500A, Au Q of 100 with 2.5 ohms per square at 500A, and
Al Q of 200 with 3 ohms per square for 500A.
32 Resonator and Filter Topologies

a unique material that has a positive temperature coefficient of stiffness due to


stretching of the Si-O chain upon increased temperature. The effect causes the mate-
rial to become stiffer with temperature over a useful range of temperatures. In par-
ticular, the property is manifest in the polycrystalline form as used in
microelectronics as well as in the crystal form.
Since there are no temperature-compensated materials readily available in thin
film form that are also piezoelectric, it is necessary to use composite structures com-
posed of positive and negative coefficient characteristics. Temperature compensa-
tion can therefore be obtained with a composite layering of materials of normal
negative coefficient with silicon dioxide which has a positive temperature coefficient
[20]. Figure 2.13 shows four material composite configurations that can be used to
achieve a degree of temperature compensation. In Figure 2.13(a) the oxide layers are
shown below the electrodes. This format is good when Al electrodes are used
because it allows the Al layer to escape the high temperature of the oxide deposition
(300°C) unless it is already pinned by another layer. So, the oxide is deposited first,
followed by the Al film, which has a tendency to orient properly on the
polycrystalline surface of the oxide. Next AlN is deposited but not at such high tem-
perature as to cause the Al electrode to hillock. The top layer of oxide is deposited
onto the AlN where adherence is not a problem. Finally, the top metal layer is depos-
ited and the electrodes formed. This is the preferred configuration for the SMR
because, if there is already an oxide layer next to the resonator, it only needs to be
made thicker. In that configuration the resonator is inherently not symmetric and
that can give rise to spurious resonances. A high frequency spurious response can be
prevented by making the added oxide layer half the thickness of the oxide layer that
is on top of the AlN.
In Figure 2.13(a, c, d) configurations, the oxide layer inside the resonator acts as
a series capacitance that reduces the effective K2 of the resonator. As practiced, the
Figure 2.13(a) configuration was used in the design of narrow-bandwidth filters
which required temperature compensation. The level of TC is a matter of degree and
as the filter bandwidth is narrowed through the use of the series oxide capacitance

SiO 2 SiO2

Piezoelectric Piezoelectric

SiO2 SiO2

(a) (b)

SiO 2
Piezoelectric

Piezoelectric SiO2
Piezoelectric

(c) (d)
Figure 2.13 Possible temperature compensation layouts using silicon dioxide or similar tempera-
ture-compensated material. For simplicity, the drawing is for thin film resonators but the same can
be done for SMR.
2.4 Temperature Compensation 33

the required degree of temperature compensation increases in such a manner as to


hold the filter stable down to bandwidths of just under 1%.
The configuration of Figure 2.13(b) is interesting because the resonator remains
symmetrical and for a given frequency smaller in size because of the oxide layers.
However, the top oxide must be deposited on top of the top metal electrode, which
could be a problem with Al unless the metal is pinned with an initial sputter-depos-
ited oxide layer. There should be no problems using the upper oxide layer with more
refractory metals such as Mo or W.
The configuration in Figure 2.13(c) has a single oxide layer that is probably not
desirable because it leaves the resonator highly nonsymmetric. In Figure 2.13(d) the
oxide is in the center of the resonator, at the point of maximum stress, where the
effect of the oxide might be most pronounced. However, that configuration would
require that the upper piezoelectric layer be grown on the oxide, and that is not
likely to result in a satisfactory film.
In the SMR, a small fraction of the acoustic energy is stored in the topmost lay-
ers of the reflector as previously described. Consequently, the resonator TC is auto-
matically partially compensated if the last reflector layer is a positive TC material
such as silicon dioxide (+85 ppm/°C in film form). The normal −25 ppm/°C of an
AlN-only resonator is reduced to −15 ppm in this case.
The first thin film TC composite resonator was the AlN on silicon p+ resonators
using shear wave propagation [21].
Figure 2.14 shows some experimental results for an SMR resonator having a
degree of temperature compensation compared to experimental data for AT-cut
quartz. The process of compensating is to offset on material with another so as to
cancel out the first-order variation. The data in Figure 2.14 suggests that too much
oxide was used because the curve is actually turned over in the linear variation.

200
180
160
140
120 Parallel
100
80
60 Series
Df/fa, ppm

40
20
0
−20
−40
−60
−80 Quartz
−100
−120
−140
−160
−180
−200
−100 −75 −50 −25 0 25 50 75 100 125 150
Temperature, deg. C
Figure 2.14 Some early experimental results for a SMR compensated with silicon dioxide in the
configuration of Figure 2.13(a).
34 Resonator and Filter Topologies

Although first-order temperature-coefficient data is known for many materials, very


few higher order elastic coefficient terms are known. Results on resonator aging
have been published [20].

2.5 Electrically Coupled Filters

With resonators as circuit element building blocks, networks of resonators can be


designed to implement various filter characteristics. The sections below will present
a general overview of filter topologies of current interest which will include bal-
anced and unbalanced filters, the latter is of increasing interest to cell phone applica-
tions and IC integration.

2.5.1 Ladder Filters


Electrically connected resonators can form ladder, lattice, or other similar circuits,
introduced briefly in Chapter 1. The interconnect techniques are straightforward
and can be implemented to minimize parasitic effects and inordinate conduction
losses in the electrode metallization. Figure 2.15 shows the circuit diagram of a sim-
ple ladder filter having resonators in series and shunt branches. One or both of the
end series resonators can be eliminated or more sections added depending on design
constraints. A typical ladder filter response is shown in Figure 2.16, for the purpose
of describing how the ladder filter works. In this case all the series resonators have

Figure 2.15 Simple ladder filter having series and shunt resonators.

Figure 2.16 Experimental results for a simple ladder filter having five series and four shunt reso-
nators with AlN and Al electrodes.
2.5 Electrically Coupled Filters 35

the same series and parallel resonant frequencies and likewise the shunt resonators
are all identical but different from the series resonators. The filter has five series res-
onators and four shunt resonators, hence forth called a 5-4. The center frequency of
the filter is at the series resonant frequency of the series resonators. There the series
circuit branch has the lowest impedance (resistance) and current flow is more or less
straight through the filter. To support an unimpeded current flow in the series
branches, the shunt resonators are shifted in frequency such that their parallel reso-
nance is at approximately at the series resonant frequency of the series resonators.
That way the network has minimal current flow to ground through the shunt ele-
ments and minimum insertion loss. For this effect to be of greatest benefit, the shunt
resonators must have high parallel resonant resistance, and the series resonators
must have high series resonant Q to give lowest resistance. This forces the resonator
technology to produce high Q at both resonances.
The out-of-band rejection of a ladder filter, at frequencies well outside the
acoustically active range of the resonators, is controlled by the capacitive voltage
divider nature of the ladder circuit. More ladder sections, or higher capacitance
shunt resonators, increase the ultimate rejection but also increase the in-band inser-
tion loss. As frequency is increased from the low frequency side the shunt resonators
go through series resonance and that produces the deep notch on the lower fre-
quency side of the passband. Further increasing frequency causes the shunt resona-
tor to become inductive and then parallel resonant at the filter center frequency and
becoming more capacitive across the upper half of the passband. The series resona-
tors are series resonant at passband center and become parallel resonant and pro-
duce the high-frequency notch. At higher frequencies the resonators become
capacitors again. These near in notches are used to advantage in filters designed for
cell phones and other high performance applications. Clearly these notches can be
spread according to the distribution of resonant frequencies of the building block
resonators.
The corners of the filter can be sharpened by higher Q resonators and by not
having all resonators at the same resonant frequency, as will be described in a later
chapter.
Figure 2.17 shows a comparison of three types of simple ladder filters. The one
having lowest insertion loss is in the 3-2 configuration and also has the lowest
out-of-band rejection. The next lowest filter is a 5-4 and has higher insertion loss
because of the increased number of resonators in the filter. However, the larger
number of resonators gives a higher out-of-band rejection. Typically, one filter
would be used in the front-end of a receiver and the second as a post–LNA filter.
The narrow-bandwidth filter in Figure 2.17 was made with temperature-compen-
sated resonators in the format of Figure 2.13(a).
In general, more complicated ladder filters have been designed for high-perfor-
mance applications wherein various resonators have slightly different frequencies in
order to optimize filter characteristics. The shifted frequencies can be implemented
with series inductors (e.g., bond wires), or through incremental thickness adjust-
ments of the metal electrodes.
Figure 2.18 shows circuit diagrams of filters having either deliberately intro-
duced inductors or the inherent inductance of the circuit. The advantage of this
approach is that the resonator fabrication itself can be simplified by having the set
36 Resonator and Filter Topologies

IL = 1.4 dB IL = 2.5 dB
BW = 38 MHz BW = 33 MHz

IL = 3.7 dB
BW = 18 MHz

Figure 2.17 Summary of ladder filters of simple topology. The widest bandwidth filter is a 3-2
type with lower insertion loss but also minimal out-of-band ultimate rejection. The other two filters
are of the 5-4 configuration and have the same ultimate rejection (same mask set actually) but one
was designed for maximum bandwidth and the other of narrower bandwidth and temperature
compensated.

(a)

(b)

Figure 2.18 Ladder filter circuit diagrams showing the presence of intrinsic or introduced induc-
tance used to shift resonator frequencies and thereby provide a more optimized bandwidth. In (a)
shunt inductors, possibly wire bonds, are used to shift the shunt resonators’ frequencies, possibly
by the use of bond wires. In (b) an inductance is suggested that can be chosen series resonate the
shunt resonators, as capacitors, at the filter center frequency.

of resonators set on at most two frequencies. Shifting the frequency of individual res-
onators generally requires another masking step in an already complex process. The
down side of the inductor approach is that there is little room for chip or integrated
inductors.
2.6 Acoustically Coupled Filters 37

The circuit of Figure 2.18(b) suggests the use of a common mode inductor that
is series resonant with the set of shunt resonators. In the filter passband the shunt
resonators are going parallel resonant and therefore the inductor has little effect on
the circuit. But, off-frequency where the shunt resonators are capacitors, the series
resonance has the effect of putting a low-Q rejection notch over the filter, which
increases the near-in isolation of the filter. Because of the LC notch, the design of the
filter can use fewer elements and produce lower insertion loss in band.

2.5.2 Balanced Ladder


The balanced ladder filter, in Figure 2.19, is simply a mirror image of the sin-
gle-ended filter. This type of balanced filter does not give as large a bandwidth as a
lattice filter but does have the steep near in skirts associated with the single-ended
ladder filter. Balanced filters are of increasing interest in system integration with ICs
whose amplifier circuits typically have balanced I/O.

2.5.3 Conventional Lattice


The conventional lattice filter, described in Chapter 1, is readily adopted for thin
film BAW implementation where a balanced filter is required. In the lattice filter
response the balanced network configuration suppresses the normal pole-zero
response of a resonator to give a more conventional multipole response. Figure 2.20
shows a four-pole lattice filter with the top view of a die layout. In this configura-
tion the circuit I/Os do not require contact to the electrodes on the bottom side of
the piezoelectric. Electrodes denoted by E and F are buried under the piezoelectric
plate and the I/O electrodes are all on the top. If implemented on an IC this would
afford a compact layout.

2.6 Acoustically Coupled Filters

Resonators may be acoustically coupled to yield more or less classical filter


responses. Acoustical coupling takes two general forms, one where propagation is
perpendicular to the major plate surfaces, and the other where it is transverse (i.e.,
parallel to the major plate surfaces). The following discussion will focus on the
thickness mode form of coupling.

Figure 2.19 Balanced ladder as a mirror image of a single-ended ladder filter.


38 Resonator and Filter Topologies

X1A X1C
E
A C

X2A X2B X2D X2C

B D
X1B F X1D

A B C D

E X1A X2B X1C X2D

F X2A X1B X2C X1D

Figure 2.20 Lattice filter. The upper-circuit diagram gives the general circuit of a two-section
4-pole ladder filter. The lower drawing is a topside view of the filter as laid out for a thin film reso-
nator fabrication. The resonator and electrode designations can be used to correlate the circuit dia-
gram with the layout.

2.6.1 Stacked Crystal Filter


One of the primary thickness-mode-coupled resonators is the stacked crystal filter
(SCF) (Figure 2.21 [22–26]). The SCF is composed of multilayers of piezoelectric
and metal layers, as shown in Figure 2.21(a) for a one-pole filter and in Figure
2.21(b) for a two-pole filter. Because one transducer is located directly on top of the
other, there is little or no impediment for the sound generated by one resonator
propagating between the two transducers. A voltage applied between electrode 2
and the ground drives the top transducer. The wave that is generated in the top

1 2 GND.

VIA VIA
Piezoelectric

Piezoelectric
Reflector Layers or Air
(a)

GND. 1 2 GND.

Piezoelectric
Piezoelectric

Reflector Layers or Air


(b)
Figure 2.21 Cross-sectional views of a simple SCF. In (a) is shown two piezoelectric layers with
intervening electrodes for a single section one-pole filter, and in (b) two sections are connected
electrically in series to form a two-pole filter.
2.6 Acoustically Coupled Filters 39

transducer propagates through the structure and reflects off the bottom of the bot-
tom transducer. Thus, the acoustic region established between outer reflecting sur-
faces of the two transducers forms a resonator. In the SCF then, one transducer
drives the resonant structure and the other extracts energy from the resonator. The
limited frequency range of the externally loaded resonator is the basis of the filter
response.
Figure 2.21 also shows the electrical shielding between input and output pro-
vided by the ground plane.
The lowest order resonance, as shown in Figure 2.22, is for a half-wavelength
across the entire structure or an approximate quarter-wavelength across each piezo-
electric region, and will be denoted as mode 1. Although transduction is not the
most efficient when there is only a quarter-wavelength across the piezoelectric, the
structure is nevertheless resonant and a filter response is obtained. The most effi-
cient transduction, and hence the greatest effective electrical coupling to the exter-
nal circuit occurs at the second overtone, mode 2, where there is a half-wavelength
across each transducer. The next major response is at the third overtone, mode 3,
and coupling is inefficient because each transducer is operating at three-fourths
wavelength. Taken together, these resonances have the effect of placing adjacent
spurs at the half- and three-halves frequency around the most efficient transduction
frequency. The response of the mode 2 SCF can be improved by fabricating in the
SMR format on a limited bandwidth reflector array to effectively attenuate the
mode 1 and mode 3 frequency responses, as implied by Figure 2.10.
It is useful to compare filters designed for mode 1 and for mode 2 resonant
structures. Figure 2.23(b) shows in dashed lines an SCF designed for the mode 2
optimal coupling case. Here the SMR format is used to limit the mode 1 and mode 3
responses that occur relatively near-in and would otherwise severely limit the
out-of-band rejection. Also, on the plot is a mode 1 filter centered on the frequency
of the mode 2 filter. The mode 2 filter is on a nine-layer reflector stack of AlN and
SiO2 whereas the mode 1 filter has the simpler air reflector structure. Clearly, the
mode 1 filter has higher ultimate rejection over most of the range shown than does
the mode 2 filter, Figure 2.23(b). The overtones for the mode 1 filter occur at higher
frequencies far removed and there is no need for the SMR reflector’s rejection
characteristics.
Table 2.1 gives the data for the two cases. For the chosen example, the elec-
trodes are Al, the piezoelectric is AlN, and two sections are connected in series to

Mode 1 Mode 3

Mode 2

Figure 2.22 Modeled response of an SCF showing the three principal resonance modes.
40 Resonator and Filter Topologies

-10

-20

-30

S21, dB
-40

-50

-60

1575.42
-70

-80
1475 1515 1555 1595 1635 1675
Frequency, MHz
(a)
0

-10

-20

-30
S21, dB

-40

-50

-60
1575.42

-70

-80
500 900 1300 1700 2100 2500
Frequency, MHz
(b)
Figure 2.23 Comparison of filter responses of two stacked crystal filters, one designed for mode
1 operation, solid line, with air isolation (FBAR) and the other an SMR format mode 2 shown in
dashed lines. In (a) the near-in response shows a slightly narrower bandwidth for the mode 1 filter,
and in (b) the mode 1 filter has better ultimate rejection.

make a two-pole filter. Note that the mode 1 filter is about 2.7 times smaller than the
mode 2 filter. If the mode 1 filter is designed using Mo electrodes then it is about 6.5
times smaller. Clearly, if the mode 2 SMR filter were designed with Mo or W elec-
trodes it would be smaller as well. These size comparisons do not include electrode
I/O pads which are probably a fixed area for both cases. More important than size,
the mode 1 filter can be built in the simpler membrane structures (i.e., no reflector
stack is required). Details for the two filters are given in Table 2.1.
Figure 2.24 shows the circuit models of a resonator and an SCF. First note that
with acoustical coupling, Co is not in parallel with the series branch of the LRC and
is across the source and load impedances instead. The center of the filter passband is
at, or very near, the series resonance of the series LRC circuit and there is no parallel
resonance of Co giving rise to a near-in notch as for the ladder filter. The absence of
2.6 Acoustically Coupled Filters 41

Table 2.1 Comparison of Fundamental and Second


Overtone Modes of an SCF at GPS L1
Parameter Mode 1 SCF Mode 2 SCF
Metal 1 thickness, μm 0.3 0.3
Piezo 1 thickness, μm 1.15 2.91
Metal 2 thickness, μm 0.2 0.3
Piezo 2 thickness, μm 1.15 3.1
Metal 3 thickness, μm 0.3 0.3
3-dB bandwidth, MHz 18.4 22.8
Insertion Loss 1.5 1.22
Resonator Size, μm × μm 156 × 156 257 × 257
Area ratio 0.37 1

Ca/2 2Ra 2La


Ca

Co Ra
Co Co
La

(a) (b)
Figure 2.24 (a, b) Equivalent circuits of simple resonator and SCF. Note that the SCF does not
have Co across the RLC series branch and therefore there are no parallel resonances.

the parallel resonance is important because it allows the resonator to be less exact-
ing than resonators used in ladder filters wherein the parallel resonance of the shunt
resonators is of paramount importance. The performance of the SCF is determined
by only the series resonance of the resonator since there is no parallel resonance.
Analysis shows that the conditions for minimum insertion and maximum band-
width occur when the magnitude of the reactance of Co is equal to the source and
load resistance. Part of this is because Ra is proportional to the reactance of Co and
Ra limits the in-band insertion loss of the filter. Increasing Co can be used to reduce
Ra but that has the effect of increasing current through the shunt element Co. How-
ever, the SCF can be inductor tuned to eliminate the shunt current flow through Co.
Then Co can be increased to reduce Ra. The process has diminishing returns when
the equivalent parallel resistance of the tuning inductor decreases towards the
source and load-resistance values. More on tuning will be discussed in the coupled-
resonator filter section.
It is useful to look at the fabrication layout of a simple SCF to get an idea
of what the device looks like and what problems might arise in the layout. In
42 Resonator and Filter Topologies

Figure 2.25 the lightly shaded areas are acoustically active as defined by the overlap
of the I/O electrodes with the ground plane. The bottom floater electrode is denoted
by d, e, f and is rectangular with no cutouts. Over the floater electrode is a piezoelec-
tric layer and on top of that is the ground plane. Consider the overlap of electrode b
with the ground plane the excitation region and note that the ground plane is cutout
for out-feeds a and h. The wave generated propagates to the bottom piezoelectric
and a voltage is generated at electrode d against ground. Thus, electrode d and b
must line up very closely to avoid a parasitic resonator. For example, if I/O electrode
a was over ground (no cutout in the ground plane) a resonator would be formed
between there and the bottom of the lower piezoelectric. Likewise, when electrode e
transfers current to the right-hand side resonator there must not be a parasitic reso-
nator formed with the ground plane in the gap region between electrodes b and g.
Therefore, the ground plane must have a rectangular cutout corresponding to the
gap between b and g. Note that in Figure 2.25(a) the line to denote the cross-section
is irregular shaped to better show the electrode overlaps.
The ground contact for the device is shown in Figure 2.25(a) as being on just one
side of the device but in practice it should be on both sides. Better I/O isolation is
obtained when capacitance between input and output is at a minimum, which is not
hard to achieve at the die level.

2.6.2 Coupled Resonator Filter


The SCF discussed above is effectively a single resonator with an arrangement for
sampling the energy within the resonator. The resonator either operates in mode 1

Ground VIA
Bottom c
Floating
Electrode

a b g h

d,e,f
Ground Plane Openings

(a)

a b g

c c

d e f

(b)
Figure 2.25 Stacked crystal filter layout. (a) Top view of the layout, and (b) the side view. The lightly
shaded areas are acoustically active. (Note in part (a) the shift in the cross-section indicator line.)
2.6 Acoustically Coupled Filters 43

without optimal electrical to acoustical coupling or in mode 2 which is an overtone.


In both cases the effective K2 is limited resulting in narrower bandwidth than that
obtained with a ladder filter. The limited bandwidth of the SCF can be overcome by
reducing the coupling between the vertically disposed transducers in such a way that
they begin to act as independent resonators rather than as a single resonator. The
resulting configuration is called a coupled resonator filter (CRF) (Figure 2.26) to
distinguish it from the SCF [27, 28]. In this case it is appropriate to blur the distinc-
tion between transducer and resonator because the resonators (transducers) are suf-
ficiently decoupled that they can be properly called resonators. However, keep in
mind that the bottom of the top resonator is at a plane that is 180° of phase down
from the top reflecting surface, and that plane may or may not represent an actual
material boundary.
In Figure 2.26(a) the acoustically active region defined by electrode overlaps is
indicated between the vertical dashed lines. The bottom electrode is patterned such
that it is brought out from under the acoustically active region for eventual contact-
ing. Above the bottom piezoelectric is another electrode that too must be brought
out for contact. Next, formed in sequence, are an acoustic isolation region, on top
of that another electrode, a top piezoelectric layer, and finally the top electrode. As
in the SCF, layout must be such as to avoid unwanted parasitic resonators.
In Figure 2.26(b) two sections of CRF are connected in series to produce a
4-pole filter. This arrangement allows the lower two electrodes to float and there-

1 2 2' 1'

VIA VIA
Piezoelectric VIA
Piezo.

Coupling Layers

Piezoelectric

Reflector Layers or Air


(a)
1 1' 2 2'

Piezoelectric

Coupling Layers

Piezoelectric

Reflector Layers or Air


(b)
Figure 2.26 Cross-sectional view of a CRF. In (a) a single section CRF is shown, and in (b) two
sections are connected in series electrically and in a form that allows the input and output to be
independent.
44 Resonator and Filter Topologies

fore significantly simplifies the fabrication process since only two topside VIAs need
be formed.
The layout of a CRF is shown in Figure 2.27. Here the electrodes are shown
alone without any other layout complications such as VIAs. In Figure 2.27(a) the
floater electrodes are arranged so that one has a hole in it to prevent a parasitic reso-
nator from forming at the overlap. The top I/O pads are shown staggered as required
to eliminate overlap. In Figure 2.27(b–d) top views of the electrodes are shown. In
Figure 2.27(b) the input and output areas are equal, in Figure 2.27(c) the output area
is smaller for higher impedance, and in Figure 2.27(d) the output is series connected
to raise the impedance by a factor of four.
In the CRF acoustical coupling between resonators is used to control filter band-
width. Figure 2.28 illustrates classic resonator coupling responses in the CRF
obtained by altering the strength of the coupling between a pair of resonators. In
optimal coupling the group delay is flat or slightly quadratic across most of the
passband and the VSWR is also slowly varying. If there is too great a degree of isola-
tion between resonators, insertion loss is high and the bandwidth is narrow just as in
classical coupled LRC resonators. With coupling beyond critical, the combined res-
onance is split because of electrical mismatch with the source and load. This it turns
out can be used to greatly increase CRF bandwidth through inductor tuning, which
will be described later.
Electrical interconnection of filter sections provides a way of increasing the
multipole response and, for an even number of poles, allows the I/O electrodes to
appear near or at the top of the structure for ease of fabrication as shown in Figure
2.26(b). The crossover electrodes for the bottom resonators are independent of the
I/O electrodes, in contrast to the SCF wherein the ground electrode is shared. Hav-
ing independent electrodes for the top resonators, in the CRF, allows the common
I/O electrode to be split into two independent electrodes as shown in Figure 2.27.
When the I/O resonators are electrically isolated, except for stray capacitance, the
filter can be operated in a full balanced mode or as a balanced-to-unbalanced
transition.

I/O I/O

(b)

(c)

(a) (d)
Figure 2.27 Simplified layout of a two-section CRF. In (a) only the electrodes are shown in per-
spective view to show how the I/O pads are isolated. In (b) the CRF sections have equal area, in (c)
the areas are not equal, and in (d) the right-hand set are series connected to raise the impedance
level.
2.7 Wide-Bandwidth Tuned Coupled Resonator Filters 45

Over Coupled

Critically Coupled

Under Coupled

Figure 2.28 Coupled-resonator filter response showing the effects of coupling conditions.

A convenient coupler uses a sequence of nominal quarter-wavelength-thick lay-


ers whose transmission response is designed to produce the desired resonator cou-
pling. The coupling layers can take a variety of forms with the goal to partially
isolate one resonator from the other. Quarter-wavelength-layer sequences provide
one option and may be of the same material types as used in a reflector stack. For
precise bandwidth control it may not be possible to use a quarter-wave sequence of
known materials because no combination is correct. There is simply not a wide
choice of materials available having the desired mechanical impedances. In which
case, the effective impedance of a layer can be trimmed. For example if the
three-layer sequence SiO2/AlN/SiO2 does not provide enough coupling the AlN
layer can be thinned from a quarter-wavelength thick and one or both of the other
layers increased in thickness such that there remains 270° of phase across the
sequence. The effect is to synthesize a new material of lower impedance than AlN.
The reflection coefficient between the SiO2 and the “new material” is reduced and
the overall transmission increased.
Electrodes also have an effect on coupling in part because they affect the acous-
tic source and load impedance of the resonators. If the electrode material has high
mechanical impedance relative to the piezoelectric then the near-in electrodes can
actually be part of the coupling layers. For example, the sequence E/SiO2/E, where E
is an electrode of Mo or W, might at first appear to be a single-layer coupler,
because the metals are not each a quarter-wavelength thick, when in fact it could be
operating as a three-layer coupler. The devil is in the details.

2.7 Wide-Bandwidth Tuned Coupled Resonator Filters

The equivalent circuit for the SCF, Figure 2.29(a), can be analyzed to give some
guidance on bandwidth limitations. As discussed briefly before, minimum insertion
loss would be expected to occur when series Ra is small. However, Ra is propor-
tional to the reactance of Co and making Co larger causes larger current flows to
ground which limits bandwidth. The optimum condition is for the reactance of Co
to be the same magnitude as the source and load resistances, usually 50 ohms. How-
ever, parallel resonating Co with a shunt inductor, as shown in Figure 2.29(b), can
46 Resonator and Filter Topologies

2L 2R Ca/2

Rg

Co Co RL

(a)

2L 2R Ca/2

Rg Rp Lp
Lp
Rp
Co Co RL

(b)
Figure 2.29 Acoustically coupled resonator tuning. In (a) the equivalent circuit of an SCF single
section, and (b) tuning Co by shunt inductor Lp and its loss element Rp. R is proportional to the
magnitude of the reactance of Co, so increasing Co lowers R and the insertion loss of the filter but
only if Co is parallel resonated by Lp to prevent excessive shunt current flow.

be used to eliminate the effect of Co over the bandwidth of the series RLC circuit.
With Co resonated out of the circuit its reactance can be dropped (keeping it at paral-
lel resonance with a shunt inductor), effectively decreasing Ra and lowering insertion
loss. This approach is limited by the finite Q of the inductor because Rp will drop
with the decreased inductance required to resonant the increased Co.
The above tuning process is limited by the Q of Lp and the value of Rp relative to
the source and load resistances. As Rp approaches Rg and RL increased shunt current
through Rp increases insertion loss.
The equivalent circuit of the CRF is shown in Figure 2.30 along with a tuning
circuit similar to Figure 2.29 for the SCF. The equivalent circuit of a single-section
CRF is shown in Figure 2.20(a) and the method of shunt inductor tuning in Figure
2.20(b). For the CRF, there is an added degree of tuning freedom through the con-
trolled acoustic coupling of the two resonators.
By deliberately acoustically over coupling the resonators, the split in resonant
frequency shows up as an apparent electrical mismatch having two peaks in the
transmission response, as shown in Figure 2.28. The passband is flattened by tuning
out Co and by adjusting the resonator area for a better match with source and load.
The design sequence is to first split the resonance so that the outer corners of the two
peaks are near the extremity of the desired filter passband, and then adjust imped-
ance levels and tuning to flatten the passband. The simulation of tuned CRFs is
shown in Figure 2.31 for two different designs. More details on the CRF will be dis-
cussed in a later chapter.
2.8 Hybrid Filters 47

L R Ca Ca R L

Rg
ACOUSTIC
Co COUPLING Co RL

(a)

L R Ca Ca R L

Rg Lp Rp Lp Rp
ACOUSTIC
Co COUPLING Co RL

(b)

Figure 2.30 Coupled-resonator equivalent circuits. (a) Without tuning and (b) with tuning.
Acoustic coupling is used to split the resonances of the two resonators then Co and Lp are adjusted
for proper matching and passband shape.

2.8
-10

2.6
-20
2.4
-30
2.2
S21, dB

VSWR
-40 2.0

1.8
-50
1.6
-60
1.4
800.0000

-70
1.2

-80 1.0
400 560 720 880 1040 1200
Frequency, MHz

Figure 2.31 Simulation of inductor-tuned two-pole CRF filters. The inductors are in shunt with
the I/O and have a Q of 20. The filter bandwidths are 14% and 22% of center frequency.

2.8 Hybrid Filters

It should be fairly obvious that filters of one type can be chained with another type
to give an overall improved filter response. For example, the near-in response of a
SCF can be improved by a ladder filter increasing the near-in skirt selectivity. An
example is shown in Figure 2.32 for a GPS L2 filter.
48 Resonator and Filter Topologies

-10

-20

-30
S21, dB
-40

-50

-60

1207.600

1227.600

1247.600
-70

-80
1125 1165 1205 1245 1285 1325
Frequency, MHz

Figure 2.32 Effects of cascading filters. Shown are the individual modeled response of a 4-pole
CRF, a simple −20-dB out-of-band ladder filter, and their cascaded response. The overall −50 dB
bandwidth is less than 25 MHz. The rejection of the windowing ladder filter can be used to
increase the near-in rejection while the CRF response provides the out-of-band rejection.

2.9 Summary

This chapter has discussed the thin film bulk acoustic resonator topologies of great-
est interest for communications and wireless applications. Thin film resonators form
the building blocks for several forms of bandpass filters including, ladder, lattice,
stacked crystal, and coupled resonator types. The topologies of resonators and
acoustically coupled filters were discussed in some detail as to device layout and the
implied impact of modern IC processing and manufacturing.
The following chapters will give more specific details on filters, filter applica-
tions, device processing, and the all important piezoelectric film growth.

References

[1] Grudkowski, T. W., et al., “Fundamental Mode UHF/VHF Miniature Resonators and Fil-
ters,” Applied Physics Letters, Vol. 39, No. 11, November 1980, pp. 993–995.
[2] Lakin, K. M., and J. S. Wang, “Acoustic Bulk Wave Composite Resonators,” Applied Phys-
ics Letters, Vol. 39, No. 3, February 1981, pp. 125–128.
[3] Nakamura, K., H. Sasaki, and H. Shimizu, “ZnO/SiO2-Diaphragm Composite Resonator
on a Silicon Wafer,” Elect. Letters, Vol. 17, No. 14, July 9, 1981, pp. 507–509.
[4] Kitayama, M., et al., “VHF/UHF Composite Resonator on a Silicon Substrate,” J. Appl.
Phys., Vol. 22, Suppl. 22–3, 1983, pp. 139–141.
[5] Nakamura, K., Y. Ohashi, and H. Shimizu, “UHF Bulk Acoustic Wave Filters Utilizing Thin
ZnO/SiO2 Diaphragms on Silicon,” J. Appl. Phys., Vol. 25, No. 3, 1986, pp. 371–375.
[6] Vale, C., et al., “FBAR Filters at GHz Frequencies,” 45th Annual Symp. of Freq. Cont.
Proc., 1991, pp. 332–336.
[7] Su, Q. X., et al., “Edge Supported ZnO Thin Film Bulk Acoustic Wave Resonators and Fil-
ter Design,” Proc. 2000 IEEE/EIA Int. Freq. Control Symp. and Exhibition, pp. 434–440.
2.9 Summary 49

[8] Lakin, K. M., et al., “Thin Film Resonators and Filters,” Proc. 1982 Ultrasonics Symp,
October 27–29, 1982, Vol. 1, p. 466.
[9] Petersen, K .E., “Silicon as a Mechanical Material,” IEEE Proc., Vol. 70, No. 5, May 1982,
pp. 420–457.
[10] Satoh, H., et al., “An Air Gap Type Piezoelectric Composite Resonator,” 39th Annual Sym-
posium on Frequency Control Proc., 1985, pp. 361–366.
[11] Seabury, C. W., et al., “High Performance Microwave Air-Bridge Resonators,” 1995
Ultrasonics Symp. Proc., pp. 909–911.
[12] Lanz, R., P. Carazzetti, and P. Muralt, “Surface Micromachined BAW Resonators Based on
ALN,” Proc. IEEE Int. Ultrasonics Symp., paper P21-4.
[13] Krishnaswamy, S. V., “Piezoelectric/Ferroelectric Films for Microwave/MEMS Applica-
tions: Historical Perspective, 2005 IEEE Ultrasonics Symp., September 19–21, 2005, Rot-
terdam, paper 5B-1.
[14] Newell, W. E., “Face-Mounted Piezoelectric Resonators,” Proc. IEEE, Vol. 53, June 1965,
pp. 575–581.
[15] Lakin, K. M., K. T. McCarron, and R. E. Rose “Solidly Mounted Resonators and Filters,”
1995 Ultrasonics Symp. Proc., 1995, pp. 905–908.
[16] Dubois, M., et al., “BAW Resonator Based on Aluminum Nitride Thin Films,” 1999
Ultrasonics Symp. Proc., 1999, pp. 907–910.
[17] Aigner, R., et al., “Advancement of MEMS into RF-Filter Applications,” Proc. 2002 IEDM
Symp., 2002.
[18] Lakin, K. M., et al., “Improved Bulk Wave Resonator Coupling Coefficient for Wide Band-
width Filters,” 2001 IEEE Ultrasonics Symp., paper 3E-5.
[19] Larson, J. D., and Y. Oshmyansky, “Measurement of Effective kt2, Q, Rp, Rs vs. Tempera-
ture for Mo/AlN FBAR Resonators,” Proc. 2002 IEEE Ultrasonics Symp., pp. 939–943.
[20] Lakin, K. M., et al., “Temperature Coefficient and Ageing of BAW Composite Materials,”
2001 Frequency Control Symp. Proc., pp. 605–608.
[21] Lakin, K. M., J. S. Wang, and A. R. Landin, “Low Temperature Coefficient Shear Wave
Thin Films for Composite Resonators and Filters,” 1983 IEEE Ultrasonics Symp. Proceed-
ings, Atlanta, GA, October 31–November 2, 1983, Vol. 1, p. 491.
[22] Ballato, A., and T. Lukasek, “A Novel Frequency Selective Device: The Stacked Crystal Fil-
ter,” Proc. 27th Annual Freq. Control Symp., June 1973, pp. 262–269.
[23] Lakin, K. M., “Equivalent Circuit Modeling of Stacked Crystal Filters,” Proc. 35th Annual
Freq. Control Symp., 1981, pp. 257–262.
[24] Stokes, R. B., and J. D. Crawford, “X-Band Thin Film Acoustic Filters on GaAs,” IEEE
Trans. Microwave Theory Tech., Vol. 41, No. 6/7, December 1993, pp. 1075–1080.
[25] Lakin, K. M., et al., “High Performance Stacked Crystal Filters for GPS and Wide Band-
width Applications,” 2001 IEEE Ultrasonics Symp. Proc., pp. 833–838.
[26] Lakin, K. M., et al., “Bulk Acoustic Wave Resonators and Filters for Applications Above 2
GHz,” 2002 IEEE MTT-S Digest, Vol. 3, pp. 1487–1490.
[27] Lakin, K. M., “Coupled Resonator Filters,” Proc. 2002 IEEE Intl. Ultrasonics Symp.,
Paper 3D-5, 2002.
[28] Fattinger, G., R. Aigner, and W. Nessler, “Coupled Bulk Acoustic Wave Resonator Filter:
Key Technology for Single-to-Balanced RF Filters,” Proceedings IEEE 2004 MTS Symp.
Digest, 2004.
CHAPTER 3

BAW Device Basics


Jyrki Kaitila

In this book we make the definition that the thin film bulk acoustic wave (BAW) res-
onator is a piezoelectric device. This means that the electromechanical conversion is
based on the piezoelectric effect. In the literature some other classes of devices, such
as CMUTs (capacitive micromachined ultrasonic transducers), are also sometimes
called BAW devices, but here we will reserve the term exclusively for the use given
above.
Piezoelectric effect is an ability of a material to convert electrical energy into
mechanical energy and vice versa. Most materials exhibiting this property are crys-
talline. However all crystalline materials are not piezoelectric: the criterion is the
lack of center of symmetry. This is essential as the mechanism of piezoelectricity is
based on spatial separation of positive and negative electrical charges under applied
stress. Thin film piezoelectric materials will be discussed in detail in Chapter 7.
Properties of crystalline materials are inherently complex and they are even
more so when it comes to the piezoelectric phenomena. In this chapter we will make
no attempt to explain the detailed workings of piezoelectricity. What will be
attempted is to give an overview of the relevant topics associated with design and
analysis of thin film BAWs.
Some of the models that we will use are very simple; someone understanding the
real complexity of the covered issues would probably term them naive. We accept
this possible criticism, but take the practical view: even if the models and analysis
lack ultimate precision, they nevertheless can explain general behavior of real
devices with reasonable accuracy, at least qualitatively. Ultimately designing and
manufacturing devices is an engineering art. We are extremely pleased if we can
bring any insights into how a practitioner can identify the phenomena described in
the following pages and apply the solutions offered to the benefit of his devices.
This chapter is about resonators. Resonators form part of many different sys-
tems: The thin film BAW technology has started out with filters. However, other
applications such as oscillators and various kinds of sensors are being envisioned.
The basic three parameters that a designer is interested in are usually sufficient
effective coupling coefficient, high Q-values, and operation free of spurious reso-
nances (remember the discussion in Section 1.5). This does not mean that all these
are the most important parameters for a given application; neither does it mean that
there would not be any other considerations to be taken into account. It all depends
on the specifications of the task at hand. The first two sections of this chapter will

51
52 BAW Device Basics

build up a rudimentary base for the analysis done in Section 3.3, concerned with thin
film bulk acoustic wave resonator design.

3.1 Thin Film Bulk Acoustic Wave Resonator

3.1.1 The Prototype Resonator and Piezoelectric Constitutive Relations


BAW devices utilize piezoelectric effect to generate a mechanical resonance from an
electrical input. Conversely, the mechanical resonance is turned into electrical
domain for output. Figure 3.1 shows a prototype resonator consisting of a piezoelec-
tric plate of thickness 2d sandwiched by infinitely thin electrodes. Intuition tells us
that, if we consider the material having an acoustic velocity of v then the purely
mechanical resonance condition of this system is simply

π ν
ω n = (n + 1) ⋅ ⋅ , n = 0, 1, 2, K (3.1)
2 d

which is obtained by setting up a multiple of half-wave lengths in the thickness of the


plate. The associated stress fields are plotted in Figure 3.1. We are generally inter-
ested in the fundamental mode n = 1. Putting in some representative numbers for a
traditional crystals, v = 6000 m/s and 2d = 100 μm, we arrive at resonance frequency
f = 30 MHz. On the other hand if we are tasked in building an AlN resonator operat-
ing at a fundamental frequency f = 2 GHz we arrive at plate thickness of roughly
3 μm. This is a regime where thin films technology quite obviously enters the picture.
Before we enter the world of the thin film devices it is necessary to quickly
review the basic equations and theories governing piezoelectric resonators. We will
not go into too many details here, neither will we offer any lengthy derivations of
equations. There are excellent works already available on these topics, see for exam-
ple books by Auld [1], Ristic [2], or Rosenbaum [3]. However, for the later discus-
sions in this book it is necessary to include the most important aspects for quick and
easy reference.
The piezoelectric constitutive relations relate the mechanical and electrical vari-
ables. These relations are written as

T = c E S − eE (3.2)

D = eS + ε S E (3.3)

where T is stress, S is strain, E is electric field, and D is electric displacement. These


are the field variables. The terms cE, e, and εS are the material parameters: cE is the

2d

n=0 n=1 n=2


Figure 3.1 Mechanical resonances in a plate of thickness 2d. The stress fields associated with the
resonances are plotted.
3.1 Thin Film Bulk Acoustic Wave Resonator 53

stiffness constant, and it is the parameter c that appears in the original Hooke’s law
for nonpiezoelectric material, relating stress T and strain S through T = cS. In the
case of piezoelectric medium, Hooke’s law needs to be modified to (3.2) in order to
account for the emergence of stress associated with external electric field (i.e., the
direct piezoelectric effect). This is achieved through the piezoelectric (stress) con-
stant e. Similarly (3.3) now has a component describing how internal stress contrib-
utes to the electric displacement (i.e., the inverse piezoelectric effect) again through
the same material parameter e. The material parameter relating D and E in (3.3) is
permittivity of the material and is denoted by εS.
We have here written the constants cE and εS with a superscripts to emphasize
that the constants need to be evaluated under specific conditions. Therefore what cE
S
denotes is stiffness under constant (usually zero) electric field. Likewise ε gives
permittivity under constant strain. Generally, all material parameters have to be
defined this way. It reflects the fact that these constants are true constants only
when specific experimental conditions are applied when the parameters are
measured.
Equations (3.2) and (3.3) give one of the four possible ways of expressing the
piezoelectric constitutive relations. Instead of writing stress T and electric displace-
ment D as functions of strain S and electric field E [i.e., T(S, E) and D(S, E)], we
could have just as well chosen any one of the remaining three permutations between
the four variables. This would in each case invoke a new set of material parameters.
Obviously these different sets of material parameters are related through some
(fairly simple) transformations.
The second important equation is the Newton’s second law, familiar from high
school physics, relating force with mass and acceleration, F = ma. In the one-dimen-
sional case we can identify the left-hand side with T/∂z · ΔV and the right-hand side
2 2
with ( ρ ⋅ ΔV ) ⋅ ∂ u/∂t , resulting in

∂T ∂2 u
= ρ⋅ 2 (3.4)
∂z ∂t

Here ρ is the mass density of the material and u is the (particle) displacement. In a
nonpiezoelectric medium using the Hooke’s law and the definition of strain

∂u
S= (3.5)
∂z

we end up with the wave equation

∂2 u c ∂2 u
= ⋅ 2 (3.6)
∂t 2 ρ ∂z

We assume a time dependence of all the fields as exp(jωt). Therefore the wave
equation describes a wave propagating with a phase velocity

c
ν= (3.7)
ρ
54 BAW Device Basics

It should be emphasized that this velocity is not the particle velocity associated with
the particle displacement u, given by ∂u/∂t. We will refer to v in (3.7) as velocity of
the acoustic wave, that is in the pure mode cases either the velocity of the longitudi-
nal or the shear wave, denoted later by vL and vS, respectively.
In a piezoelectric medium we get from the constitutive relations (3.2) and (3.3)

⎛ e2 ⎞ e e
T = c E ⎜1 + E S ⎟ S − S D = c D S − S D (3.8)
⎝ c ε ⎠ ε ε

Inserting this into the wave equation, (3.4) and utilizing the fact that D is a con-
stant in the dielectric piezoelectric medium one arrives at an acoustic velocity

cD cE
νD = = ⋅ 1+ K2 = ν ⋅ 1+ K2 (3.9)
ρ ρ

This highlights the first effect of piezoelectricity in our system: the acoustic
velocity is higher than would be deduced simply from the material parameter cE. In
D E D
essence the piezoelectric effect stiffens the material (c > c ). Therefore c is some-
times called the piezoelectrically stiffened elastic constant. We have also in the last
forms defined the electromechanical coupling factor K2, given by

e2
K2 = (3.10)
c E εS

It depends only on the material parameters and is a measure of conversion efficiency


between electric and acoustical domains in the piezoelectric material.
Finally, we can now examine a simple prototype resonator to study some
real-life consequences of the previous analysis. We will assume a simple piezoelectric
plate of thickness 2d with infinitely thin massless electrodes covering the opposing
faces. We will assume that the lateral dimensions of the resonator are much larger
than the thickness and this will reduce our system to purely one-dimensional case.
Looking at the wave equation, (3.6), we can assume a general Ansatz for the
displacement as

[ ]
u( z, t ) = a ⋅ sin( kz ) + b ⋅ cos( kz ) ⋅ e jωt (3.11)

where k is called a (vertical) wave number or propagation constant. The constants a


and b are determined by the boundary conditions. Inserting (3.11) into (3.6) we have

k2 c D
ω 2 ⋅ u( z, t ) = ⋅ u( z, t ) (3.12)
ρ

and the wave number is therefore

ω 2π
k= = (3.13)
ν D
λ
3.1 Thin Film Bulk Acoustic Wave Resonator 55

In the last form we have identified the wavelength λ.


The stress is now given by (3.8), and is

e
[
T( z ) = c D k ⋅ a ⋅ cos( kz ) − b ⋅ sin( kz ) − ] εS
D (3.14)

We have here dropped off the time dependence of our field variables. Throughout
this text the term exp(jωt) will mostly be suppressed to keep the presentation more
readable. Assuming the boundary condition of vanishing stress at the upper and
lower surfaces, T(±d) = 0, gives

eD ⎡ cos( kz ) ⎤
T( z ) = ⋅⎢ − 1⎥ (3.15)
ε ⎢⎣ cos( kd ) ⎥⎦
S

The associated displacement is (see (3.8))

eD sin( kz )
u( z ) = ⋅ (3.16)
c ε k cos( kd )
D S

In order to find the response of the system to the outside electrical stimulus we
eliminate stress S from (3.8) and (3.3) and solve for E. The result is

e ⎛1 e2 ⎞
E=− T − ⎜ − D S 2 ⎟D (3.17)
c ε
D S
⎝ε c ε ⎠

The voltage over the piezolayer is given by the integral of electric field over the
thickness of the body. After some lengthy manipulation this becomes
+d
2 dD ⎡ e2 tan( kd )⎤
V = ∫ E( z )dz =
−d ε S
⋅ ⎢ 1 −
⎢⎣ c ε
D S

kd ⎥⎦
⎥ (3.18)

If the piezolayer is dielectric the current is purely a displacement current, J = D/∂t.


Therefore the current at the terminals is given by I = jωA · D, where A is the area of
the device. Now the impedance is given by

V 1 ⎡ tan( kd )⎤
Z= = ⋅ ⎢1 − Kt2 ⋅ ⎥ (3.19)
I jωC 0 ⎢⎣ kd ⎥⎦

where we have introduced yet another electromechanical coupling coefficient

e2 K2
Kt2 = = (3.20)
c D εS K2 + 1

This is called the electromechanical coupling factor for the thickness-longitudinal


vibration (also called the piezoelectric-coupling constant for transversely clamped
56 BAW Device Basics

material). For rather weak piezoelectrics, like AlN or ZnO, the two coupling con-
stants are approximately equal, Kt2 ≈ K 2 . The static capacitance C0, given by the
familiar expression

εS A
C0 = (3.21)
2d

Note that the factor 2 appearing in the denominator is the consequence of defining
the thickness as 2d. In most other works the thickness of the plate is given as d, but in
order to keep the definition of plate thickness constant throughout this chapter we
have opted to use this one.
The resonant frequencies are obtained from (3.19). The antiresonances (or par-
allel resonances) are obtained when Z → ∞ (or when the admittance Y = 1/Z = 0.
This gives

π
kd = (2n + 1) ⋅ , n = 0, 1, 2, K (3.22)
2

Using (3.13) this becomes

π νD
ω a , n = (2n + 1) ⋅ ⋅ , n = 0, 1, 2, K (3.23)
2 d

The resonant frequencies ωr,n are obtained from solution Z = 0 of (3.19). They
are therefore obtained from

⎛π ω ⎞
tan ⎜⎜ ⋅ r ⎟⎟
⎝ 2 ωa ,0 ⎠ 1
= 2 (3.24)
π ωr Kt

2 ωa ,0

D
where we utilized v /d solved from (3.22) for the lowest antiresonance frequency
ωa,0.
It is interesting to note the apparent similarity, but the subtle difference between
(3.1) and (3.23). The first one was obtained by simple reasoning without very much
hard physics involved. It describes resonances in a purely mechanical system, which
means it is a plate-and-hammer model. That is: what waves would be observed if we
simply hit the plate with a hammer (assuming that the hammer really is a wide-fre-
quency band stimulus). On the other hand, (3.23) was derived based on the piezo-
electric phenomenon. The difference between the obtained resonances is that the
antisymmetric modes present in the purely mechanical treatment are missing from
the piezoelectric driven case. This agrees with intuition: the antisymmetric modes
are not excited because the constant external electric field cannot drive them. This
symmetry argument will be used in the later sections when effective coupling
coefficient and spurious modes are analyzed.
3.1 Thin Film Bulk Acoustic Wave Resonator 57

3.1.2 The Basic Parameters and Equivalent Circuit


In order to develop an equivalent circuit it is convenient to write the impedance
expression in a slightly modified form. It can be shown [2, 3] that (3.19) can be
expressed as

1 ⎡ ω 2 kn2 ⎤
Z( ω) = ⋅ ⎢1 − Kt2 − ∑ 2 ⎥ (3.25)
jωC 0 ⎢⎣ n ωa ,n − ω ⎥
2

where we have introduced the coupling of the nth mode

8Kt2
kn2 = (3.26)
[(2n + 1)π]
2

The choice of the equivalent circuit is not unique; many different topologies that
bring about electrical behavior as expressed by (3.25) can be envisioned. However,
from (3.25) it is clear that the resonator can be described by a capacitance C0 in par-
allel with an acoustic arm. Parallel to this we can have further motional arms corre-
sponding to the terms in the sum in (3.25). This circuit is the Butterworth–Van Dyke
(BVD) circuit and is shown in Figure 3.2(a). Generally, the higher order harmonics
are neglected in the basic analysis concentrating on the main resonance and the cir-
cuit takes the form shown in Figure 3.2(b).
For the simplified circuit the input impedance takes the form

j( ωL1 − 1 ωC1 )
Z( ω) = (3.27)
1 − ω 2 C 0 L1 + C 0 C1

Again we find the series and parallel resonances by requiring zero and infinite
impedances, respectively, and these are

1
ωr = (3.28)
L1 C1

and

C1 + C 0 C
ωa = = ωr ⋅ 1 + 1 (3.29)
L1 C1 C 0 C0

Rx Lx

C0 L1
L1 L2 L3 L1
C0 ... C0 C1
C1 C2 C3 C1 R0
R1

(a) (b) (c)


Figure 3.2 (a) Multiresonant BVD circuit. Each motional leg corresponds to a resonance. (b) Sin-
gle resonance BVD circuit, and (c) the modified BVD (mBVD) circuit taking into account losses.
58 BAW Device Basics

The basic BVD circuit does not have any resistive elements and therefore it can-
not take into account any losses in the system. This means that the quality factors of
our series and parallel resonances are infinite. A more realistic representation is
obtained with the circuit given in Figure 3.2(c) [4]. The resistance Rx in series can be
associated with the simple, ever-present resistance of the metal electrodes connect-
ing the device. The inductance Lx can arise because of the measurement configura-
tion (device layout on the wafer). The motional resistance R1 is associated with
acoustic losses, of whatever origin, in the system. Now the input impedance takes a
rather complicated form as
−1
⎡ 1 1 ⎤
Z( ω) = jωL x + R x + ⎢ + ⎥ (3.30)
⎢⎣R 0 + 1 jωC 0 R1 + j( ωL1 − 1 ωC1 )⎥⎦

We define the quality factors at series and parallel resonances as

1 ∂ϕ
Qs = − ωs (3.31)
2 ∂ ω ω=ωs

and

1 ∂ϕ
Qp = + ωp (3.32)
2 ∂ ω ω=ω p

where ϕ is the phase angle of the impedance. Therefore we have from (3.30)
approximately

ω s L1
Qs ≈ (3.33)
R x + R1

and

ω p L1
Qp ≈ (3.34)
R 0 + R1

This shows that at the series resonance ωs the main contributors to the Q-value are
Rx and R1. The appearance of Rx is expected because of the high currents associated
with the series resonance.
The benefit of having the three resistors in our modified BVD circuit is that it
allows us to better model the situation where the series and parallel resonance
Q-values are different. However, in a simple analysis we can calculate only two
Q-values from a measured resonator, the series resonance Qs and the parallel reso-
nance Qp, and in the equivalent circuit we have introduced three resistors. There-
fore, the choice of distributing the losses among these three elements is not unique.
We will explore this theme in the later chapters of this book. Besides resonator anal-
ysis the BVD circuit can readily be used in design of filters.
3.2 Basic Physics 59

3.2 Basic Physics

3.2.1 Wave Propagation, Transmission, Reflection, and Attenuation of


Acoustic Waves
We saw previously that phase velocity emerges naturally from the wave equation,
1/2
and is given by the stiffness constant c and mass density ρ as v = (c/ρ) . This view,
although entirely correct, is unfortunately just an extreme simplification of the real
situation. That is because the stiffness constants come in all kinds of varieties.
It is a well-known fact that stress in one direction, for example, z, produces
strains also in the perpendicular directions, x and y. What this means is that the
three-dimensional stress-strain relationship must contain terms allowing for this
spatial cross-coupling. This coupling also obeys Hooke’s law, with certain stiffness
constants. Under the isotropic assumption, the simplest case, we have three con-
stants describing the system behavior under stress. These are c11, c12, and c44, where
2c44 = c11−c12, meaning that only two of the components are independent. c11 is
the primary term linking, just as an example, the z-direction longitudinal stress to
z-direction longitudinal strain. The second constant c12 describes the Poisson
interaction: how z-direction stress translates into x- and y-direction (shear) strain.
Finally, the constant c44 describes the relationship between perpendicular (shear, x-
and y-direction) stress and strain. It is also possible to write the stiffness constants
using another notation as c44 = μ, c12 = λ, and c11 = λ + 2μ. These λ and μ are
the Lame constants. Specifically, μ is called the shear modulus (shear-to-shear
interaction).
Having multiple stiffness constants means having multiple acoustic velocities.
Therefore in the isotropic case we have two acoustic velocities given by

c 11
νL = (3.35)
ρ

and

c 44
νS = (3.36)
ρ

The first velocity vL is the longitudinal velocity: the particle vibration is in the
direction of wave propagation. It is also called the thickness extensional (TE) or
compressional wave. The last name suggests the nature of the wave: as the wave
propagates there are regions of compression and decompression in the material (i.e.,
local mass density variations). The second velocity vS is the shear velocity. In this
case the vibration is perpendicular to the propagation direction of the wave. There
are no local mass density variations as the shear wave propagates. Figure 3.3 shows
the particle displacements associated with the longitudinal and shear waves. Natu-
rally having two acoustic velocities also means having two different acoustic imped-
ances. They are now given by ZL = (ρc11)1/2 = ρvL and ZS = (ρc44)1/2 = ρvL.
With the aid of vL and vS, we can define the Poisson ratio σ as
60 BAW Device Basics

Propagation
λ
λ

(a) (b)
Figure 3.3 Particle displacements associated with (a) longitudinal, and (b) shear waves. The
propagation direction of both waves is up (or down). In the figure we have noted the wavelength
λ(Kλ = 2π), see (3.13).

νL c 11 1− σ
= = (3.37)
νS c 44 12 − σ

When an acoustic wave meets an interface part of it is reflected and part of it is


transmitted. The amplitudes of the reflected and transmitted waves are proportional
to the acoustic impedance difference across the interface. This can be shown for-
mally by assuming an normal incidence plane wave in material 1, exp(−jk1z),
reflected into reflected-backward propagating wave of a · exp(+jk1z) and a transmit-
ted wave in material 2, b · exp(−jk2z). Applying the continuity of displacement and
stress we arrive at the amplitude transmission and reflection coefficients

2Z 2
t = (3.38)
Z 2 + Z1

and

Z 2 − Z1
r= (3.39)
Z 2 + Z1

These coefficients obey the relation 1 + r = t.


If the angle of incidence is nonperpendicular the wave will also experience mode
conversion. This means that, for example, a longitudinal wave will convert into four
waves: reflected and transmitted longitudinal and shear waves. The angles of the
reflected and refracted waves follow the Snell law, but the amplitudes for these
four waves cannot be written in any simple manner. We will omit them here and
simply refer the reader to previous works by Auld [1], or Dieulesaint and Royer
[5]. However, one general point should be mentioned for future reference: for the
longitudinal and shear waves this mode conversion happens also at a stress-free
boundary.
3.2 Basic Physics 61

Acoustical attenuation is a phenomenon where some of the mechanical energy


propagating in a material is converted into heat. This can be taken into account by
rewriting Hooke’s law in the form

∂ν ∂S
T = cS + η = cS + η (3.40)
∂z ∂t

where η is called viscosity. The first form illustrates the fact that as the wave travels
the stress amplitude decreases because of the acoustic absorption. The second form
conveys similar message: strain tends to relax toward its equilibrium state with
time. For sinusoidal excitation this becomes

T = (c + jωη) ⋅ S (3.41)

where the material parameter is now called complex elastic stiffness.


Using (3.40) the wave equation now reads as

∂2 u ∂2 u ∂3 u
ρ = c + η (3.42)
∂t 2 ∂z2 ∂ t∂ z 2
~
Introducing a complex propagation constant k = k + jα the wave equation becomes
~ ~
− ω 2 ρ ⋅ u = −ck 2 ⋅ u + jηk 2 ω ⋅ u (3.43)

For the real and imaginary parts we get

− ω 2 ρ = −ck 2 + cα 2 − 2 ηkαω (3.44)

and

0 = −2ckα + ηk 2 ω − ηα 2 ω (3.45)

respectively. Assuming small absorption, that is both α and η are small compared to
k and ω, we get from the real part

ω c
= =ν (3.46)
k ρ

Therefore, for small absorption the wave velocity is frequency independent and
equal to the lossless case. Dropping the last term of the imaginary part (α<<β) we get

ηω 2 ω
α= = (3.47)
2ν ρ
3
2Q ν

2
From the first form we see that the absorption is directly proportional to ω and
inversely proportional to v3. This makes sense: for higher frequency and/or lower
velocity the displacement peaks and valleys are closer together, implying higher
62 BAW Device Basics

strain, and consequently higher relative absorption. The second form of the formula
introduces the material quality factor

ν2 ρ ω
Q= = (3.48)
ωη 2 αν

The absorption coefficients are difficult to measure for materials in thin film
form. Therefore the values of α used in calculations are intelligent questimates that
will result in resonator Q-values representative of measured devices.

3.2.2 Electroacoustic Conversion


In his classic treatment Piezoelectric Crystals [6], referring to the nature of piezoelec-
tric effect, Warren P. Mason states: “plate cut from a piezoelectric crystal with elec-
trodes attached serves not only as a capacitor for storing electrical energy but also as
a motor for turning electrical into mechanical energy and as a generator for turning
mechanical energy into electrical energy.” In this section we quickly review the tools
for understanding this electroacoustic conversion in resonators.
Right from the beginning it is important to note the difference between the
piezoelectric material-coupling coefficient K2 and the effective coupling coefficient
K2eff. The former is a material property. Certain materials are intrinsically better at
making the electroacoustic conversion than others: lead zirconium titanate (PZT)
has a much higher K2 than AlN, and amorphous silicon dioxide (SiO2) has none at
all. On the other hand, the effective coupling coefficient K2eff is the property of a
device (i.e., resonator). The material property, K2, of course, influences what kind of
effective coupling coefficient might be expected from a manufactured resonator.
Therefore, no matter how much we would be tempted to build a resonator using
amorphous SiO2 as the piezoelectric layer there is nowhere to go because this mate-
rial itself shows no piezoelectricity. Likewise it is actually not at all demanding to
manufacture a resonator showing poor K2eff from a material having quite adequate
K2. The resonator just needs to be designed wrong.
In the BAW literature the effective coupling coefficient, K2eff, is almost invari-
ably discussed. It is the most easily measurable quantity depending only on the mea-
sured parallel and series resonant frequencies, fp and fs, of a resonator. The
definition recommended by the authors of this book for the experimental effective
coupling coefficient is (compare to (3.24))

π fs ⎛π f ⎞
2
K eff = ⋅ ⋅ cot ⎜⎜ ⋅ s ⎟⎟ (3.49)
2 fp ⎝ 2 fp ⎠

2
In Chapter 8 the practical issues relating to the measurement and evaluation of K eff
are given.
In this chapter we are dealing with the theoretical aspects of resonator physics
and design. We will here adopt a different notation making certain that the experi-
mental and theoretical effective coupling coefficients are not mixed up. Therefore,
throughout this chapter we will denote the theoretical coefficient k2eff, with a lower
case k.
3.2 Basic Physics 63

The definition we are going to adapt for the calculation of the effective coupling
coefficient is the Berlincourt formula [7]. This formula calculates the k2eff directly
from the field variables and therefore allows the investigation of the influence of
device geometry on coupling. The general definition for the internal energy of a
piezoelectric body, having volume V, is

1
(TS + ED)dV
2 V∫
U= (3.50)

where the field variables are defined as before. Using the constitutive relations,

S = s E T + dE (3.51)

D = dT + ε T E (3.52)

this can be written as a sum of three terms

U = U e + 2U m + U d (3.53)

We identify these as the elastic energy Ue, mutual energy Um, and electric energy
Ud. These are given by

1
2 V∫
Ue = Ts E TdV (3.54)

1
(TdE + EdT )dV
4 V∫
Um = (3.55)

and

1
2 V∫
Ud = Eε T EdV (3.56)

E
We have here used a different set of material parameters, where s is the elastic
compliance at constant electric field, d is the piezoelectric (strain) coefficient and eT
the permittivity at constant stress (remember the discussion in Section 3.1.1). The
electromechanical coupling factor, (3.10), can be expressed using either of the mate-
rial parameter sets as

e2 d2
K2 = = (3.57)
c E εS sE ε T

The effective coupling coefficient is now defined as

U m2
2
keff = (3.58)
U eU d
64 BAW Device Basics

Equation (3.58) is a definition and therefore it should be taken at a face value, as


long as it makes some sense. And it does: The numerator U2m clearly describes the
interaction of stresses and electric field through piezoelectric coefficient d (or e). It
tells us how the electrical and mechanical domains couple. The denominator on the
other hand gives us the energies stored in the mechanical Ue and electrical Ud
domains of the system. That means that it normalizes the coefficient with a suitable
factor.
In [8] Chang, Rogacheva, and Chou argue that the Berlincourt formula actually
does not give consistent results when compared with other methods. What they pro-
pose is a so-called energy formula taking into account the electrical boundary condi-
tions in a more rigorous way. Nevertheless, here we will utilize the formula given by
(3.58) simply because it is relatively straightforward to use. In this chapter we are
interested in the rather generalized ideas and whether the formula used is the exactly
correct way of describing the situation is of secondary importance.

3.2.3 Mason Model


Up to this point we have only analyzed the simple prototype resonator having infi-
nitely thin massless electrodes. These models are somewhat useful in designing tradi-
tional crystals where the electrode thickness is small compared to the thickness of
the piezolayer. However, this is not the case in thin film resonators, where the elec-
trode thicknesses typically form a substantial part of the stack. Therefore we need a
model that can easily incorporate them and other layers, such as the mirror in the
case of a SMR. This is accomplished by the Mason model.
First, we will quickly show the justification behind the Mason model. For the
reader interested in more details previous works by Mason [6], Rosenbaum [3], and
Ristic [2] can be recommended.
Assume a thin, large piezoelectric plate bound by two planes located at z1 and z2.
Starting from (3.3) we have the electric field as

1 e 1 e ∂u
E= ⋅D − S ⋅ S = S ⋅D − S ⋅ (3.59)
ε S
ε ε ε ∂z

The voltage is now, as before in (3.18), given by


z2
2 dD e
V = ∫ E( z )dz = εS ε
[
− S ⋅ u( z 2 ) − u( z 1 )] (3.60)
z1

where 2d = z2 − z1 is the thickness of the plate. With the aid of the particle velocity,
v =∂ u/∂ t = jω, this becomes

2d I e
V = ⋅ +
ε S jωA jωε S
[
⋅ ν( z 2 ) − ν( z 1 ) ] (3.61)

where we again used I = jωA · D. Solving for the current we get


3.2 Basic Physics 65

eC 0
I = jω C 0 V +
εS
[ ν( z ) − ν( z )]
2 1 (3.62)

Here the capacitance C0 is again given by (3.21). Equation (3.61) or (3.62) estab-
lishes the connection between current I, external voltage V, and the particle veloci-
ties v on the surfaces of the plate.
Setting up the equations for displacements u(z1) and u(z2) through (3.11), and
solving for the coefficients a and b gives

1
a=
sin(2 kd )
[
⋅ u( z 2 ) cos( kz 1 ) − u( z 1 ) cos( kz 2 ) ]
(3.63)
1
b=
sin(2 kd )
[
⋅ u( z 1 ) sin( kz 2 ) − u( z 2 ) cos( kz 1 ) ]

The mechanical force at the boundaries is given by

⎛ e ⎞
F = −TA = − ⎜c D S − S D⎟ ⋅ A (3.64)
⎝ ε ⎠

where (3.8) was used for the stress T. Inserting strain S defined by coefficients a and
b into the expression for F and evaluating at the left boundary z = z1 gives

kc D A
F1 =
sin(2 kd )
[ ] eD
⋅ u( z 2 ) − u( z 1 ) − kc D A ⋅ tan( kd ) ⋅ u( z 1 ) + S ⋅ A
ε
(3.65)

D
Identifying the acoustic impedance kc = ωZ, and using I = jωA·D and the parti-
cle velocity v = jωu, this becomes

ZA
F1 =
j sin(2 kd )
[ ]
⋅ ν( z 1 ) − ν( z 2 ) + jZA ⋅ tan( kd ) ⋅ ν( z 1 ) +
e
jωε S
⋅I (3.66)

Similar expression is obtained for the force at the right boundary

ZA
F2 =
j sin(2 kd )
[ ]
⋅ ν( z 1 ) − ν( z 2 ) − jZA ⋅ tan( kd ) ⋅ ν( z 1 ) +
e
jωε S
⋅I (3.67)

These equations relate the forces at the boundaries with particle velocities v and the
external current I.
Equations (3.62), (3.66), and (3.67) establish a connection between the acousti-
cal and electrical variables: acoustical currents v and forces F at the boundaries, and
current I and voltage V. Looking at the form of the equations we can identify an
equivalent circuit (transmission line) given by Figure 3.4. There are three ports: on
the left and right we have the acoustic ports, and the piezoelectric coupling to elec-
trical variables comes through the electrical port.
In the case of a nonpiezoelectric plate, (3.66) and (3.67) are exactly the
same less the last term. This is quite expected since this is the only term with
the piezoelectricity appearing through piezoelectric constant e. Therefore a
66 BAW Device Basics

v1 v2
jZ·tan(kd) jZ·tan(kd)

v1−v2

-jZ / sin(2kd)
F1 F2

C0
−C0
I

Figure 3.4 Mason model for a piezolayer.

nonpiezoelectric transmission line is represented by the circuit given in Figure 3.4,


with only the acoustical ports present.
Combining multiple layers is accomplished by cascading transmission line sec-
tions of piezoelectric and nonpiezoelectric layers, corresponding to the physical
stack under investigation. Figure 3.5 shows such a configuration. The single top
electrode at the left is terminated by a free surface that is represented by an acoustic
short. The piezolayer has the now familiar three ports allowing coupling to the elec-
trical domain. The layers to the right are the bottom electrode, and in the case of a
SMR device, the mirror layers. The final impedance on the right is the terminating
impedance of the substrate (assuming a semiinfinite medium). Effects arising from a
finite substrate thickness, such as backside reflections (overtones), can be included
by assigning a finite thickness to the substrate and again terminating the model by
an acoustic short. Using the Mason model it is also possible to construct devices with
multiple electrical ports (i.e., multiple piezolayers), simply by adding additional sec-
tions with the configuration given in Figure 3.4. Losses are introduced through the
use of complex propagation constants introduced in Section 3.2.1.

Top Bottom First mirror Other Last


Piezolayer
electrode electrode layer layers layer
Air Substrate
(acoustic terminating
short) impedance

Figure 3.5 Mason model for a multilayer resonator. The circuit is terminated in the left by a
stress-free surface (an acoustic short) and on the right by a semiinfinitely thick substrate. Layers are
represented by individual sections of transmission lines with the piezoelectric layer having the elec-
trical ports associated with it.
3.2 Basic Physics 67

3.2.4 Dispersion Relations and Wave Modes


The Mason model is a one-dimensional treatment of the resonator. That means in
essence that the resonator, from mechanical point of view, is assumed to operate in
a single mode. This mode is either the longitudinal or shear wave mode character-
ized by the acoustic velocities and impedances corresponding to this mode only.
In this section we will describe the basic idea behind the so-called dispersion
relations. Just as with the Mason model, the dispersion relations constitute a
one-dimensional model. They describe the system when we explicitly allow for lat-
erally propagating modes. In Figure 3.6 we have again drawn a simple plate. We
assume a wave propagating in a nonperpendicular angle and reflecting from the top
and bottom interfaces. We can no longer expect pure mode propagation. This
means that the longitudinal and shear waves are coupled through the mode conver-
sion upon reflections at the interfaces.
The dispersion relations of a simple plate of Figure 3.6 are shown in Figure 3.7.
The question these relations answer is what kind of waves does the plate support at
a given frequency? The waves are characterized first by their lateral propagation
constant β. Also associated with each branch (or rather point on a branch) is a dis-
placement profile u. The wave numbers β can take either pure real, pure imaginary,
or complex values. For the so-called shear horizontal modes the first two options
are available, either pure real or imaginary. These waves are depicted in Figure 3.8.
The real propagation constant describes a sinusoidal propagating wave, in the fig-
ure moving to the right. The imaginary propagation constant on the other hand
describes a reflected wave (note that this imaginary constant has nothing to do with
absorption), described by an exponentially decreasing amplitude. For the longitudi-
nal modes all three possibilities are present. Therefore the simple two-dimensional
representation of Figure 3.7(a) is insufficient to explain the real spectrum of longitu-
dinal modes. That is why we have also drawn these in the Figure 3.7(b) using a
three-dimensional plot.
The dispersion branches of Figure 3.7(b) display a rather complex behavior.
Starting from the high-frequency side of the branch labeled L3, one observes the fol-
lowing: Between points labeled A and B the curve lies on the real axis (pure real β),
and the wave is propagating in the x direction, for example. Between B and C the
values for β are pure imaginary. The wave is again propagating between points C
and D, but now with the opposite direction x. At point D the wave number
becomes complex until the zero frequency point E at the lower-left corner of the fig-
ure is reached. Branches labeled L1 and L2 show much simpler behavior with the for-
mer laying in the real plane (a propagating wave at all frequencies) and the latter
having a propagating high-frequency portion and a complex low-frequency behav-
ior. The dotted curves, labeled with negative subscripts, are the mirror images of the

Figure 3.6 Wave propagation in a simple plate. Longitudinal plane waves are shown by solid and
shear waves by dashed lines. After certain distance λ, the partial waves reconstruct themselves.
68 BAW Device Basics

A f
f A
L-3 L3

L-2 L2
B

B D C
fc,TE1 L-1 L1
C -
D
fc,TS2

fc,TS1

Im{β} 0 Re{β} Re{β}


E
Im{β}
(a) (b)
Figure 3.7 Dispersion diagrams: (a) two-dimensional representation showing pure real and imag-
inary wave numbers β, and (b) three-dimensional representation for longitudinal waves showing
also complex wave numbers β [1]. In (a) the cutoff frequencies of TS1, TS2, and TE1 are indicated
(dispersion is of type I). In (b) each continuous line represents a particular branch. The dotted lines
correspond to a wave propagating in the opposite direction of the solid curves.

Propagation

(a) (b) (c)


Figure 3.8 Propagation modes in a (multilayer) plate: (a) pure real β, (b) pure imaginary β, and
(c) complex β. In (a) we have indicated the wavelength of the propagating wave. Usually the
wavelength and wave number are coupled through the expression λβ = 2π.

three branches discussed above. They are simply propagating in the opposite direc-
tion compared to their positive subscript counterparts. We have also indicated
points A through C in Figure 3.7(a) showing only the pure real and imaginary parts
of the curves. Point D- is marked with a superscript to emphasize the opposite propa-
gation direction compared to point D in Figure 3.7(b).
In the case of simple plates the dispersion relations can be solved analytically for
the shear horizontal modes. However, the longitudinal modes (as well as flexural
modes) can not be solved in closed form (these are also called Rayleigh-Lamb or just
Lamb modes). This is rather unfortunate since the longitudinal modes are the ones
that matter most for us interested in thin film BAW devices. In the end this does not
3.2 Basic Physics 69

make much of a practical difference since we will in any case be analyzing


multilayer systems where the problem must always be solved by numerical
techniques.
In a multilayer case the problem is generally solved as follows [9, 10]: In each of
the layers i we set up longitudinal and shear waves traveling upwards and down-
wards, in Figure 3.9 noted as Li+, Si+, Li−, and Si−. These waves propagate at a certain
angles θi with velocities vi (generally θi and vi are different for longitudinal and shear
waves). Associated with these are certain propagation constants ki = ω/vi in the
direction described by θi. The lateral propagation constant β is therefore the projec-
tion of ki in the lateral direction (here chosen as x). At each material interface we
must therefore consider eight waves: up and down traveling shear and longitudinal
waves from above and below. The boundary conditions of continuous displacement
and stress are applied at each interface. These couple the wave amplitudes in adja-
cent layers. The boundary condition for an air interface is again the familiar
stress-free condition and in a case of semi-infinite half-space, such as substrate, we
set up waves traveling in one direction only (away from the last interface). These
eliminate the remaining amplitudes and the system can be solved for the lateral
propagation constant β.
The lateral component of this propagation, in all the layers, is given by β. It
must be a constant, for a given solution at a certain frequency ω, for all the layers.
The resulting matrix equations coupling the different layers are therefore usually
solved by searching the β(ω)-space for solutions satisfying the boundary conditions.
In practice this means finding the zero of the determinant of the transfer matrix
describing the layer stack.
An important property of dispersion is called the cutoff frequency fc. This is
defined as the frequency for which β = 0 for any particular dispersion branch. It
divides, in frequency, the cases between propagating and reflecting waves. Certain
branches have their real wave numbers higher in frequency that their cutoff fre-
quency [i.e., positive group velocity, for example TE1 (thickness extensional) and
TS1 (thickness shear) in Figure 3.7(a)]. For future use we will define these as having
type I behavior. Other branches might behave the opposite way: propagating waves
have a lower frequency than their cutoff frequency (i.e., negative group velocity).
These will be denoted as type II branches [for example, TS2 in Figure 3.7(a)]. It
should be emphasized that this is a property belonging to a dispersion branch; it is
not the property of a layer stack or a device. However, later we will also define reso-
nators as being of either type I or II, but this just refers to the branch (resonance)
that they are supposed to be operating with.

+
Layer 1 +
S1 S1
-
-
L1 L1
+
Layer 2 +
S2 S2
-
-
L2 L2
+
Layer 3 +
S3 S3
-
-
L3 L3
+
Substrate +
SS
LS z

Figure 3.9 Setting up a dispersion analysis for a multilayered plate.


70 BAW Device Basics

The cutoff frequencies are identical to the resonance frequencies obtained from
a one-dimensional model of a resonator. For the thin electrode case of a single plate
these are given by (3.22), and for a general multilayer case they can be found by the
Mason model. Since these one-dimensional methods use only one wave type some of
the cutoff frequencies are obviously missing. The cutoff frequencies of longitudinal
and shear modes are easily calculated by using the appropriate material parameters
(longitudinal or shear) in the calculation of the frequency response. Usually devices
having type I behavior have their longitudinal cutoff frequency fc,TE1 higher than
their second shear wave cutoff frequency fc,TS2. The opposite is true in type II resona-
tors. This provides a quick method for calculating the dispersion type using the
Mason model.
An example of measured dispersion curves of a 1-GHz ZnO SMR is given in Fig-
ure 3.10. The main resonance at approximately 900 MHz has the type I behavior.
Multiple other modes are also present. The dispersion in Figure 3.10 is qualitatively
similar to the simple plate dispersion of Figure 3.7(a), but the details are dependent
on the exact layer stack in question (there are roughly 10 acoustically active layers in
the measured device). The measurement of dispersion relations is discussed in detail
in Chapter 8.

3.2.5 Resonator Design Based on Dispersion Relations


The dispersion relations can be used to design of a simple prototype resonator. We
will here introduce a model first described in the 1960s by Shockley, Curran, and
Koneval [11, 12]. This dispersion-based picture will enable us to study lateral effects
in the resonator.
In the beginning of their 1963 paper, Shockley, Curran, and Koneval stated: “If
the portion of the wafer surrounding the resonator has a cutoff frequency higher
than the exciting frequency, the resulting vibratory energy is essentially confined to

1.2

1.1

1.0
Frequency [GHz]

0.9

0.8

0.7

0.6

0.5

0.4

0.3
0.0 0.5 1.0 1.5 2.0 2.5
Wavenumber β [1/μm]
Figure 3.10 Measured dispersion curves of a 1-GHz ZnO resonator. At fs (at 0.9 GHz) there exist
at least four excited higher order modes. Figure courtesy of Kimmo Kokkonen (Helsinki University
of Technology, Finland) and Tuomas Pensala (VTT, Finland).
3.2 Basic Physics 71

the resonator with an energy distribution decreasing exponentially with distance


away from the resonator. This exponential decay is not associated with energy loss
but acts to trap the oscillating energy within a confined region.” In Figure 3.11(a)
we have drawn such a structure and an accompanying cutoff frequency diagram.
The associated dispersion characteristics near the cutoff are shown in Figure 3.11(b)
(only the relevant TE1 branches are drawn).
We assume a device structure exhibiting type I dispersion behavior, both in the
active and outside regions. Consequently, the wave modes having frequency lower
than the cutoff frequency fc have imaginary wave numbers and modes having fre-
quency higher than fc have a real wave number. The gray shading in both the cutoff
frequency diagram and the dispersion diagram denote imaginary wave numbers. It
might be appropriate here to note an analogy with quantum mechanics and the
Schrödinger equation: In quantum mechanics the wave is bound to a quantum well
by similar argumentation: it has an exponentially decreasing amplitude in the for-
bidden band (below the allowed energy for a propagating wave) and a sinusoidal
wave in the quantum well itself. The cutoff frequency diagrams proposed here have
their origin in the quantum mechanical description of waves (particles) bound in
potential wells. Both the Schrödinger equation and our mechanical (acoustical)
counterpart here are wave equations and even without a rigorous mathematical
proof it is quite easy to believe that similar behavior occurs in both cases. Just as any
symmetric one-dimensional quantum well will have at least one bound state so will
also our symmetric one-dimensional cutoff frequency well hold at least one trapped
acoustic mode (i.e., resonance).
To begin our analysis, let us assume displacement amplitude u(x, z) separable in
spatial coordinates

u( x , z ) = u x ( x ) ⋅ u z ( z ) (3.68)

Now the corresponding stress field in the z-direction is given by

Tz ( x , z ) = T( z ) ⋅ u x ( x ) (3.69)

2L

f Outside

fc,o
Active
fc,o βa fc,a
βo
fc,a β

x = −L x=0 x = +L βo β=0 βa
(a) (b)
Figure 3.11 (a) Geometry and cutoff frequency diagram of a traditional resonator of width 2L
(dispersion type I). (b) Corresponding dispersion diagrams of the TE1 modes for the outside and
active regions near the cutoff frequencies. Cutoff frequencies of the outside and active areas are
denoted by fc,o and fc,a, respectively. In both diagrams the gray shading denotes pure imaginary
wave numbers β. In the dispersion diagram the denoted wave vector values, βo and βa, correspond
to the lowest trapped mode drawn in the cutoff frequency diagram.
72 BAW Device Basics

The second term, ux, can be understood as a modulating term arising from the (pos-
sible) nonuniformity of the z-direction stress field in the x-direction. The vertical
stress T(z) can be handled for example in the simple cases by the calculations shown
in Section 3.2.2 or in a general case with the Mason model in Section 3.2.3.
The separable displacement assumption is quite questionable: the constituent
relations, (3.2) and (3.3), are usually written in tensor form explicitly because the
variables are not separable as per (3.68); we touched this point already in Section
3.2.1. However, we will here take the practical view: the equations and analysis in
the following will be good enough to explain many observed phenomena in real
devices. We do not wish to carry along the extra weight by utilizing the complete
description given by the full equations. Whenever we run into serious difficulties
explaining the observed effects we will try to clearly point out whether our simplify-
ing assumptions are to be blamed.
Turning our attention to coupling coefficient we insert (3.69) into (3.54) to
(3.56) and (3.58). Now one finds that the coupling coefficient is composed of two
separate terms
2
keff = kz2 ⋅ kx2 (3.70)

where the z-dependent contribution is grouped under kz2. The form of the lateral
component kx2 follows from the Berlincourt formula [see (3.58)], and is

(∫ E u dx)
2
x x
k =
2
x (3.71)
∫ E x dx ⋅ ∫ u x dx
2 2

where Ex is the x-dependence of the z-directional electric field. This is usually a con-
stant 1 as the resonator is a parallel plate capacitor. The electromechanical coupling
coefficient K2 is included in the term kz2, and therefore does not appear in kx2.
Referring to Figure 3.11, and concentrating our attention at the right half of the
device, we write the lateral displacement of bound modes in two parts as

⎧ a ⋅ cos( β a x ) −L ≤ x ≤ +L
ux ( x ) = ⎨ (3.72)
⎩b ⋅ exp( o ) x > +L
− β x

where βa and βo refer to the lateral wave numbers, obtainable from the dispersion
curves, in the active and outside areas, respectively. a and b are amplitude normal-
ization coefficients and will be found by application of the boundary conditions. At
this point we should note that even if throughout this text we call βo an imaginary
wave number, it can readily be seen that in (3.68) it is actually a number with a real
value. We could of course write the displacement as exp(−|βo|x), but for simplicity
we will keep the formulation as given by (3.72).
In this Ansatz, (3.72), we have already dropped the antisymmetric displacement
amplitudes of form sin(βax), that would be present in a purely mechanical treatment.
It is immediately seen from the equation kx2, (3.71), that these antisymmetric cases
are not worth investigating from a coupling point of view: When a constant Ex is
3.2 Basic Physics 73

assumed (the resonator of Figure 3.11 is in essence a parallel plate capacitor) the
integrand in the nominator of (3.71) would always be antisymmetric, being a prod-
uct of a symmetric function Ex and antisymmetric function ux, and consequently the
integral vanishes. Therefore, as intuition might already have told us, antisymmetric
modes cannot couple. This is identical to the discussion previously given in the
thickness direction regarding the purely mechanical modes, (3.1) and (3.22)
In order to calculate the physical fields of (3.72) we need the boundary condi-
tions at x = ±L. For simplicity we will assume these to be continuity of lateral dis-
placement ux and its first derivative dux /dx. It is evident that the first boundary
condition is a correct one. Just as obviously, in a general case, the latter is incorrect;
we will revisit this point a little bit later. The condition dux/dx being continuous is
adopted here just to keep the analysis simple and straightforward (it is interesting to
note that Shockley et al. use exactly the same condition in their analysis [11]).
Using the boundary conditions at x = ±L we arrive at the resonance condition

β a tan( β a L) = β o (3.73)

Once again we note, that both βa and βo are functions of frequency, β β(f). For
the dispersion type assumed here moving to higher frequency makes βa a larger real
number and βo a smaller imaginary number [see Figure 3.11(b)]. For small changes
in frequency one can usually take βo as a constant and just look at the effect on βa.
However, what comes out of the analysis, no matter how it is done in a particular
case, is a resonant frequency f associated with (3.73) through the frequency depend-
ence of lateral wave numbers, β = β(f). This means that also the lateral mechanical
boundary conditions select the operating mode of the device. This is quite a natural
and expected result: exactly the same thing happens in the vertical direction dis-
cussed in previous sections. The displacements for the five lowest modes according
to (3.73) are drawn in Figure 3.12. Here we have still retained the antisymmetric
displacement profiles of form sin(βax), n odd.
One important consequence of (3.73) is that the resonator actually does not res-
onate at the cutoff frequency of the active area fc,a. In the previous one-dimensional
analyses this was the case. For the resonator discussed here, exhibiting type I disper-
sion, the resonance frequency is higher than the cutoff frequency, f > fc,a. However,
in any real case (i.e., resonator having a realistic size 2L) the frequencies are for all
practical purposes identical. This means that for designing the resonators for a par-
ticular application the Mason model can be readily applied with the required preci-
sion. For a very narrow resonator we need to move up higher along the dispersion
curves to find the conditions satisfying (3.73). Therefore the ground state for a very
narrow device might be well above the cutoff frequency fc,a. For a resonator exhibit-
ing type II dispersion characteristics the opposite is true: the frequency of a narrow
resonator would be lower than the cutoff frequency fc,a.
For a quick-and-dirty analysis, one may assume a hard-wall model by letting βo
→ ∞, and then the resonance condition, (3.73), reads as βaL = π/2. As expected, the
resonance is obtained when a half-wavelength fits into the width of the resonator.
For a real device with a finite outside region cutoff frequency fc,o the resonant fre-
quency of a narrow device approaches, but never exceeds, this cutoff frequency fc,o.
74 BAW Device Basics

f0 n=0

f1 n=1

f2
n=2

f3
n=3

f4
n=4

Figure 3.12 lateral displacement profiles ux,n of the first five modes of the traditional resonator.
Because of symmetry reasons only the symmetric modes with n = even couple with the constant
driving force of the electric field.

This is because above fc,o the outside region supports a traveling wave with a real β,
and consequently no trapped resonance can occur in a laterally infinite system. 1
Whether there exists more than one trapped mode depends on the depth and
width of the cutoff frequency well, and also on the properties of the active and out-
side regions (i.e., specific dispersion characteristics). The depth is simply a function
of the cutoff frequency difference between the outside and active regions fc,o fc,a.
The width 2L is simply the physical size of the prototype resonator under investiga-
tion. We will return to these other higher order modes later in the discussion of spu-
rious modes in Section 3.3.3.

3.3 Device Design

3.3.1 Effective Coupling Coefficient


In an earlier section we pointed out the difference between effective coupling coeffi-
cient k2eff and material coupling coefficient K2. This section will deal with k2eff, the
device property. We will analyze a real resonator with finite thickness electrodes and
show how most of the material coupling coefficient K2 can be extracted to maximize
or optimize k2eff. This effect was already discussed in the previous chapter dealing

1. In this case, if taken literally, our model really fails when a real-world device is considered. Even if we allow a
propagating wave in the outside, a resonance, maybe a poor one but a resonance nevertheless, would be
observed in a manufactured device. One of the reasons is that our model does not treat the mechanical and
electrical boundary conditions correctly (see [13]). However, for the analysis done here it is good enough to
explain even the real-world behavior of the system.
3.3 Device Design 75

with electrode metallization (see Section 2.3). We will again make a simplified
Gedanken experiment to highlight the physics behind the effect.
Let us assume a resonator that has electrodes made of the same material (or sim-
ilar in terms of acoustic velocity and impedance) as the piezoelectric itself. This sim-
plifies our calculations as the displacement inside the body can be written as

u( z ) = a ⋅ sin( kz ) (3.74)

where k is the vertical (z-direction) wave number given by

ω 2 πf
k= = (3.75)
ν ν

Here v is the velocity of the acoustic wave, in both the electrodes and piezolayer.
Figure 3.13 shows the geometry under consideration.
Using the stress-free boundary condition T(±(d + t)) = 0 we arrive at the reso-
nance condition

π
kn ⋅ ( d + t ) = (2n + 1) ⋅ , n = 0, 1, 2, K (3.76)
2

The frequencies are now given by the familiar

π ν
ω a , n = (2n + 1) ⋅ ⋅ (3.77)
2 d +t

The difference between this and (3.23) arises from the different definition of the
total plate thickness (see Figure 3.14). After some simple manipulation we get from
(3.58) the coupling coefficient of nth mode

⎡ π t ⎤
cos 2 ⎢(2n + 1) ⋅ ⋅
e 2
8 ⎣ 2 d + t ⎥⎦
2
keff ,n = S E ⋅ 2 ⋅ , n = 0, 1, 2, K (3.78)
ε c π ⎛ t ⎞
(2n + 1) ⋅ ⎜⎝1 −
2

d + t⎠

2 2
In Figure 3.14 function cos [(2n + 1) · π/2 · x]/[(2n + 1) · (1 − x)], where x = t/(t
+ d), is plotted for the three lowest modes. For main mode n = 0 the function

z
+(d+t)
Electrode t
+d

0 Piezolayer 2d

−d
Electrode t
−(d+t)
Figure 3.13 The geometry of a resonator with electrodes, made of the same material as the
piezolayer itself. This also corresponds to the situation where infinitely thin electrodes are placed at
a distance of t from the surfaces within the piezoelectric body. The stress field is drawn with solid
line and the displacement with a dashed line.
76 BAW Device Basics

Normalized effective coupling coefficient


1
n =0

0.8

0.6

0.4
n =1

n =2
0.2

0
0 0.2 0.4 0.6 0.8 1
Thickness ratio t/(t+d)
Figure 3.14 Normalized effective coupling coefficients for the three lowest resonances in a sim-
ple prototype membrane resonator as a function of fractional electrode thickness t/(t+d). The elec-
trodes are made of the same material (i.e., same acoustic velocity and impedance) as the
piezolayer. The main mode n = 0 has a maximum at t/(t+d) ≈ 0.26, indicated by the dotted vertical
line.

achieves a maximum at x ≈ 0.26 and the value of the function at this point is approx-
imately 1.14. This means that in our simple Gedanken experiment the effective cou-
pling coefficient is maximized for electrode thickness of t/(d + t) ≈ 0.26. The higher
2
harmonics have naturally a lower k eff and certain electrode thicknesses produce a
vanishing coupling coefficient (for the first harmonic n = 1 at x = 1/3, and for the sec-
ond n = 2 at x = 1/5 and x = 3/5). This behavior is easily understood when consider-
ing the symmetry of the situation; see numerator of (3.78).
Next we will consider a more realistic but still simple symmetric resonator with
electrodes made from a material different than the piezolayer. The resonator is
assumed symmetric with both electrodes having the same thickness t and mate-
rial properties (see Figure 3.15). The displacement has now to be considered in two
parts

⎧ a ⋅ sin(kp z) −d ≤ z ≤ +d
u( z ) = ⎨ (3.79)
⎩b ⋅ sin( ke z + γ ) z > + d

z
+(d+t)
Electrode t
+d

0 Piezolayer 2d

−d
Electrode t
−(d+t)
Figure 3.15 The geometry of a resonator with electrodes. Example corresponds to the case of Zp
> Ze. The stress field is drawn with a solid line and the displacement with a dashed line.
3.3 Device Design 77

where the wave numbers kp and ke refer to the piezolayer and electrodes, respec-
tively. The phase term γ preserves the generality of our Ansatz. Using the boundary
conditions at the interface z = +d and vanishing stress at z = +(d+t) we arrive at the
resonance condition

Ze
⋅ tan(kp d ) tan( ke t ) = 1 (3.80)
Zp

Here Ze and Zp are the acoustic impedance of the electrode and piezolayer,
respectively.
We could calculate the stress profiles associated with (3.79) and insert these into
the Berlincourt formula for a general expression of the effective coupling coefficient
(the result, however, looks rather unappetizing). Unfortunately it is not possible to
further insert the resonance condition, (3.80), into the obtained equation for a
closed form solution of k2eff. Therefore, we will have to be satisfied with a numerical
solution for some representative cases.
From the figures we see how the effective coupling coefficient depends on the
material properties of the electrodes. Most notably it is seen from Figure 3.16(b)
that the high acoustic impedance electrodes increase the maximum achievable k2eff.
With the available high impedance electrode materials, which are close to the
impedance ratio 3:1 given in Figure 3.16 (assuming AlN or ZnO piezolayer), the
normalized effective coupling coefficient has a maximum value of approximately
1.19. This has some important real-life consequences when it comes to practical
design of resonators. This issue will be discussed in a later chapter.
We have here analyzed a FBAR device. The results in this case do not directly
apply to a SMR. This is because the stress fields inside the mirror layers lower the
achievable k2eff. However, the general trend seen in Figures 3.15 and 3.16 holds just
as well to the SMR, even if the exact position and value of the maximum k2eff depend
on the specific mirror configuration. Simulations in the case of a SMR device can be
found in the original paper describing the effect by Lakin et al. [14].
Normalized effective coupling coefficient

Normalized effective coupling coefficient

1.4 1.4

1.2 1.2
2:1 3:1
1 1
1:1 1:1
0.8 0.8

0.6 0.6 1:3


1:2
0.4 0.4

0.2 0.2

0 0
0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1
Thickness ratio t/(t+d) Thickness ratio t/(t+d)
(a) (b)
Figure 3.16 Normalized effective coupling coefficients as a function of fractional electrode thick-
ness t/(t + d) for resonator with different electrode to piezolayer (a) acoustic velocity ratio and (b)
acoustic impedance ratio. The 1:1 case corresponds to (3.78), n = 0.
78 BAW Device Basics

3.3.2 Loss Mechanisms and Q-Values


Quality factors are a measure of losses in the system. The very basic definition fol-
lows from the ratio of the total energy in the system to the power lost in a half-cycle:

ω Etot
Q= ⋅ (3.81)
2 ΔE

where Etot is the total energy and ΔE is the power lost per half-cycle. Assuming multi-
ple loss mechanisms, the overall Q-values follow the well-known law

1 1
Qtot
= ∑Q
i
(3.82)
i

where Qi is the Q-value associated with loss mechanism i. If we assume that the
energies associated with loss mechanisms are different we get from the definition of
Q-value, (3.81),

1 1 E
= ⋅∑ i (3.83)
Qtot Etot i Q i

where Ei is the energy associated with loss mechanism i, and Etot = ∑ E i .


These loss mechanisms in a BAW resonator can be grouped under three
categories:

1. Electrical losses;
2. Acoustical attenuation;
3. Leaking waves.

Electrical losses are associated with finite resistance of the resonator electrodes
and leads connecting resonators and bonding/probing pads. In the previous section
we saw that the preferred electrode materials for wide-bandwidth filters are high
acoustic impedance metals, typically from the group of refractory metals. Unfortu-
nately, these have rather high electrical resistances. It must also be kept in mind that
for the high acoustic impedance metals the best obtainable thin film resistivities are
generally 1.5 to 2 times higher than the corresponding bulk values. This is not the
case with aluminum, copper, and some noble metals (Ag, Au) that usually have
resistivities fairly close to bulk values even in thin film form.
When electrical resistivity is concerned there are two major effects that should
be considered: First the traditional resistivity of the leads and electrodes themselves.
Generally there is about one square of electrodes associated with a resonator (i.e.,
the top and bottom electrodes themselves). The resistive loss mechanism is obvi-
ously most pronounced near the operating point where electrical currents are
largest, see (3.33).
The second resistive part arises from the possible nonuniform stress distribution
over the area of the electrodes when the resonator is operated at high frequencies. If
the stress distribution is not flat then areas of the resonator vibrating at different
amplitudes and/or phases will have redistribution currents associated with them.
This means that even if no current would be flowing in and out of the resonator
3.3 Device Design 79

leads connecting it to the outside world these redistribution, or eddy currents, still
have a resistance associated with them. FEM simulation of the phenomena can be
found in [15].
In the next section dealing with spurious resonance-free resonator design we
will encounter a design that tries to accomplish constant displacement (stress)
amplitude over the face of the resonator. Even in the cases where this is successfully
accomplished and the spurious modes are not generated, one will almost invariably
find small ripple in the measured stress distribution near the operating frequency of
the device. This ripple arises because some of the energy is coupled into high lateral
wave vector dispersion branches (more about this after few lines). At the moment it
is unknown whether this effect has any practical Q-limiting value.
Previously we described acoustical attenuation as a phenomenon where some of
the mechanical energy propagating in a material is converted into heat. We can
readily model this effect by assuming a complex propagation constant. However, as
was argued before, we have very little knowledge about the actual values of attenua-
tion constants α at the relevant frequencies. Therefore it is difficult to judge whether
this effect comes into play in practical devices. However this is the mechanism that
is often used in, for example, Mason’s model, to fit measured and predicted
Q-values.
The third loss mechanism group, leaking waves, can be broken down to three
more general subclasses. The first one is SMR specific: waves lost through the mir-
ror into the substrate. In a FBAR device both the upper and lower surface of the res-
onator are in contact with the air. With the large acoustic mismatch we can be
certain that no wave is transmitted into the air and consequently into the substrate.
But, in the case of a mirror device, this might not be so.
A Mason model calculation gives the longitudinal wave reflectivity of the typi-
cal quarter-wavelength mirror as 99.99% (unity for all practical purposes). This
would imply Qs easily in excess of 10,000. However, the mirror in the λ/4-configu-
ration is designed for longitudinal waves. Remembering that the shear wave veloc-
ity vS is roughly half of the longitudinal velocity vL, it is follows that the mirror is
more or less λ/2 for the shear waves. If, for whatever reason, shear waves are gener-
ated in the device these can readily pass through the mirror and consequently lower
the Q-values. This loss can be significant for even small amounts of energy associ-
ated with the shear waves. This can easily be shown by using (3.83): if one assumes
a longitudinal Q-value of 10,000 and shear Q-value of 10, and assigns 1% of total
energy to the shear waves, the resulting total Q will be roughly 900.
In Figure 3.17(a) we have calculated the mirror transmissivity for the tradi-
tional λ/4-configuration (transmissivity being T 2 = 1 − R2, where R is the reflec-
tivity). As expected the longitudinal transmissivity shows a minimum at the
resonance (denoted by the vertical line). However, the shear wave transmissivity is
high validating our earlier expectation. This leakage can be confronted effectively
be designing the mirror to reflect both the shear and longitudinal waves. Such a mir-
ror is no longer based on λ/4-thick layers; an example is given in Figure 3.17(b).
Currently there are no reliable explanations for the generation of shear waves.
However, as always, it is easy to speculate on the origin of these modes. It was previ-
ously mentioned that any nonperpendicular longitudinal waves incident upon a
material interface will convert into reflected and transmitted longitudinal and shear
80 BAW Device Basics

0 0

Mirror transmissivity [dB] −10 −10

−20 −20

−30 −30

−40 −40
0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0
Frequency [GHz] Frequency [GHz]
(a) (b)
Figure 3.17 Transmissivity of (a) a λ/4-mirror and (b) a cooptimized mirror for both shear and
longitudinal waves [16]. The longitudinal transmissivity is given by the solid lines, and the shear by
the dashed lines, respectively. The resonance frequency of the device (approximately 1.8 GHz) is
indicated by the vertical line. Both mirrors are constructed as 2.5-pair W/SiO2-layers.

waves. There should be no a priori reason to expect that such waves would not be
present in a real device. Remember again that the Mason model is a 1D treatment
and there quite obviously exists no mechanism for generating these modes. Possible
sources for the nonperpendicular longitudinal waves are for example the electrode
edges where boundary conditions might require generation of these waves. This
brings us to the second possible source of the shear waves: there is again no a priori
reason to believe that these lateral boundaries would not directly create shear wave
components.
The second leaking-wave loss mechanism is laterally leaking waves. In Section
3.2.4 we proposed a simple dispersion model for explaining the energy-trapping
principle. We found that if we consider only the thickness extensional modes near
the cutoff frequencies of the active area and outside, perfect energy trapping can be
accomplished. It is time to review that assumption.
When we make the resonator analysis based on the dispersion relations we
explicitly disregard all other dispersion branches except the one under consider-
ation, usually the thickness extensional mode TE1. In essence we assume that there
is no coupling from this mode to the others. In an infinite bulk material the coupling
between the modes does not occur. However, in any realistic analysis the resonator
edges must come into play.
The lateral boundaries require the same continuity of displacement and stress as
do the vertical boundaries. However, even in the simple model at the boundary
between the active and outside regions of our prototype resonator the stress fields
are obviously different, even within the piezoelectric layer itself. This arises because
the vertical boundary conditions require vanishing stress on the free surfaces. In
other words on the side of the outside region, the vertical stress must equal zero, but
on the other hand, on the side of the active area it generally does not have to (see Fig-
ure 3.11). Therefore, there must be an additional mechanism to facilitate for this
mismatch. In the dispersion picture this can only be brought in by adding a contribu-
3.3 Device Design 81

tion from the other branches on the dispersion diagram at the relevant frequency
(what else would there be?).
Some of these other branches might have a real wave number. Therefore, almost
invariably we will set up traveling waves in the outside region and these will propa-
gate and be lost thus lowering our Q-values and possibly the K2eff. It is an experi-
mental fact that laser interferometer analysis of any resonator will invariably show
waves propagating in the outside region as well. Figure 3.18(a) shows such a phe-
nomenon in a mirror device. Just as invariably small wavelength ripple will be pres-
ent in the active area; see Figure 3.10 for a laser interferometer measurement of the
active area of a ZnO resonator operating at 1 GHz (the fact that we are able to mea-
sure the dispersion curves means that the waves must be present). Also, finite ele-
ment analysis (FEM) of devices always shows such a behavior; see Figure 3.18(b).
FEM is a brute force method that will, when done correctly, reveal all the possible
modes. This is actually a problem in setting up a good FEM simulation, as these
waves propagating in the outside must be somehow absorbed at the outmost
boundaries of the model, in order not to set up additional unphysical standing wave
patterns and corresponding resonances.
So how big is the lateral leakage effect in real life? The sad answer is that we do
not know. It is very difficult to distinguish between the different Q-loss mechanisms
in the electrical measurements, except in some special cases. Laser interferometry
can not help us much either: it is difficult to judge what the amount of energy carried
away from the resonator with the lateral waves really is. It has been argued by some
authors, quite convincingly in fact, that in the case of FBAR this loss mechanism is
dominant at certain frequencies (see Chapter 5 and [19]). Nevertheless it must be
concluded that definite assignment of a certain Q-value to lateral losses is
challenging to say the least.
Finally surface and/or interface scattering can be important if the surface and/or
interface quality of the materials is not good enough. Here the mechanism is in
essence the same as in vertically or laterally leaking waves, we just make the separa-
tion because in this case the origin of the leaking waves lies with waves generated by
the local roughness. These waves can have any propagation direction and therefore,

(a) (b)
Figure 3.18 (a) A laser interferometer analysis of a SMR showing propagating modes outside the
resonator active area [17], and (b) FEM simulation of a FBAR showing propagating modes on the
right-hand-side outside area [18].
82 BAW Device Basics

in principle, it is possible to convert into various different lateral and vertical waves
that might or might not be lossy.

3.3.3 Spurious Modes


In this section we first develop the hard-wall model mentioned in Section 3.2.5 fur-
ther to explore the emergence of spurious modes. Let us first elaborate further on the
hard-wall assumptions: The lateral wave is not allowed to penetrate the outside
region at all (see Figure 3.11). This is accomplished by letting the lateral wave num-
ber in the outside region βo → ∞. As previously shown, we now get the resonance
condition as

π
β a L = (2n + 1) ⋅ , n = 0, 1, 2, K (3.84)
2

corresponding to the poles of the tangent function of (3.73). Inserting this into the
general form of the lateral contribution to effective coupling coefficient kx2,
obtained by inserting (3.72) into (3.71), we have

2 ⋅ sin 2 ( β a L)
kx2 = (3.85)
⎛ 1 ⎞
β L ⎜1 +
2
a
2
⋅ sin( β a L) cos( β a L)⎟
⎝ βa L ⎠

Now we find a series of resonances, labeled with n, with

8 1
kx2, n = ⋅ n = 0, 1, 2, K (3.86)
π (2n + 1) 2
2

This equation describes a series of modes having decreasing effective coupling


coefficients. We identify the n = 0 case with the largest coupling as our main mode.
The modes labeled with n > 0 are the spurious modes. They are excited because the
driving force of the electrical field Ex, a constant across the face of the essentially
parallel plate capacitor structure under investigation, is able to couple into these lat-
erally symmetric modes as well (see Figure 3.12 for the mode shapes). In other words
the convolution integrals in the numerator of the coupling coefficient equation,
(3.71), have nonzero values for all symmetric solutions of the lateral wave modes ux.
The wave modes ux are sketched in Figure 3.13. It is interesting to note that

∑k
n=0
2
x,n =1 (3.87)

This means that all the piezoelectricity available is consumed in the series of reso-
nances composed of the main mode and the spurious modes.
In Figure 3.19 electrical measurements of two resonators are shown. The first
one, Figure 3.19(a), is an AlN SMR exhibiting type I dispersion. The spurious modes
are identified as the smaller loops superimposed on the large main resonance.
Because the resonator is of type I the spurious modes are located above the series res-
3.3 Device Design 83

(a) (b)

Figure 3.19 Spurious modes in (a) type I resonator and (b) type II resonator. (From: [21]. © 2001
IEEE. Reprinted with permission.)

onant frequency fs. This is where the active area of the resonator has real wave num-
bers βa enabling standing wave patterns according (3.73) to be set up. The opposite
is true for the resonator of Figure 3.19(b). This example is an AlN-FBAR (i.e., a
membrane resonator) having type II dispersion. Since the real wave numbers are
now located below the cutoff frequency, the spurious modes appear below fs in fre-
quency. Note that (3.84) to (3.86) hold for both type I and II devices; the only
assumption we have made is βa real and βo → ∞, and in principle this can be accom-
plished with either dispersion type by proper resonator design.
In [22] Kokkonen and Pensala studied a ZnO resonator exhibiting strong spuri-
ous modes using electrical measurements, laser interferometry, and FEM. Their
findings verify the theoretical reasoning given earlier: the acoustical spurious modes
are responsible for the spurious modes seen in the electrical response. Figure 3.20
shows the connection between the electrical resonances and the associated wave
patterns obtained from laser interferometry.
We can also set up a second interesting problem with (3.73). This takes place
when we let βo = 0. In this case we get a coupling coefficient

⎧1 n = 0
kx2 = ⎨ (3.88)
⎩0 n ≠ 0

This describes a resonator with only one single mode excited. That means it is spuri-
ous resonance free.
The condition β 0 seems ridiculous at first glance. It describes a constant dis-
placement amplitude in the outside region. This is clearly a violation of the problem
statement requiring an energy-trapped structure with an exponentially decaying
amplitude in the outside. Actually this also corresponds to a case of infinitely large
resonator, and this is exactly the configuration that, for example, the Mason model
analyzes. In a laterally infinite resonator there are no edges and therefore no possi-
bility of setting up laterally trapped waves and consequently no spurious modes are
excited.
But nevertheless, the condition o 0 holds an important truth even in the case
of a laterally finite resonator: if we are able to create a situation where the boundary
84 BAW Device Basics

-2

Absorbed power (1-|S11|2) [dB]

Mechanical response [a.u.]


−4

−6

−8

−10

−12

920 925 930 935 940 945 950 955 960 965 970
Frequency [MHz]
Figure 3.20 Connection between the measured electrical response and wave patterns obtained
by laser interferometry [22]. The solid line is the electrical power absorbed in the resonator as a
function of frequency. The dotted line gives the mechanical response as summed over measured
lateral wave vector β values at each frequency.

condition of dux/dx = 0 at x = ± L is satisfied, we have created a resonator with no


spurious modes excited. This spurious resonance-free condition can be achieved by
the type I resonator structure given in Figure 3.21. By inserting a narrow border
region, having a real wave number b, between the active area and the outside we
can create a situation where dux/dx 0 at x L is satisfied. In this case we have a
0, b real and o imaginary, simultaneously fulfilling all the requirements of energy
trapping and boundary conditions.
We must now assume the displacement profile as being composed of three parts,
corresponding to the three regions of the device. The Ansatz for displacement, again
immediately dropping the noncoupling antisymmetric solutions, reads as

W W
2L

f
Outside
Active

fc,o
fc,o fc,a
Border
βo β b βa
fc,b
fc,a
fc,b β

x=0 βo βa = 0 βb
(a) (b)
Figure 3.21 (a, b) The structure and cutoff frequency diagram of a spurious resonance-free reso-
nator, type I. The corresponding dispersion characteristics display the operation point with b real,
o
imaginary and a = 0.
3.3 Device Design 85

⎧ a ⋅ cos( β a x ) −L < x < +L



u x ( x ) = ⎨b ⋅ cos( β b x + γ ) + L < x < +( L + W ) (3.89)
⎪c ⋅ exp( − β x ) x > +( L + W )
⎩ o

Coefficients a, b, and c are again for the amplitude normalizing reasons. The phase
term γ in the border region, and the sinusoidal form of the displacement in the active
area have been introduced to preserve the general nature of the Ansatz.
Investigating the special case of a = 0, meaning a constant amplitude a in the
active area, we arrive to the resonance condition. This is achieved by requiring both
ux and dux/dx continuous at x L and x (L W). After some simple manipula-
tion we now have the resonance condition

β b tan( β bW ) = β o (3.90)

Comparing (3.90) and (3.73) for the traditional design a striking resemblance is
seen: the equations have exactly the same form with L being now replaced by W and
ba by bb. This is no coincidence: One can imagine accomplishing the structure by
first designing a narrow (width 2W) resonator using the border-region layer stack
according to (3.73). The resonant frequency of this narrow device will be well above
the cutoff frequency fc,b of the layer stack (for type I device). Now if one splits this
resonator in the middle, where quite obviously dux/dx 0 for the symmetric modes,
and in between inserts an active region having a cutoff frequency fc,a exactly match-
ing the resonance frequency of the narrow resonator of our Gedanken experiment,
the active area will be operated exactly at this cutoff frequency. And, this in other
words means a 0. Therefore the resonance condition, (3.90), must read as it does
with no dependence on the width of the active area L or the active area lateral wave
number a. Again note that (3.89) and (3.90) apply to both type I and II devices, rea-
soning as before.
Concentrating our efforts solely in the active area (i.e., assuming no coupling in
the border area, or rather W « L) we can now find the coupling coefficients corre-
sponding to the main mode and the spurious modes. The displacement in the active
area reads as ux,a = a · cos(βa x) for the symmetric modes. The solution satisfying
dux/dx 0 at x L must have βaL = n · π, with n = 0, 1, 2, .... Therefore, we do
indeed get the coupling coefficient of (3.88). The higher order symmetric modes
vanish, because for n 0 the active area now supports a multiple of half-wave-
lengths (see Figure 3.22). We have here not explicitly made the simplifying assump-
tion of holding both βb and βo constant across frequencies, because nothing in the
mathematics themselves forces us to do so. However, it should be noted that gener-
ally the condition of (3.90) should be fulfilled over the frequency range of interest,
in the vicinity of fs and fp. Whether this happens in real devices is an open question:
our idealized model does not take into account all the real-world phenomena and
this leaves us only with experiment to judge whether or not we can place any faith in
it.
Luckily, the experimental observations do validate the model. Electrical mea-
surements of resonators show a minimum in the spurious mode content for a certain
W. Direct observation of the effect is possible with laser interferometry. In Figure
3.23 electrical measurement of type I ZnO and AlN SMRs with and without appro-
86 BAW Device Basics

n=0

n=1

n=2

n=3

n=4

Figure 3.22 The resonant modes of the spurious resonance-free resonator. Only the main mode,
n = 0, couples with the electric field. The odd modes are antisymmetric and cannot couple. The
higher order even modes have a multiple of half-wavelengths across the resonator, −L ≤ x ≤ +L,
and consequently do not couple (W « L). Note that for clarity we have drawn the border area
width W much larger than in typical devices.

(a) (b)
Figure 3.23 (a, b) Electrical measurements of type I 1-GHz ZnO and 2-GHz AlN resonators with
(solid line) and without border rings (also known as the frame or overlap) [20]. The devices with
correctly dimensioned borders have superior characteristics with almost no spurious modes. The
improvement in Q-values is also evident.

priately dimensioned borders [20]. Figure 3.24 displays laser interferometer analysis
of two ZnO resonators. The resonator on the right shows a flat displacement profile
corresponding to βa = 0. Furthermore, the values for W given by (3.90) agree fairly
well with experiment.
3.3 Device Design 87

(a) (b)
Figure 3.24 Laser interferometer measurements of the lateral displacement pattern of a 1-GHz
ZnO SMR (dispersion type I) [20]. Part (a) shows displacement profile of a traditional design with-
out the overlap. Part (b) shows a flat profile for the resonator with a correctly dimensioned over-
lap. The measurement frequency used here is slightly above the series resonant frequency fs, of the
devices.

In principle the border region can be designed with any width-thickness combi-
nation satisfying (3.90). The general trend seen from (3.90) is that for higher value
of lateral wave number βb in the border region the width W must be made smaller.
Bigger βb means larger cutoff frequency difference fc,a − fc,b (i.e., the cutoff frequency
well is deeper).
It was previously commented in Section 3.2.4 that any symmetric one-dimen-
sional cutoff frequency profile will hold at least one bound state. The cutoff dia-
gram for the border region in Figure 3.21 is not symmetric. Therefore, whether
there exists an isolated mode in this region depends totally on each individual case.
When the border region is correctly dimensioned with respect to thickness and
width there are no isolated modes, the lowest frequency mode of a type I device has
the shape shown in Figure 3.22, n = 0. However, if the border region is too wide
and/or too deep an isolated resonance can be formed. What now happens is that
there is an exponentially decaying wave in both the outside and active region (i.e.,
both βa and βo are imaginary), and βb is real. In this case the electrical measurements
will show, again in the case of a type I device, an additional resonance below fs,
along with a reduced effective coupling coefficient.
In Chapter 5 dealing with the FBAR devices we will see examples of the applica-
tion of the frame concept to a type II membrane device. As expected, in this case the
frame (border area) needs to have a cutoff frequency fc,b higher than the active area,
in order to achieve a real wave number βb at resonance. Furthermore improved
energy trapping in these devices is achieved through the use of an outside area hav-
ing cutoff frequency fc,o lower than the active area fc,a, again in agreement with the
prediction of the dispersion-based model. One could visualize the situation as in the
cutoff frequency diagram of Figure 3.25. The reader familiar with semiconductor
physics might see this similar to the representation of the valence band of a quantum
well device (such as a quantum well laser), as opposed to the conduction bandlike
behavior of the type I device in Figure 3.21.
88 BAW Device Basics

W W
2L
f
Active

fc,b
Border
fc,a
fc,o
fc,b
fc,a Outside
β
βo β b βa
fc,o βo βa = 0 βb
x=0
(a) (b)
Figure 3.25 (a, b) The structure and cutoff frequency diagram of a spurious resonance-free reso-
nator, type II. The corresponding dispersion characteristics display the operation point with βb real,
βo imaginary, and βa = 0.

3.3.4 The Other Important Parameters


The most important of the other parameters concerning a resonator is its tempera-
ture coefficient of frequency (TCF). We can examine this for the prototype resonator
by inserting (3.7) into (3.23) and differentiating with respect to temperature. We get

1 dω 1 ⎛ 1 dc 1 dρ ⎞ 1 dd 1 ⎛ 1 dc 1 dV ⎞ 1 dd
⋅ = ⎜ ⋅ − ⋅ ⎟− ⋅ = ⎜ ⋅ + ⋅ ⎟− ⋅ (3.91)
ω dT 2 ⎝ c dT ρ dT ⎠ d dT 2 c dT V dT ⎠ d dT

where T is the temperature and V is the volume and the other symbols are as before.
In the last form we can identify the linear thermal expansion coefficient αl = 1/d ·
dd/dT and the volumetric thermal expansion coefficient αV = 1/V · dV/dT. Both of
these are usually positive and therefore they tend to cancel each other to some
extent. In an isotropic case αV = 3αl holds fairly well, and therefore the net contribu-
tion of the last two terms is roughly +1/2 · αl. Typical values for αl range between +1
to +20 ppm/K.
The first term describes how the stiffness changes with temperature. Usually
materials become softer as temperature rises. This implies that generally 1/c · dc/dT
should be negative and that is the way it is for most materials. Temperature coeffi-
cients of stiffness constants are typically in the range of a few tens to a few hundreds
of ppm/K (negative). It could therefore be argued that this effect usually is the largest
component determining the TCF of a resonator.
In a thin film BAW the situation is not really well described by (3.91). It might be
a good approximation in the case of FBAR, but in the case of SMR the intimate con-
tact with the substrate complicates the situation. As the substrate thickness is typi-
cally up to two orders of magnitude larger than the film stack on top of it, it can not
be neglected: The thermal expansion of the substrate (area expansion in this case)
changes the stress state of all layers deposited on it (these layers might have some
intrinsic stresses in them at the beginning). These stresses (strains) can influence the
material parameters: remember the discussion in Section 3.1.1.
3.4 Summary 89

The most straightforward method to account for temperature effects in thin


film BAWs is to model them directly through the use of the temperature coefficient
of velocity 1/v · dv/dT. The travel time through the various layers changes with
velocity and to the first-order this describes the TCF of the resonator. In the accu-
rate description one should also take into account the temperature coefficient of
acoustic impedance, 1/Z · dZ/dT = 1/v · dv/dT 1/ρ · dρ/dT. Here the two terms do
generally have negative temperature behavior resulting in 1/Z · dZ/dT being also
negative. However, since the acoustic impedance influences the resonance fre-
quency only through the reflection and transmission coefficients r and t, (3.38) and
(3.39), its temperature coefficient can safely be neglected. To elaborate, as the
impedances on both sides of the interface have similar temperature behavior it can
safely be assumed that the effect of T on r and t, these being functions of the ratio
between the two acoustic impedances Z1 and Z2, is for all practical purposes zero.
Finally it should be noted that some materials do indeed have a positive temper-
ature coefficient of velocity (or stiffness). Most notably amorphous SiO2 exhibits
this behavior (as already pointed out in Chapter 2). Therefore it can be used as TCF
compensating material in resonators [23]. This effect is also notable in SMRs, where
the low impedance acoustic layers are usually made from SiO2. This is the reason
behind generally lower TCF in SMRs as compared to FBARs.

3.4 Summary

On the previous pages we have outlined the most important topics regarding model-
ing of thin film BAW resonators. The basic equations governing the simple proto-
type resonators were presented and the origin of the lateral effects, most notably
spurious modes, were described. Theoretical solutions to these problems were
presented.
In later chapters we will see practical implementations of the described solu-
tions: How the effective coupling coefficient is optimized with the use of
high-impedance electrodes and also in the case of SMR use of suitable materials for
the mirror layers. It will also be shown how Q-values are optimized for SMR with
the use of a nonquarter-wavelength mirror and how the spurious modes are elimi-
nated with the application of a border region in both FBAR and SMR devices.
These solutions have a very concrete practical application in BAW filter produc-
tion. It is safe to say that the role of BAW in radio frequency filters would not be
what it is today without these enhancements.

References

[1] Auld, B. A., Acoustic Waves and Fields in Solids, Vol. I & II, New York: Wiley, 1973.
[2] Ristic, V. M., Principles of Acoustic Devices, New York: Wiley, 1983.
[3] Rosenbaum, J. F., Bulk Acoustic Wave Theory and Devices, Norwood, MA: Artech House,
1988.
[4] Larson, J. D. III, et al., “Modified Butterworth-Van Dyke Circuit for FBAR Resonators and
Automated Measurement System,” Proceedings of IEEE Ultrasonics Symp. 2000, San
Juan, Puerto Rico, pp. 863–868.
90 BAW Device Basics

[5] Dieulesaint, E., and D. Royer, Elastic Waves in Solids, Vols. I & II, New York:
Springer-Verlag, 1999.
[6] Mason, W. P., Piezoelectric Crystals and Their Application to Ultrasonics, Princeton, NJ:
Van Nostrand, 1950.
[7] Berlincourt, D. A., D. R. Curran, and H. Jaffe, “Piezolelectric and Piezomagnetic Materials
and Their Function in Transducers,” Physical Acoustics, Vol. I-A, ed. W. P. Mason, Aca-
demic Press, New York, 1964.
[8] Chang, S. H., N. N. Rogacheva, and C. C. Chou, “Analysis of Methods for Determining
Electromechanical Coupling Coefficients of Piezoelectric Elements,” IEEE Trans. on
Ultrasonics, Ferroelectrics and Frequency Control, Vol. 42, No. 4, July 1995, pp. 630–640.
[9] Lowe, M. J. S., “Matrix Techniques for Modeling Ultrasonic Waves in Multilayered
Media,” IEEE Trans. on Ultrasonics, Ferroelectrics and Frequency Control, Vol. 42, No. 4,
July 1995, pp. 525–541.
[10] Adler, E. L., “Matrix Methods Applied to Acoustic Waves in Multilayers,” IEEE Trans. on
Ultrasonics, Ferroelectrics and Frequency Control, Vol. 37, No. 6, November 1990, pp.
485–490.
[11] Shockley, W., D. R. Curran, and D. A. Koneval, “Energy Trapping and Related Studies of
Multiple Electrode Filter Crystals,” IEEE Frequency Control Symp. Proceedings, 1963, pp.
88–126.
[12] Shockley, W., D. R. Curran, and D. A. Koneval, “Trapped-Energy Modes in Quartz Filter
Crystals,” Journal of the Acoustical Society of America,, No. 41, 1967, pp. 981–993.
[13] Milsom, R. F., et al., “Comparison of Mode-Conversion, Energy-Trapping and Lateral
Acoustic Coupling in FBAR and SBAR,” 2005 IEEE MTT-S International Microwave
Symp. Digest, June 2005, pp. 229–232.
[14] Lakin, K. M., et al., “Improved Bulk Wave Resonator Coupling Coefficient for Wide Band-
width Filters,” Proceedings of IEEE Ultrasonics Symp. 2001, Atlanta, GA, 2001, pp.
827–831.
[15] Thalhammer, R., et al., “Ohmic Effects in BAW—Resonators,” Proceedings of MTT-S
2006, San Francisco, CA, pp. 390–393.
[16] Marksteiner, S., et al., “Optimization of Acoustic Mirrors for Solidly Mounted BAW Reso-
nators,” Proceedings of IEEE Ultrasonics Symp. 2005, Rotterdam, Netherlands, pp.
329–332.
[17] Fattinger, G. G., “Acoustic Wave Phenomena in Multilayered Thin Film Layer Stacks,”
Ph.D. Thesis, Johannes Kepler Universität, Linz, 2004.
[18] Thalhammer, R., et al., “Spurious Mode Suppression in BAW Resonators,” Proceedings of
IEEE Ultrasonics Symp. 2006, Vancouver, Canada, 2006, pp. 456–459.
[19] Ruby, R., “Review and Comparison of Bulk Acoustic Wave FBAR, SMR Technology” Pro-
ceedings of IEEE Ultrasonics Symp. 2007, New York, 2007, pp. 1029–1040.
[20] Kaitila, J., et al., “Spurious Resonance Free Bulk Acoustic Wave Resonators,” Proceedings
of IEEE Ultrasonics Symp. 2003, Honolulu, HI, 2003, pp. 84–87.
[21] Ruby, R., et al., “Thin Film Bulk Wave Acoustic Resonators (FBAR) for Wireless Applica-
tions,” Proceedings of IEEE Ultrasonics Symp. 2001, Atlanta, GA, 2001, pp. 813–821.
[22] Kokkonen, K., and T. Pensala, “Laser Interferometric Measurements and Simulations of
Waves Transmitted Through the Mirror in Thin Film BAW Resonator,” Proceedings of
IEEE Ultrasonics Symp. 2006, Vancouver, Canada, pp. 460–463.
[23] Lakin, K. M., K. T. McCarron, and J. F. McDonald, “Temperature Compensated Bulk
Acoustic Thin Film Resonators,” Proceedings of IEEE Ultrasonics Symp. 2000, San Juan,
Puerto Rico, pp. 855–858.
CHAPTER 4

Design and Fabrication of BAW Devices


Robert Aigner and Lueder Elbrecht

4.1 Design Considerations for BAW Devices

The design methods for impedance-element-based filters have a long tradition. The
theory is covered in Chapter 2. The most significant performance parameters of the
resonators constituting filters are described in the section below. The degrees of
freedom in designing a BAW filter are significantly less than in SAW because fre-
quency is determined by the layer stack rather than by lithography. Typically only
the two discrete frequencies of series and shunt resonators are available in a BAW
process, leaving impedance of each individual resonator as the main design instru-
ment. Practical filter design is normally accomplished using behavior-based com-
pact models of resonators which have a size scaling for performance parameters
built in. The designer’s main choice is the filter topology and the number of filter
stages. A fast circuit simulator with properly set up goal functions will quickly con-
verge to a very satisfactory result for most filters. BAW filter design becomes very
simple once a consistent resonator performance has been established. In this
section, we will first discuss some fundamental design considerations for BAW
devices.

4.1.1 Electromechanical Coupling Coefficient


2
Electromechanical coupling coefficient k eff (as defined in Chapter 3) is a parameter
of exceptional importance for the design of BAW components. The width of the fil-
2
ter passband required for a certain product defines a lower limit for k eff . Insufficient
coupling will force the filter designer to use excessive inductance in the ground path
of shunt resonators which will harm attenuation in the stopband region tremen-
dously. Unfortunately, ladder filters are unforgiving in terms of coupling. For a PCS
transmit filter which requires a bandwidth of 60 MHz at 1,880-MHz center fre-
2
quency the minimum acceptable value for k eff is 6.3%, but this will leave no manu-
facturing margins at all. For a high yielding part the goal is to have k eff2
= 6.45% or
higher.

91
92 Design and Fabrication of BAW Devices

4.1.2 Quality Factor


Another important performance parameter is the quality factor Q of the resonator
(as defined in Chapters 2 and 5). As superior Q-values are the main selling point for
BAW-FBAR over SAW technology, it is extremely important to keep pushing the
performance envelope. A first step is to know which type of the loss defines the limit
in a certain case. No general answer can be given but the usual suspects are

• Electrical resistance in electrodes and interconnects;


• Acoustic leakage in vertical and lateral direction;
• Substrate losses (parasitic conductivity);
• Viscous losses (propagation losses) in the layers with high stress and strain;
• Losses related to surface contamination at the air interfaces.

A lot of progress has been made over the past 5 years to improve the Q-values
from less than 1,000 to around 2,500. The dominant loss mechanism in BAW-SMRs
used to be leakage of shear wave components through the reflector, which was dis-
covered and fixed in 2002 [1]. After introducing a reflector stack which reflects both
longitudinal and shear wavers the Q-values increased from around 700 up to 1,500.
A conclusive theory about the true limit of quality factors beyond this point is not
available to date. Q-values up to 2,700 have been reported [2]. In order to be able to
take full advantage of improved resonator Q-values it is important to reduce losses
due to electrical resistance in electrodes and interconnects significantly.

4.1.3 Spurious Modes


Spurious modes refer to narrowband effects causing the impedance and phase of a
resonator to deviate from a 1D Mason model or a BVD model around the main reso-
nance. Spurious modes can harm filter performance significantly, and in particular
in filters with tight amplitude ripple and group delay ripple specifications.
In the case when spurious modes appear as sharp indents (or even an additional
loop) in a Smith chart of a resonator most likely lateral standing waves are responsi-
ble for the effect. While those cases appear to be the most severe they are often easy
to resolve by proper termination of the resonator edge regions (see Chapters 3 and
5). Spurious mode suppression does not only help the smoothness of the filter
curves, it will also reduce filter losses as the optimum Q-values coincide with the
point of best spurious mode suppression.
In other cases the spurious modes are not so obvious, this is when they go along
with significant energy losses and are smeared out over a wide-frequency range. In
such cases the overall Q-value of the resonator is usually poor. Spurious modes are a
dangerous trap for BAW developers. They start showing up as soon as a threshold in
Q-value of around 600 has been obtained and typically force the developer to start
over with layer stack design.
4.1 Design Considerations for BAW Devices 93

4.1.4 Power Handling


FBAR/BAW devices endure higher power levels better than SAWs mainly because
the electrical currents distribute more evenly. There are no narrow IDT fingers like
in SAW which are prone to electromigration damage. Even though the minimum
feature size of BAW is much larger, the current densities can be enormous. For a
BAW at 32-dB transmit power at the upper-passband skirt (worst-case scenario) the
following observation has been made: Depending on the electrode materials used,
the combined effect of current density and mechanical stress will cause the electrode
material to migrate and form rough regions on the resonator surface. The losses of
that resonator will increase and so will the temperature of the resonator. As migra-
tion effects follow an Arrhenius–type law with temperature, the damage accelerates
and the resonator will self-destroy within minutes.
The power handling of BAWs is a strong function of the ambient temperature as
suggested by the Arrhenius law. It is very important to keep the filter chip as cold as
possible; therefore it is necessary to provide a good heat sink. Using a reflector stack
which includes metal layers is a significant advantage over dielectric reflector stacks
or FBARs.
Another key to excellent power handling is to improve the electro- and
stress-migration properties of the weakest material involved. Unfortunately, alumi-
num (which is an excellent electrical conductor) is not as good as denser metals like
molybdenum or copper. Significant material research is in progress to find an opti-
mum solution for BAW.
The power-handling characteristics of a process should be determined in terms
of maximum transmitted and dissipated power per area. The designer of a duplexer
needs to keep those numbers in mind and design the filter stages such that those lim-
its are not exceeded in any resonator. If required, the power density of a certain res-
onator can be lowered by cascading two resonators. Two resonators which are
electrically connected in series behave very similar to a single resonator of half the
area of one of the two cascaded resonators. As a consequence the power density is
reduced by a factor of four. Improvements in power handling can therefore be
achieved on cost of chip size.

4.1.5 Temperature Coefficient of Frequency


FBARs are slightly better in terms of temperature drift than conventional SAWs, but
not by much. SAWs, based on LiTaO3, have a typical temperature coefficient of fre-
quency (TCF) of −42 ppm/K, while FBARs can achieve around −30 ppm/K on aver-
age. BAW-SMRs on the other hand utilize the inverted temperature behavior of
(amorphous) SiO2 to obtain partial compensation of the temperature drift of the
other materials. The Young’s modulus of SiO2 increases as temperature goes up [3].
In addition to that, the thermal expansion coefficient is very small. A BAW-SMR
with a properly designed layer stack will be in a range of −16 to −19 ppm/K. In the
most demanding duplexer applications, a low TCF is extremely important as it
allows achieving spec-compliance over a wider range of temperatures. Moreover, a
low TCF helps to avoid thermal runaway (a situation where self-heating shifts the
filter down and losses at the upper-passband edge increase which in turn enhances
self-heating, and so on) [4].
94 Design and Fabrication of BAW Devices

It is possible to further improve TCF in SMRs by increasing the SiO2 content and
by moving the SiO2 closer to the high-stress regions in the stack. SMRs with essen-
tially zero TCF can be achieved using the methods described in Chapter 3. All of
these approaches harm k2eff massively and can only be used for filters and resonators
with small fractional bandwidth.

4.1.6 Area Efficiency


At a given frequency and filter topology the size of a BAW-FBAR implementation
can vary significantly depending on layer stack and applicable design rules. The
most important factors determining area efficiency are:

• The thickness of the piezolayer at a given frequency. For each electrode mate-
rial a certain electrode thickness will yield the best performance in k2eff and Q.
Depending on the acoustic impedance of the electrode material the piezolayer
will vary in thickness. A thinner piezolayer is normally desirable as it reduces
the size of the resonators and filter chips. At very high frequencies it can be a
disadvantage to reduce resonator size any further.
• Dead area between resonators. Depending on the design rules there will be a
minimum spacing between adjacent resonators. This is defined by processing
limitations for the edges of resonators both on FBAR and on BAW-SMR. In
BAW-SMR with patterned reflectors it is important to be able to use minimum
over-sizing of the reflector relative to the bottom electrode. It is also helpful to
be able to process resonators in which bottom and top electrode have essen-
tially the same size.
• Area consumed by interconnects and packaging. A significant percentage of
the actual chip area is consumed by bond-pads, seal-rings, and interconnects
between the filter and the output terminals. Often the percentage of active res-
onator area will be smaller than 50%. The method chosen for packag-
ing/assembly can make a significant difference. While resonators shrink in
area according to 1/ f 2 the chip area will not reflect this shrink (because the
area wasted to fulfill package design rules does not change).

4.1.7 Interconnect Losses and Parasitics


Along the same lines as in area efficiency, the design rules also have an impact on
actual filter performance. If design rules require resonators to have a large spacing
between each other, the resistance of the leads between those resonators will
increase significantly. Moreover the added lead area will increase the parasitic
capacity of interconnects and hence the effective coupling of the resonators will
decrease. More importantly in a BAW-SMR with patterned reflector the region
where the top electrode lead crosses over the extended reflector area creates a signifi-
cant parasitic capacitance which lowers the k2eff of this particular resonator.
The current path in the leads and electrodes of a filter can cause significant cur-
rent crowding effects. It can be shown that increasing the width of a certain trace
will not always have the desired effect of lowering the electrical series resistance
because very little current will flow in the added metal area.
4.1 Design Considerations for BAW Devices 95

Another consideration is the current density distribution in the thickness direc-


tion. The relative angle of the current density vectors in the top electrode and bot-
tom electrode will determine if the current density is uniform throughout each
electrode layer. If currents flow in opposite direction in top and bottom electrodes
the current will be pulled towards the piezolayer by magnetic interaction. In a case
where a less conductive layer is used facing the piezolayer, the electrode resistance
will increase significantly. For this reason the resistive effects of the electrodes can
vary significantly as a function of feed-positions for top and bottom electrode.

4.1.8 Robustness
Robustness has a number of aspects relevant to both manufacturing and reliability.
It can be categorized into the following areas:

• Mechanical robustness. Certain processing steps such as spin coating, clean


processes, handling, testing, and sawing exert significant stress for structures
on the wafer surface. BAW-SMR is inherently more robust than FBAR as
there are no structures that can be mechanically damaged. No special precau-
tions have to be taken anywhere in processing or assembly.
• Environmental robustness. BAW and FBAR devices need to pass the same
qualification and test procedures as the semiconductor component they are
connected to. Typical consumer products require passing tests in accelerated
humidity and temperature conditions. In presence of humidity, the metal in
the electrodes will corrode quickly, causing frequency shift and massive deg-
radation of Q-values. Several options exist to prevent the actual device from
degrading in these severe conditions. Using the classical SAW-filter package
which features a hermetic cavity is one solution. Alternatively, a hermetic seal
can be created on wafer-level using a WLP approach with wafer bonding [5].
Finally, for BAW-SMR, the device surface by itself can be passivated in the
same way this is done for semiconductor devices. The complication for
BAW-SMR is that the passivation layer is part of the acoustic stack and it can
therefore not be made as thick as in IC processes. As soon as robustness with
regard to humidity is established on a wafer level the creation of an air-cavity
can be done in a fairly cheap approach using polymers [6].
• Electrostatic discharge (ESD). Both BAW and FBAR devices can achieve
excellent robustness with regard to ESD. More than +1-kV ESD HBM
(human body model) robustness for a 2-GHz device can be achieved when the
process is done well. AlN has a very favorable behavior with regard to electri-
cal breakthrough. High-quality films will show well above 3.0 ⋅ 10 V/m
9

breakthrough strength. ESD damage usually occurs at the edges of a resona-


tor, in particular at spots where AlN growth is imperfect. Any steps that exist
directly before the deposition of the piezolayer will potentially create a weak
spot. Most critical are the spots where a top electrode lead crosses such a spot.
ESD robustness can be enhanced by proper filter design, such as using cas-
caded series resonators at input and output to reduce the peak voltage across
each resonator.
96 Design and Fabrication of BAW Devices

4.1.9 Nonlinearities
The discovery of nonlinear behavior in BAW and FBAR was reported in 2005 [4],
after the first problems with BAW-FBAR duplexers had surfaced. While classical
cell phone systems had no stringent requirements on linearity this has changed in the
third generation of cell phones using WCDMA. Intermodulation of transmit signals
with interference signals is a major concern in duplexers for WCDMA.
It has long been known that solids exhibit nonlinear stress-strain relationships at
high-stress levels [7]. The binding forces of the atoms in a lattice are a strongly non-
linear function of distance. This effect is described by the third-order elastic con-
stants of a material. In addition to that, the piezoelectric constants change as the
crystal deforms. It should not come as a total surprise that the elastic constants of a
material are modulated by strain generated by large voltage swings at high
RF-power levels or by bias voltages. A complete theory about the nonlinear behav-
ior of AlN-based BAW resonators has not yet been published but a few practical
tricks exist on how to circumvent the problem.
Once more the cascading of two double-sized resonators to replace one resona-
tor in a filter works as it decreases the voltage swing across each resonator by a fac-
tor of two. However, this is not possible for all resonators in a filter as it would
increase the size of a BAW by a factor of four. Other tricks involve proper termina-
tion of harmonics before they can create intermodulation issues.
The simplest way to characterize the nonlinearities of a BAW filter is to apply a
pure sine wave fo at the input and use a spectrum analyzer at the output to search for
harmonics at 2fo and 3fo. A more advanced approach is to apply two tones to the
input and analyze the mixing products. For modeling purposes, the characterization
of nonlinear behavior should focus on resonator measurements. There are two sim-
ple measurements which are well suited to determine nonlinear parameters:

1. Reflected spectrum measurement: One single resonator is connected to an


RF source using a directional coupler. The test is performed at frequencies
close to the acoustic main resonance with different power levels. The
incoming energy provides excitation for the resonators while the reflected
energy is fed into a spectrum analyzer. From the harmonics at 2fo and 3fo the
IIP2 and IIP3 of a resonator can directly be calculated.
2. Frequency shift by DC bias: The second measurement requires a
conventional network analyzer and a (high-voltage) bias T. The S11 of a
single resonator is measured in presence of a DC bias voltage across the
resonators. The shift of resonance frequency as a function of voltage is
caused by stiffening of the piezolayer under bias stress. In equivalence to
temperature coefficient TCF we introduce the term “VCF” as a parameter
which describes the relative frequency shift in ppm for 1V DC bias.

Once measurement data has been collected for resonators of different size it is
relatively simple to establish a nonlinear BVD model for a BAW resonator by mak-
ing capacitance voltage dependent, and the mutual inductance current dependent
using a polynomial coefficients. Those components are fairly common in device
modeling for active component. Instead of small-signal linear simulation the filter
must be simulated using a harmonic balance simulator.
4.2 Fabrication of BAW Devices 97

4.2 Fabrication of BAW Devices

It is remarkable that BAW or FBAR used to be a topic of intense R&D, mostly in


companies not being main players in surface acoustic wave filters. The schematic
cross-section of an FBAR or BAW-SMR device looks very similar to thin-film
capacitors or micromachined pressure sensors; things the semiconductor industry
has been doing for two decades. So it is no surprise that semiconductor companies
have been the first to successfully demonstrate the mass-manufacturing of BAW
devices [8, 9].
There are several aspects why BAW manufacturing in a semiconductor fab can
make sense:

• The tools and processes available today for semiconductor device manufac-
turing have reached a very high level in both process quality (e.g., film thick-
ness uniformity and stability) as well as process cost (e.g., throughput, mean
time between failure).
• The couse of the same equipment for other products besides BAW typically
enables lower manufacturing cost due to optimized utilization and shared
depreciation.
• A third motivation could be the option for monolithic integration of micro-
electronics and bulk acoustic wave devices. This topic is discussed separately
in Chapter 9.

4.2.1 Material Selection


The selection of the “right materials” is one of the key elements for fabrication of
high-performance BAW devices. “Right” in this case means the optimum selection
with regards to electrical properties (e.g., sheet resistance), acoustic properties (e.g.,
acoustic impedance, material quality factor, temperature behavior, roughness) and
other properties (e.g., thermal conductivity, moisture stability). Beside these funda-
mental material properties, manufacturability (e.g., homogeneity and repeatability
of film properties) also is an important selection criterion. We will discuss the mate-
rials most commonly used in BAW manufacturing in this section.
The acoustic impedance can be calculated from the product of acoustic velocity
and mass density (Section 3.2). Figure 4.1 illustrates these two material properties
for some typical semiconductor device materials and some other materials for com-
parison. It should be noted that not all of the shown materials have high acoustic
quality factor, especially the “soft” materials with low acoustic velocity typically
have also low Q.

Metals
For electrode layers the thickness is typically much less than the skin depth at the
relevant frequency. As a consequence the electrode resistance is higher than desired.
BAW people are facing the dilemma that good acoustic materials are usually lousy
conductors while the best conductors have excessive material damping and would
create acoustic losses.
98 Design and Fabrication of BAW Devices

Figure 4.1 Acoustic velocity, density, and acoustic impedance (size of the bullets) for various
materials. Materials with thick lines are most common materials in integrated circuit fabrication.

• Aluminum (Al) is typically used in form of aluminum alloys as AlCu, AlSiCu


with electrical and mechanical properties very close to pure Al. It has a favor-
able electrical conductivity (see Chapter 7). However, due to its low acoustic
impedance and the subsequently lower achievable bandwidth compared to
high acoustic impedance materials such as tungsten and molybdenum, Al is
not commonly used as electrode material for BAW devices.
• Tungsten (W) in contrast to Al has a very high acoustic impedance and is
therefore an excellent candidate as part of an acoustic mirror in a SMR-type
BAW resonator [1, 10], and as an electrode material (see also Chapter 7). In
semiconductor device manufacturing, tungsten is mainly used as via fill in
between metal layers. The respective processes (typically CVD deposition) are
therefore optimized for good step coverage whereas for a BAW device, optimi-
zation for thickness uniformity and residual stress is of higher importance.
• Copper (Cu) has approximately 1.5 times better conductivity compared to
aluminum and is therefore increasingly used for interconnect layers. However,
process complexity and process cost are significantly higher than for Al. It
requires diffusion barrier layers and equipment dedication to prevent transis-
tor device contamination by copper diffusion into the silicon. The acoustic
impedance of Cu is very similar and acoustic Q-value is even worse than alu-
minum, which makes it also an unfavorable material for BAW electrodes.
• Titanium (Ti) and Titanium nitride (TiN) are common diffusion barriers and
liners for CVD-W layers in IC manufacturing. As Ti has higher resistivity than
Al but similar acoustic impedance, it is typically not used in a BAW device for
other purposes than these two.
4.2 Fabrication of BAW Devices 99

• Tantalum (Ta) is used pure or in form as nitride, silicide, or oxide in semicon-


ductor device manufacturing. Typically, these layers are used as thin diffusion
barrier layers (e.g,. for Cu interconnects). Tantalumpentoxide is a high-k
dielectric and has been used as part of an acoustic mirror in a SMR-type BAW
[11].
• Molybdenum (Mo) has an electrical conductivity similar to tungsten, but a
slightly lower acoustic impedance. It is therefore also commonly used as elec-
trode material for BAW resonators. It is a reasonable compromise for FBAR
2
but for BAW-SMR it will increases resonator size and will lower the k eff as
compared to a tungsten electrode, in particular when used as a bottom elec-
trode.
• Potential alternatives for electrode materials have been proposed which
include iridium [12] and ruthenium [13]. Those materials may be difficult to
introduce but they could allow improving electrode resistance somewhat. Lit-
tle data is available about research on metal alloys showing improved resis-
tance and acoustical parameters but this is a field of great potential.

Semiconductors and Dielectrics

• Silicon (Si) as the most important material of integrated circuits is typically


not an essential part of BAW devices. It can be used for micromachining thin
membranes either by bulk or surface micromachining [14, 15]; otherwise, it
serves just as a carrier substrate for SMR-type BAW devices. In the latter case,
high-resistive (undoped) silicon should be used in order to minimize electrical
losses. Compared to other substrates it has some proven advantages: Beside
cost and availability aspects, silicon is mechanically very robust which eases
handling during processing and assembly. Singulation of silicon wafers to
individual dies also is a process available at every semiconductor device
manufacturer.
• Silicon oxide (SiO2) actually has multiple interesting properties for BAW
devices: It has low acoustic impedance, which makes it a good candidate to be
part of an acoustic mirror in SMR-type BAW devices. Also, its temperature
behavior is inverted to most other layers [3]: With increasing temperature,
SiO2 exhibits an increase of Young’s modulus together with a rather small
thermal expansion, which leads to an overall decrease in acoustic delay. It can
therefore be used to reduce (or even fully compensate) the temperature
dependence of BAW devices.
• Silicon nitride (SiN) has a similar mass density but higher acoustic velocity
than SiO2. However, the difference in acoustic impedance is not large enough
to build good acoustic reflectors from a SiN/SiO2 multilayer stack. For mem-
brane-type resonators, SiN layers are sometimes used as supporting mem-
brane [16]. Additionally, like in semiconductor manufacturing, SiN can be
used as a passivation layer.
• Another promising material under evaluation is silicon-oxy-carbide (SiOC,
also called silicon carb-oxide). SiOC is a popular material in CMOS where it
is used as “low-k” intermetal dielectric to reduce capacitive parasitics. SiOC
100 Design and Fabrication of BAW Devices

can be deposited by fairly conventional PE-CVD tools. The tricky thing about
SiOC is that its material parameters can vary significantly and are more diffi-
cult to control than for SiO2. Acoustic losses in SiOC seem to be significantly
higher than in SiO2. A concern is also that SiOC is mechanically less robust
and it can introduce roughness which could harm the quality of subsequent
layers.
• Another group of materials with very low acoustic impedance are polymers.
Polymers with sufficient temperature stability exist and are under evaluation
as acoustic layers. Typically, these polymers are spin coated, baked, and cured
at elevated temperatures in order to cross-link all active chains. Polymers
exhibit strong material damping and are not well suited to be placed into
regions with high mechanical energy density but they can be a good solution
for reflector layers deep down in the stack. Another consideration when using
polymers is that the acoustic velocity is very low, and as a consequence the lay-
ers need to be very thin. Many of the other layers in BAW exhibit large stress
which means that the polymer needs to have excellent adhesion to layers
below and above or else delamination will be an issue.

Piezoelectric Layers

• From the material perspective, aluminum nitride is the preferred piezo mate-
rial for integration in a semiconductor fab environment. As discussed in Chap-
ter 7, it can be deposited with high quality on W, Mo, and Al electrodes. It has
been so far the only piezoelectric film material that has proven
manufacturability in terms of stable and reproducible film quality in high-vol-
ume production [5, 17]. There are no contamination concerns. As a positive
side effect, AlN is an excellent heat conductor, which helps to improve device
reliability at high power levels.

Other Processes Besides Film Deposition

• The lithography requirements for BAW devices are less demanding than for
most semiconductor devices. The minimum feature size is typically much
larger than 1 μm; however, overlay accuracy may be critical in some cases to
minimize appearance of unwanted acoustic side-modes. Therefore, the use of
a step and repeat system (which costs multiple million dollars each) is pre-
ferred over contact lithography systems.
• Etching processes for BAW need to be developed such that overetch into criti-
cal layers is minimized. Regardless if wet or dry etching is used it is important
to choose etch processes which are either highly selective or allow use of
end-point detection systems in modern dry-etch tools. Very often additional
layers have to be introduced to achieve a robust etch stop. It is also important
to be cautious with chemical cleaning processes which are very common after
any kind of dry-etch to remove residues created at the sidewalls of the etch
profiles and photoresist. In many cases the cleaning procedures will show
more metal removal than can be tolerated.
4.2 Fabrication of BAW Devices 101

• As discussed in Chapter 7, chemical mechanical polishing (CMP) to improve


surface smoothness and planarity can have positive impact on BAW device
performance. CMP processes for polishing tungsten and SiO2 are extensively
used in microelectronics fabrication to minimize surface topology. When
using these CMP processes for BAW fabrication, particular attention should
be given to the prevention of dishing effects in the active resonator stack, as
any nonuniformity over the resonator area would degrade the quality of
acoustic resonance.

4.2.2 Fabrication of SMR Resonators and Filters


In this section we will briefly describe a typical process flow for SMR-type resona-
tors and filters. Starting with a high-resistive substrate, first the mirror is fabricated
by deposition of alternating low and high acoustic impedance layers. In the case that
metallic layers are used in the acoustic mirror, those have to be patterned in order to
minimize parasitic coupling in between adjacent resonators. The construction of
such a mirror can either be mesa type [as shown in Figure 4.2(a)] or—for example,
by using CMP processes—fully planarized [see Figure 4.2(b)].
Following to the fabrication of the acoustic mirror, the two most critical layers
in BAW manufacturing are deposited and patterned: the bottom electrode and the
piezolayer. The challenges regarding the bottom electrode are manifold: First, the
film microstructure (e.g., roughness) may have significant impact on the quality of
the piezolayer deposited on it (see also Chapter 7). Second, the topology before the
deposition of the piezolayer should be minimized as piezolayer growth can be dis-
turbed in a way that a fold develops [as indicated in Figure 4.3(a)], which can nega-
tively affect the device performance (e.g., ESD robustness, as discussed in Section
4.1.8). The challenges of depositing high-quality piezolayers are discussed in
Chapter 7.
In the case that an electrical connection of bottom and top electrode is needed
(which is the case for a single resonator, but not mandatory for all filter designs), the
piezolayer has to be patterned as well. Subsequently, the top electrode can be depos-
ited and patterned. Figure 4.4 shows the cross-section of a single resonator after
completion of these process steps.
The figures do not include the “detuning layer,” which is needed in a filter
device to put the shunt resonators to a lower frequency than the series resonators.
This layer is typically placed either below the bottom electrode or on top of the top
electrode. Also not included is the patterning of border rings at the edge of the reso-

(a) (b)
Figure 4.2 Cross-section of an acoustic mirror having two metallic layers (e.g., W) with high
acoustic impedance and three dielectric layers (e.g., SiO2) having low acoustic impedance. (a)
Mesa configuration, and (b) planarized configuration.
102 Design and Fabrication of BAW Devices

(a) (b)
Figure 4.3 Cross-section of a SMR-type resonator after processing of the bottom electrode and
deposition of the piezolayer. (a) Mesa configuration without bottom electrode planarization, and
(b) planarized configuration.

(a) (b)
Figure 4.4 Cross-section of a SMR-type resonator after processing of the top electrode. (a) Mesa
configuration without bottom electrode planarization, and (b) planarized configuration.

nators in order to suppress unwanted acoustic modes (see Section 3.3.3). Other lay-
ers that may be used are passivation layers on top of the upper electrode as well as
additional metallization layers for pads or interconnects.

4.2.3 Fabrication Tolerances and Trimming


One of the major yield loss contributors in BAW manufacturing is frequency posi-
tion of the devices. As an example, for a PCS RX band filter at 1.96 GHz, the fre-
quency position must be met by better than 0.1% (see Figure 4.5).
Already 20 MHz below the lowest passband frequency, the filter has to provide
high attenuation in the associated TX band. Adding ∼3 MHz of margin to all specifi-
cations to accommodate for temperature drift, a filter with a typical roll-off steep-
ness of ∼10 MHz between passband (e.g., 3 dB) and stopband (e.g., 50 dB) has only
± 2MHz of space left for fabrication tolerance.
The frequency position of BAW filter is determined by thicknesses of all “acous-
tically active” layers (piezolayer, electrodes). Assuming constant acoustic velocity
and density, the thickness accuracy and uniformity requirements of these layers also
have to be in the same order of 0.1%. This is actually two orders of magnitude more
demanding of what is typically needed in semiconductor device manufacturing: Inte-
grated circuit processes typically allow for ±10% maximum variation in film thick-
ness for metal or dielectric layers with a typical 1σ variation of 2% (this corresponds
to a process capability index cpk of 1.7).
4.2 Fabrication of BAW Devices 103

Filter roll-off
Temperature margin stopband Temperature margin passband

Transmission
Manufacturing
tolerance

Frequency
Figure 4.5 Illustration of margins for a PCS band RX filter.

As illustrated by Figure 4.6, this would mean that just by using deposition pro-
cesses with film thickness accuracy as typically used for semiconductor device fabri-
cation, the BAW device yield would be 5% best case, typically much lower.
Even if the deposition processes are improved to a level of 1σ = 0.5%, the maxi-
mum yield would be limited to less than 20% best case. So in order to achieve a rea-
sonable BAW yield, there is no way around some kind of trimming, which
compensates film thickness variations (and acoustic velocity or density variations)
by either local etching or deposition.
Figure 4.7 shows an example for a local frequency distribution over a single
wafer and Figure 4.8 shows a typical histogram for the frequency distribution.
Some of the characteristics shown in this plot are typical for a layer stack depos-
ited in a semiconductor fab:

• The frequency pattern has significant rotation symmetric components as the


majority of deposition equipment has rotation symmetric reaction chamber
geometry.

1850 1900 1950 2000 2050 2100 2150

Figure 4.6 Frequency distribution for a 2-GHz BAW product assuming a 1 = 2% film thickness
accuracy. The two vertical lines in the center of the figure indicate the 4 MHz target window.
104 Design and Fabrication of BAW Devices

Figure 4.7 Example plot of frequency variation on a single wafer after deposition of all BAW lay-
ers. The small dots indicate the sample positions.

0.8

0.7

0.6

0.5

0.4

0.3

0.2

0.1

0
1800 1850 1900 1950
f S /MHz
Figure 4.8 Histogram of frequency on a single untrimmed BAW wafer.

• Highest gradients in frequency are generated at the wafer edge. The layer
thickness of all layers typically gets very thin due to geometrical conditions
during deposition (e.g., clamp-rings or field discontinuities at the wafer edge).
• Compared to the die size of ∼1 mm, the frequency variations are rather
long-range effects. It is not necessary to trim with single-die-accuracy (trim-
ming of each individual die would be very expensive).

Ion milling has a long tradition in trimming quartz crystals and enables achiev-
ing a tight frequency tolerance. In this process argon is ionized and accelerated in an
electric field to an energy of 400 to 1,500 eV. The Ar+ ions hit the surface and knock
out material from it, an effect that resembles sandblasting, but on the atomic level.
The ion milling process requires components to be in a vacuum chamber. Pure phys-
ical etching with ion bombardment is applicable to all kinds of thin films, even mate-
4.2 Fabrication of BAW Devices 105

rials which are hard to etch with dry chemistry (Cu) or chemically inert (Pt, Au). In
principle, such a process would work for singulated BAW devices, but it would take
an excessive amount of time to handle and trim the multiple thousands of chips that
fit individually on a wafer. This approach disqualifies ion milling from consider-
ation as a tool for volume production.
A process suitable to compensate frequency nonuniformities, as described
above, is local etching by a scanned ion beam [18, 19]. The basic principle of this
trimming method is illustrated in Figures 4.9–4.12. A narrow ion beam with a
Gaussian intensity profile is scanned along the surface with controlled speed and
will remove the desired amount of material at each location. In order to utilize this
concept for semiconductor processing the tool must be fully automated with a
robotic handling system and a high-performance x-y scanning table carrying a
cooled wafer chuck. The ion beam source must include a neutralizer to avoid charge
accumulation at the wafer surface. The full width at half maximum (FWHM) of the
Gaussian beam is in a range of 10 to 15 mm. The scan pattern is usually a meander
with a line-to-line distance of 2 to 6 mm. The ion beam diameter is small enough to
correct the thickness gradients which typically occur on a length scale of a few milli-
meters, but it is much larger then one BAW device. The trimming process works
“region by region” rather then “device by device” (as in laser trimming), which is an
important advantage for throughput.
The local removal rate is controlled by the time the ion beam stays at certain
positions on the wafer. The removal profile for each wafer is then described by a
velocity profile which is calculated specifically for each wafer for a known etch pro-
file of the ion beam.
In a relatively uniform layer with a smooth profile exhibiting small local gradi-
ents, the error map and the residence time map look virtually identical. The only
limitation for such a case is the maximum velocity at which the scanning system can
travel because it determines the minimum removal. The situation changes dramati-
cally for error maps with strong gradients. The deconvolution roughens the velocity
map severely. The accelerations required to accommodate the resulting velocity
profile can be brutal, in fact a narrow beam is useless if the acceleration limits the
ability to make steep gradients. For a given etch rate and beam size the maximum

Ion beam

Scanning path

Figure 4.9 Principle of local trimming by a scanned ion beam.


106 Design and Fabrication of BAW Devices

Figure 4.10 Test profile for localized processing. Minimum removal is 5 nm, maximum removal
is 45 nm. Minima and Maxima occur at 20-mm distance from each other. This profile covers beam
size, acceleration of scanning system, maximum velocity of scanning system, and errors in beam
center position.

scan velocity and acceleration determines whether a certain thickness error can be
corrected at all. The velocity limitation can be circumvented by lowering the etch
rate or by adding more offset to the deposited thickness and then remove more mate-
rial. In both cases the throughput of the system will plunge. The other thing to keep
in mind is that the method relies on a stable etch rate and beam shape. Any error in
etch rate or beam shape will compromise the results, even more so if more material
has to be removed.
Depending on accuracy requirements and throughput considerations one layer
(typically the uppermost passivation layer) or multiple layers can be trimmed.
As mentioned before, ion beam etching is able to affect virtually every material.
However, some prominent materials used in integrated circuit production (such as
aluminum) are more difficult to deal with than others. Like most metals (with the
exception of the noble metals), aluminum has a tendency to grow a native oxide
layer when exposed to air, the thickness of which depends on exposure time, humid-
ity, and temperature. Unfortunately the ion beam etch rates of Al2O3 are a factor five
times lower than those of pure aluminum. As a consequence, when etching Al and
AlCu alloy thin films the removal is initially slow but speeds up tremendously when
the native oxide is gone. This problem makes it very difficult to obtain accurate
removal. In contrast to aluminum, the thickness correction in tungsten and molyb-
denum works very well despite the existence of native oxide on those metals. This is
because the removal rates of the native oxides match those of the bulk metal very
well. Dielectric layers do not exhibit this problem at all. It is therefore beneficial to
4.2 Fabrication of BAW Devices 107

Figure 4.11 Deviation of measured removal from planned removal (clusters of dots), lines indi-
cate ±4-nm error limits.

Figure 4.12 Histogram of thickness error after processing for the profile shown in Figure 4.10.

have a thin SiN (silicon nitride SixNy) layer on top of the final resonator just for the
purpose of accurate trimming. The sensitivity of a SiN layer at the top of the struc-
ture is small. On the positive side this enables very accurate trimming, but on the
negative side the trimming range is very limited.
108 Design and Fabrication of BAW Devices

Ion beam etching is compatible with conventional photo-resist on wafers used in


acoustic wave device manufacture. This makes it possible to do lithography-defined
trimming of certain groups of BAW resonators, which is very important for band-
width adjustments in these devices.
For the generation of the removal profiles, map data of frequency and film
thickness has to be available for each wafer. Even though the general concept of
feed-forward control of manufacturing processes (“run-to-run control”) is actually
implemented in many semiconductor fabs, the amount of data collected during
BAW manufacturing to enable accurate trimming is typically much higher. For
cost-effective operation, fully automated data collection and trimming for each
single wafer is required.

4.2.4 Process Controls


One apparent difference between BAW and semiconductor device processing is the
difference in yield loss mechanisms. Whereas in integrated circuit manufacturing
constancy in lateral dimensions and defect density are most relevant yield contribu-
tors, BAW yield is mainly defined by vertical dimensions (film thickness), and prop-
erties related to the acoustic resonance (coupling coefficient of piezolayer, acoustic
quality factors).
The methods of film thickness characterization are reviewed in Chapter 8. The
selection of the right test method may in some cases be different for semiconductor
and BAW devices due to the different nature of relevant material parameters (e.g.,
“electrical thickness” for integrated circuits versus “acoustic thickness” for BAW
resonators).
While thickness uniformity, as it concerns frequency tolerance is somewhat
overemphasized, the severity of the other aspects is often underestimated. At this
point it is worth mentioning that many of the methods used to measure and map
thickness are indirect and need very careful calibration. For transparent layers the
standard tool is an optical spectrometer or ellipsometer. It measures thickness very
accurately if refractive index and the optical properties of the layers throughout the
stack are well known. However a change in “optical length” is not necessarily
related to acoustical length.
Other parameters like resulting frequency position of shunt and series resona-
tor, piezoelectric coupling, as well as quality factor of the acoustic resonances are
ideally monitored with respective single resonator test structures (see Section 8.5).
This data can be handled similar to the process control monitor (PCM) test struc-
tures in semiconductor manufacturing.

4.3 Application Space for BAW-FBAR Technology

4.3.1 RF Filters and Duplexers


Wireless broadband communication has gained tremendous popularity. However,
allocated frequency spectrum is limited, and the most favorable frequencies are
occupied by cell phone bands, by governmental agencies, or by unlicensed bands
with restricted transmission range. Whenever new applications are conceived they
4.3 Application Space for BAW-FBAR Technology 109

are forced to deploy relying on less favorable frequency bands that have noisy or
“oversensitive” neighbors—sometimes both. The commercial viability of these new
bands depends at least in part on the equipment makers’ ability to solve neighbor-
hood problems. RF filters play a key role in minimizing interference between sys-
tems operating in different bands. The selectivity of the RF filter determines how big
a portion of the total bandwidth will be used—“wasted” in a real sense—for guard
bands. The selectivity—respectively, the steepness of the filter skirts—is closely
related to inherent losses in the reactance elements of an RF filter. Practical RF fil-
ters also show a shift of center frequency as a function of temperature, which
complicates the design process.
RF filters traditionally used for cell phone applications based on SAW technol-
ogy. The selectivity of SAW filters is good for a band at 1 GHz but degrades when
the band is located closer to the upper limit of 2.5 GHz. Temperature drift is also a
concern. Before the advent of BAW-FBAR the only available solutions for broad-
band communication systems above 2 GHz were dielectric filters, waveguide filters,
and LC filters. Dielectric and waveguide filters are based on electromagnetic waves
and/or integrated inductor and capacitor combinations. In “pure” electrical LC fil-
ters the major losses are related to ohmic resistance, skin effect, and eddy currents in
the metal conductors. Another issue related to overall usefulness is the quality of
inductors, which is generally below 50 in the frequency range above 2 GHz, making
it impossible to provide the required selectivity. Filters based on wave phenomena
show significantly less losses than filters based on lumped LC elements.

"The Rediscovery of Slowness”


In a fast-paced industry, it is counterintuitive to settle for something less than maxi-
mum speed. However, for RF filters based on wave phenomena it is a huge advan-
tage to use waves with a slow velocity. Wavelength is proportional to velocity and
inversely proportional to frequency. The only alternative to filters employing elec-
tromagnetic waves are those using acoustic waves in solid materials—the founda-
tion of acoustic filter design.

Figure 4.13 Illustration of RF filter performance characteristics.


110 Design and Fabrication of BAW Devices

Table 4.1 Comparison of Wave Velocity


Wavelength
Wave Velocity at 2 GHz
Electromagnetic wave in air 300 million m/s 150 mm
Electromagnetic wave in 30 million m/s 15 mm
dielectric material εrel = 100
Acoustic wave in solid material 4,000 m/s to 12,000 m/s 2 μm to 6 μm

The volume of a material comprising the physical structure of a SAW or BAW


filter required to confine an acoustic wave is approximately a factor of 105 smaller
than for an electromagnetic wave (Table 4.1). Acoustic waves store and carry energy
very efficiently and with extremely low losses. As a result acoustic filters can be tiny
in size and exhibit very low losses.

Surface Acoustic Wave and Bulk Acoustic Wave Filters


SAW filters are the dominant technology for RF front-end filters and duplexers in
almost all wireless phone frequencies. In terms of insertion loss, skirt steepness and
relative bandwidth SAW filters exactly match the requirements of traditional cell
phone systems. This is not a coincidence; it is the consequence of defining the cell
phone standards such that commercially viable filter technologies would be avail-
able to fulfill anticipated requirements. It is highly desirable to utilize the available
frequency spectrum more efficiently. In order to increase useable bandwidth the part
of the spectrum wasted by guard bands has to be minimized (see Figure 4.13). The
minimum width of a guard band is determined by the steepness of the filter’s skirts.
SAW technology serves classic cell phone applications (all four GSM bands and
all CDMA bands except the US-PCS band) very well. The manufactured volume of
SAW filters exceeded 5 billion units in 2007. SAW technology is very mature and
every aspect of the manufacturing process is optimized to achieve aggressive cost
targets. The US-PCS band with its narrow transition range of 20 MHz between
transmit (Tx) and receive (Rx) bands provides challenges which are difficult to over-
come with conventional SAW technology. The two flavors of BAW (BAW-SMR and
FBAR) have successfully filled this void in recent years, granting them a place in the
wireless phone market.
Deciding which filter technology is right for a certain application is usually a
balancing act between performance, size, and cost. In terms of performance, there
are several disciplines in which technologies compete:

• Maximum achievable filter bandwidth as a percentage of the center frequency


(relative bandwidth).
• Insertion loss in the passband (in particular at the edges of the passband) and
steepness of the filter skirts.
• Temperature dependency of the filter characteristics: temperature coefficient
of frequency (TCF).
• Flexibility in port impedance and port configuration, for example, sin-
gle-ended input, differential output.
• Power-handling capability and ESD robustness.
4.3 Application Space for BAW-FBAR Technology 111

In the categories of relative bandwidth and flexibility to accommodate different


port configurations, SAW technology is clearly the winner at frequencies up to 2
GHz. Different bandwidth requirements are accommodated in SAW designs by
choosing a suitable piezomaterial with a certain crystal-cut angle in the raw wafers.
Choices range from very low-bandwidth materials, for example quartz and
langasite, to medium-bandwidth materials such as lithium tantalate. A typical
high-bandwidth material is lithium niobate. As a general rule, the higher bandwidth
materials show larger temperature dependency and higher losses. SAWs have an
inherent advantage when it comes to impedance conversion and arbitrary port con-
figuration because these are determined by the transducer mask layout and do not
require more complex processing. SAWs also have the ability to include a “balun”
function, which can be used to create a differential output signal from a sin-
gle-ended filter input: a widely used and practical advantage. For SAW it is possible
to integrate filters and duplexers for different bands on one chip with little or no
additional processing effort.
SAW technology approaches practical limits at 2.5 GHz because the require-
ments for line width and gap dimensions in the transducers call for less than
0.25-micrometer lithography resolution. Manufacturing such a structure requires
efforts and investments that are commercially difficult to justify. SAWs with a rela-
tive bandwidth of larger than 0.5% show a significant temperature dependency. For
example: the most widely used SAW substrate material is lithium tantalate, which
will exhibit a TCF on the order of −45 ppm/°C. The resulting frequency shift at
−30°C and at +85°C must be accounted for by adding temperature margins to the
filter characteristics. Filters in the transmit path are challenged by power handling
requirements. The current densities in the tiny metal fingers are significant and coin-
cide with mechanical stress. This gives rise to metal migration effects in the fingers
which will destroy the device over time. A carefully designed SAW filter for 2 GHz
will have a mean time to failure (MTF) of >10,000 hours for continuous 1W (30
dBm) input power at +55°C ambient temperature. Higher levels of power or higher
operating temperatures are very difficult to accommodate.
The BAW principle has inherent advantages with regard to losses. Acoustic
energy density is very high in BAW designs and the waves are very well trapped. The
quality factors (Q-value) that can be achieved with BAW resonators are superior
to any other technology suitable for the GHz range. Q-values of 2,000 at 2 GHz
represent the state-of-the-art for FBARs and SMR-BAWs. As a result of the high
Q-values the filter skirts will be very steep while the insertion loss remains low even
at the edges of the passband. This is a key advantage for duplexers in the US-PCS
band and the main reason FBAR and BAW were able to conquer a large market
share in this particular application. There are no tiny electrode fingers in a BAW res-
onator and therefore the limit for power handling is defined by exceeding a temper-
ature limit rather than by electromigration effects. The long-term power durability
can be pushed up to 4W (36 dBm) at 2 GHz with moderate effort. With regard to
ESD robustness a BAW device is by far superior. BAW-SMRs also have significantly
less temperature dependency and exhibit particularly favorable TCF compared to
SAW, typically −20 ppm/°C. All this having been established and proven in the mar-
ketplace, the most important advantage of BAW-SMR is the fact that frequencies up
to 6 GHz can be addressed without running into practical manufacturing limits.
112 Design and Fabrication of BAW Devices

The thickness of the layers to be deposited scale with 1/f while the size of a BAW res-
onator scales with 1/f 2. Both parameters make it favorable to use BAW at high fre-
quencies, but conversely, make it hard to compete at low frequencies with SAW
products.
BAW-SMR and FBAR require a complex manufacturing process with a factor of
10 more processing steps than SAW. Even though material costs for both filter types
are about the same, and even though BAW-SMR/FBAR are manufactured on larger
wafer sizes (SAW on 100 mm, BAW on 150 mm or 200 mm), the inherent cost per
filter is much higher than for a SAW. As of today the only thin film piezomaterial
with proven manufacturability is aluminum nitride (AlN). The piezoelectric effect in
AlN is relatively weak and as a consequence the relative bandwidth of FBAR and
BAW-SMR is limited to about 4%. This is just enough to handle most of the cell
phone applications well, but it is too little for certain broadband wireless applica-
tions such as WLAN or WiMAX where the passband can have up to 15% relative
bandwidth. The other significant limitation of the current generation of FBAR and
BAW-SMR is the lack of flexibility to transform impedance or to provide a built in
balun function. Another disadvantage is that, while in theory it is possible to build
monolithic BAW devices (which would cover more than one frequency band on a
single chip), such an implementation is prohibited by practical and commercial rea-
sons. While the active area of a SAW filter is slightly larger than a BAW between 1
and 2 GHz, a SAW solution for multiband applications typically recovers the lost
space by using monolithic integration.
Both SAW and BAW have specific strengths and weaknesses. For the most part
they complement each other. The number of applications in which they compete
against one another is very limited. It appears that any controversy regarding
whether SAW or BAW will dominate the filter market has ceased since major SAW
players have acquired BAW capabilities. It is relatively simple to map out the appli-
cation space for SAW and BAW for near-term opportunities (Figure 4.14): BAW
will expand the ability to serve high frequency and power applications through its
ability to satisfy the requirements of high-performance filters.
In summary it can be stated that BAW or FBAR is well positioned to dominate
high-performance applications in the frequency range above 2 GHz, in particular
applications which are less cost sensitive. Due to inherent cost advantages SAW will
unlikely lose market share in applications they currently serve.

4.3.2 Oscillators
An application in which BAW or FBAR has a potential to play a role in the near
future is in low-phase-noise oscillators and related clock and timing circuitry for
which frequencies above 2 GHz are desired. The key advantage is that high Q-values
can be maintained up to 5 GHz and higher. This will eliminate the frequency
doublers and phase-locked-loops (PLL) used in many systems requiring frequency
references at >2 GHz. Remarkable temperature stability can be obtained by intro-
ducing SiO2 layers between one of the electrodes and the piezolayer (as described in
Section 2.4). This method reduces the relative frequency spacing between resonance
and antiresonance which is acceptable for oscillators. BAW or FBAR resonators can
be electrically tuned to a specified frequency using a varactor diode in the same way
4.3 Application Space for BAW-FBAR Technology 113

Figure 4.14 Application space for RF filters. TC-SAW is an abbreviation for temperature compen-
sated SAW.

quartz crystals are “pulled.” The tuning range will typically be less than 1% in fre-
quency or else the oscillator noise performance will degrade massively. An alterna-
tive method of tuning can be accomplished by the inherent second-order
nonlinearity of the piezo material. In presence of a DC-bias voltage the resonance
frequency will change with a coefficient of around 20 ppm/V in a typical 2-GHz res-
onator with 1.3-μm-thick piezolayer [4]. The tuning effect achievable with this
method is small but as it avoids using varactors there is no degradation of
phase-noise performance.

4.3.3 Sensors
Chemical/Biological Sensors
BAW or FBAR devices have been studied as mass-sensing elements in applications
ranging from chemical sensors to biological/DNA sensors [20]. The devices will
have to be coated with a layer that allows the substance to be detected to dock onto
the surface. In theory an increase in mass loading on the top surface of a BAW or
FBAR will decrease the resonance frequency of the device. The frequency shift (Hz)
observed for a certain mass load [kg/m2] increases proportional to the resonance fre-
quency squared. Using a 2-GHz BAW device instead of the classical 10-MHz quartz
crystal will increase signal strength tremendously and improve signal-to-noise ratio.
In practical applications there are several obstacles which make it hard to gain as
much sensitivity as predicted. Most importantly all chemical and biological sensors
need a special coating which has a finite thickness. While this coating can be treated
as a pure mass load in a 10-MHz quartz, it has to be considered as a delay line in a
high-frequency BAW. Hence the coating thickness will modulate the sensitivity for
mass loading. Another obstacle is that many biological sensors must be operated in
114 Design and Fabrication of BAW Devices

a liquid environment. As BAW or FBAR is normally operated in longitudinal (thick-


ness extensional) mode significant acoustic energy will be radiated into the fluid cov-
ering the resonator. This corresponds to a tremendous drop in Q-values, for
example from Q = 2,000 in air to around Q = 50 in deionized water. One approach
to circumvent this issue is the attempt to build a BAW which works in a thickness
shear mode [21]. The c-axis of the piezolayer is intentionally tilted by as much as 30°
from the vertical to enable a significant coupling to the shear wave resonance of the
layer stack. Shear waves do not propagate in fluids and thus the losses associated
with acoustic radiation should be much lower. It is unclear at this point in time if
BAW or FBAR will offer significant advantages over other principles of chemical
and biological sensors.

Deformation Sensors
Other potential sensor applications of a BAW include the sensing of deformations,
for example in the membrane of a pressure sensor. The acoustic velocity of silicon or
other structural materials will be slightly modulated by quasi-static stress and strain.
By choosing the strained part of a structure to be in the acoustic travel path of a
BAW resonator, a frequency change will occur which is proportional to the defor-
mation in the structural material. The largest effect can be obtained if the structural
material is a long delay line in an overmoded BAW resonator. Reading out fre-
quency as a sensing signal instead of capacity or resistance for a pressure sensor can
be an advantage for wireless remote sensing, for example in tire-pressure
monitoring.

Inertial Sensors
A more exotic application of BAW is in gyroscopic inertial sensors. The principle is
based on wave conversion occurring due to Coriolis force in rotating inertial sys-
tems. Imagine a BAW vibrating in a pure thickness extensional (TE) mode. If the
whole device now rotates around an axis which is parallel to the chip surface the
Coriolis force will induce a polarized shear wave which will propagate through the
layer stack. It is relatively simple to isolate this shear wave from the longitudinal
wave by using a reflector stack which is highly reflective for the longitudinal wave,
and at the same time transparent for the shear wave, and vice versa. It is thus possi-
ble to trap the longitudinal and the induced shear wave in a different parts of the
layer stack. A second resonator which is optimized to detect the shear wave can be
used to extract the rotation rate. To this point there is no experimental proof that
sufficient sensitivity can be achieved. In comparison to MEMS gyroscopes which
have tiny vibrating masses it is clear that the vibration amplitudes in a BAW is
smaller by a factor of 103, but on the other side the vibration frequency can be
larger by a factor 104. As a consequence, the velocity of any given mass point is
potentially larger in a BAW than it is in a MEMS gyroscope, hence the Coriolis
force should be at least as high as in the MEMS case. The advantage of a SMR-type
BAW gyroscope over a MEMS gyroscope is that the BAW is potentially much
smaller and it is practically unbreakable; it will withstand the worst mechanical
shocks without damage.
4.3 Application Space for BAW-FBAR Technology 115

References

[1] Marksteiner, S., et al., “Optimization of Acoustic Mirrors for Solidly Mounted BAW Reso-
nators,” Proc. IEEE Ultrasonics Symposium, 2005, pp. 329–332.
[2] Aigner, R., “Bringing BAW Technology into Volume Production: The Ten Commandments
and the Seven Deadly Sins,” Proceedings of International Chiba Symposium on Acoustic
Wave Devices, Chiba, Japan, March 2007.
[3] National Institute of Standards (NIST) ceramic database, http://www.ceram-
ics.nist.gov/srd/summary/emodox00.htm.
[4] Aigner, R., et al., “Behavior of BAW Devices at High Power Levels,” Proceedings of IEEE
IMS-MTT-S 2005, Long Beach, CA, 2005.
[5] Ruby, R., et al., “Ultra-Miniature High-Q Filters and Duplexers Using FBAR Technology,”
Proc. Solid-State Circuits Conference, 2001, pp. 120–121.
[6] Franosch, M., et al., “Wafer-Level-Process Using Photo-Epoxy to Create Air-Cavities for
Bulk-Acoustic-Wave RF-Filters,” Proceedings of IMAPS 2004 Conference, Long Beach,
CA, November 2004.
[7] Mason, W. P., Physical Acoustics, Vol. III, part A, New York: Academic Press, 1966,
p. 196.
[8] Bradley, P., et al., “A Film Bulk Acoustic Resonator (FBAR) Duplexer for USPCS Handset
Applications,” Proc. IEEE MTT Symposium 2001, 2001, pp. 367–370.
[9] Aigner, R., et al., “Advancement of MEMS into RF-Filter Applications,” Digest Interna-
tional Electron Devices Meeting IEDM, 2002, pp. 897–900.
[10] Lakin, K., G. Kline, and K. McCarron, “High-Q Microwave Acoustic Resonators and Fil-
ters,” IEEE Trans. on Microwave Theory and Techniques, Vol. 41, No. 12, 1993, pp.
1517–1520.
[11] Smolders, A., et al., “BAW Devices and Integration into System-in-Package (SiP),” Proc.
3rd International Symposium on Acoustic Wave Devices for Future Mobile Communica-
tion Systems, Chiba, 2007.
[12] Iborra, E., et al., “Aluminum Nitride Bulk Acoustic Wave Devices with Iridium Bottom
Electrodes,” Proceedings of IEEE Ultrasonics Symposium 2007, New York, October
28–31, 2007.
[13] Ueda, M., et al., “High-Q Resonators using FBAR/SAW Technology and Their Applica-
tions,” Proceedings of IEEE IMS-MTT-S 2005, Long Beach, CA, 2005.
[14] Madou ,M., Fundamentals of Microfabrication, Boca Raton, FL: CRC Press, 1997.
[15] Ruby, R., and P. Merchant, “Micromachined Thin Film Bulk Acoustic Resonators,” Proc.
IEEE Symposium on Frequency Control, 1994, pp. 135–138.
[16] Dubois, M.-A., et al., “Above-IC Integration of BAW Resonators and Filters for Communi-
cation Applications,” Proc. 3rd International Symposium on Acoustic Wave Devices for
Future Mobile Communication Systems, Chiba, Japan, 2007.
[17] Aigner, R., et al., “Bulk-Acoustic-Wave Filters: Performance Optimization and Volume
Manufacturing,” Proc. IEEE MTT-S International Microwave Symposium, 2003, pp.
2001–2004.
[18] Zeuner, M., M. Nestler, and D. Roth, “Ultra-Precise Wafer Trimming Technology,”
EuroAsia Semiconductor, June 2007, pp. 17–22.
[19] European Patent EP1390559B1.
[20] Brederlow, R., et al., “Biochemical Sensor Based on Bulk Acostic Resonators,” Electron
Devices Meeting, 2003, Technical Digest, December 8–10, 2003, pp. 32.7.1–32.7.3.
[21] Bjurstr, J., G. Wingqvist, and I. Katardjiev, “Synthesis of Textured Thin Piezoelectric AlN
Films with a Nonzero C-Axis Mean Tilt for the Fabrication of Shear Mode Resonators,”
Proceedings of IEEE Ultrasonics Symposium, 2005.
CHAPTER 5

FBAR Resonators and Filters


Richard Ruby

5.1 Introduction

5.1.1 Short History of FBAR


Free-standing membrane film bulk acoustic resonator (FBAR) was first demon-
strated in 1980 by Grudkowski et al., at United Technologies and independently
that same year by Nakamura, et al., at Tohoku University Japan [1, 2]. Throughout
the 1980s, research occurred in universities and commercial and government labo-
ratories around the world.
In 1982, a visionary paper given by Lakin et al. of TFR [3], described the future
potential of BAW (and FBAR) resonators). In particular, the paper emphasized the
size. Size and performance (as we learned much later), are crucial for cell phone
applications and are the enabling technologies that allowed both the shrinking of
the early mobile handsets, while allowing more components and functionality into
the slimmer phones. Besides work at small start-ups such as TFR, Inc., major
research firms such as Westinghouse [4, 5] made solid contributions to the advance-
ment of BAW filters. Out of that effort an early and classic book on FBAR was writ-
ten by Rosenbaum in 1988 [6].
The piezoelectric material, zinc oxide, was the material of choice in the early
years of BAW research. One could sputter ZnO and have a reasonable chance of
having a film with piezoelectric properties. Sputtered aluminum nitride (AlN) was
introduced as an alternative piezoelectric by Wang and Lakin [7] in 1981. AlN, in
contrast to ZnO, was not easy to sputter and more often than not, sputtered AlN
films were only weakly piezoelectric. However, the attractive aspect of AlN was the
ease with which it could (if made to work) be integrated into an IC facility. Zinc
oxide has issues with volatility and contamination, a serious issue for any IC
facility.
In 1993, research was started on FBAR at Hewlett Packard Laboratories. Up
until that time, there was a strong perception that ordered ZnO (or AlN) could only
be accomplished by depositing it on an ordered substrate. Typical choices for elec-
trodes were gold or aluminum. At HP, we opted to focus on molybdenum and tung-
sten as electrode choices, and AlN for the piezoelectric [8]. Tungsten proved to be
too difficult to deposit as a low-stress film (due to tool limitations); however, very
good success in depositing low-stress electrodes was obtained using sputtered Mo.

117
118 FBAR Resonators and Filters

One important property about any choice of materials for the acoustic stack is that
there needs to be good etch selectivity between piezoelectric and electrode mate-
rial—an important issue when patterning and etching each layer in the acoustic
stack. The combination of Mo with AlN turned out to be a very good pairing of
materials. Besides being able to deposit Mo as low-stress films, Mo, itself, has excel-
lent acoustic properties including very high acoustic Q ( much higher than Al or Au).
Early on, HP focused on bulk micromachining, etching silicon from the back-
side using tri-methyl ammonium hydroxide (TMAH) [9]. Figure 5.1(a) is a micro-
graph taken of the first working FBAR resonator at HP Labs (photo taken from the
backside). The meandering trace forms a microheater that can be used to “tune”
FBAR frequency. Although results were obtained as early as October 1993, it was
clear that this was never going to be a manufacturable process. This realization led
to a process based on surface machining.
The first “surface machining” approach was to put down a sacrificial layer of
phosphorous silica glass (PSG), etch holes (vias) into the film, and then deposit tung-
sten vias. The vias (also acting as support structures to hold the acoustic membrane
above the surface), was accomplished by polishing the W back to the PSG surface.
From here, deposition and patterning of the Mo/AlN/Mo acoustic stack with pads
was relatively straight forward. The PSG had the very elegant property of etching
very quickly in the presence of dilute HF, creating the necessary air/crystal interface
on both sides. The first working device using this technology is shown in Figure
5.1(b).
In 1995, the process migrated to forming a depression or “swimming pool” into
the silicon and back filling with PSG. Then, the excess PSG on the surface was
removed by chemical mechanical polishing (CMP) the PSG to the silicon surface.
This has since been the preferred method of creating an air/crystal interface on the
underside of the acoustic stack. Figure 5.2 shows a cut-away photo of a stacked
FBAR—where two resonators were fabricated one on top of the other. This photo
clearly shows the swimming pool and a free-standing membrane spanning the swim-

(a) (b)
Figure 5.1 (a) SEM micrograph of bulk micromachined FBAR (circa 1993). The meandering lines
were used to tune FBAR frequency with on-chip heaters. (b) SEM micrograph of an “air bridge”
FBAR resonator (circa 1994).
5.1 Introduction 119

Figure 5.2 Membrane spanning an etched silicon pit. The first such devices were SBAR- (or SCF)
type BAW devices (stacked bulk acoustic resonators).

ming pool. In the early days, hot KOH was used to etch the swimming pool, thus
leaving the tell-tale 54.7o angle in the silicon.
It should be noted that early research on FBAR at HP Labs was not always well
supported. The reason for this had to do with the simple fact that SAW technology
was in high-volume production, was relatively small size, and most important, a
“one mask” process. Furthermore, performance of early FBAR devices was not any-
where as good as SAW devices made at that time. The reality was that from 1997
thru early 1999, the FBAR team struggled to exist and find justification for continu-
ing work. Highlights (in between lowlights of having the project being nearly
cancelled several times) included demonstration of a 5.2-GHz filter (Figure 5.3) just
days before Christmas of 1997. These filter parts actually had a passband, skirts
with a reasonable shape factor and good rejection outside the passband. It was also
at this time that “apodization” was shown to conclusively improve parasitic lateral
modes [10]. Apodization (the use of non-Manhattan geometries for resonator
shapes) reduces the ripple in the bandpass of the filter, giving a smoother passband
response than filters using Manhattan geometries for the resonator shape.
Apodization is discussed in greater detail later in this chapter.
Without a strong value proposition, the project was constantly in danger of
being shut down. In 1998, a value proposition was articulated—a duplexer for cell
phones. At first, making a duplexer seemed impossible, of all the filters in the cell
phone, the specifications for the duplexer were by far the most stringent. However,
by late 1998, we not only made a duplexer, but had wired one into a working phone
and used it to make phone calls to upper management.

5.1.2 The Duplexer


The duplexer was for FBAR the “killer app.” The value proposition is easy to
understand. FBAR technology eliminated a large ceramic duplexer in a PCS CDMA
cell phone. When samples of early FBAR duplexers were shown to customers, their
120 FBAR Resonators and Filters

Figure 5.3 Micrograph superimposing two 5-GHz filters with and without apodization (vertical
axes 5-dB per division; horizontal axes 50-MHz per division; span: 5.0 to 5.5 GHz).

enthusiasm was strong. The dramatic size reduction had clear value to the handset
manufacturers.
Early duplexers at HP consisted of a printed circuit board (PCB) the size of a
stick of gum that allowed for three connections, two places to glue down die, and
approximately an inch-long delay line to act as a quarter-waveline between the Rx
and the Tx/antenna port. Working die from a yielding wafer (in those days, the fre-
quency variation across the wafer and wafer-to-wafer could be easily 10% to 20%)
were picked to be both the Tx and Rx filters. Figure 5.4(a) shows the first FBAR
phone and some of the FBAR team calling senior managers [Figure 5.4(b)].
Code division multiple access or CDMA was chosen as the communication pro-
tocol by several service providers in the United States (the two largest being Sprint
and Verizon). CDMA has the feature of being able to use a given amount of fre-
quency spectrum very efficiently. Part of the efficiency gains come from the fact that
CDMA is a full-duplex-based technology (versus half-duplex technology incorpo-
rated in GSM phones). GSM worked like a “walkie-talkie” transmitting packets of
data and then switching to receive to accept incoming packets of voice data. A
full-duplex technology required the receiver to be listening at all times for incoming
data whilst simultaneously transmitting data. This gives twice the capacity of GSM;
however, the sheer enormity of dynamic range needed is staggering. The power
amplifier might be transmitting at 1W while centimeters away, a delicate low-noise
amplifier was struggling to resolve signals at 25-femto-Watts. Worse yet, the FCC in
the United States had assigned a 1% separation in frequency between the transmit
and receive (a 20-MHz, later 15-MHz guard band for the G-block PCS band). To
5.1 Introduction 121

(a) (b)
Figure 5.4 (a) Picture of the first FBAR duplexer and cell phone. The three-port duplexer (center)
consists of a Tx, Rx, and antenna ports, where the Rx die is separated by a one-quarter-wavelength
transmission line from the antenna port. A ceramic duplexer is shown in front for size comparison.
(b) Members of the original WSD FBAR team in 1998; Rich Ruby, Becky Whittaker (talking on the
first FBAR phone), John Larson, Yury Oshmyansky, and Randall Canha.

work within the dictates of a 136-dB dynamic range, the duplexer was a huge piece
of carved ceramic giving as much as 50 dB of isolation between input and output
(today, phones using FBAR duplexers have a receive sensitivity of −110 dBm,
another three times better).
Because the FBAR was a bulk device, it could withstand the high powers
duplexers were subjected to, meet (and later exceed) the isolation specs, and most
important because it was so much smaller than ceramic duplexers, FBAR was
quickly adapted into cell phones. Figure 5.5(a) shows the famous Samsung Wrist
Watch phone introduced at Comdex in 2000. Figure 5.5(b) shows the phone board
“guts” including our first 6 × 11-mm2 duplexer. This “Dick Tracy” phone was
hailed as one of the top 5 innovative products of Comdex 2000.

(a) (b)
Figure 5.5 (a) Picture of the Samsung SPH-S100. The phone was announced at Comdex 2000 as
the new “Dick Tracy” phone. (b) Picture of the phone board of the SPH-S100.
122 FBAR Resonators and Filters

With the introduction of FBAR duplexers, a new generation of smaller and


sleeker cell phones proliferated the market—cell phones as “bricks” became a thing
of the past. One of the first FBAR phone introduced in 2001 by Sanyo was featured
in the 2002 sci-fi movie Men in Black II with Tommy Lee Jones and Will Smith.

5.1.3 The Package


Although FBAR resonators are very small and the amount of silicon needed to make
the filter is measured in fractions of a square millimeter, the finished
duplexer—placed into an LCC chip carrier package—had no hope to shrink much
2
further than the 6 × 11 mm footprint shown in Figure 5.5(b). Furthermore, it
quickly became apparent that the manufacturers of ceramic LCC packages were
going to enjoy the fruits of FBAR success by keeping their package costs
high—unmindful that in commodity applications such as cell phones, average sales
price can erode at about 20% per year.
The situation was untenable. There was a constant fear that SAW technology
would soon find a way to make their technology work at 2 GHz in their sleek LTCC
packages. Anticipating this, HP Labs embarked on a program to use wafer-level
packaging technology (WLP) that would be smaller and cheaper than the ceramic
packages used by SAW manufacturers. Starting in early 1998, and using a manual
hydraulic press as the bonder, we demonstrated a feasible version of a working
microcap’d filter. This work was presented in 2002 [11]. By late 2003, Agilent
(Agilent was spun-off from HP in 1999) introduced the world’s first 5 × 5 mm2
FBAR duplexer using two microcap’d FBAR die. Figure 5.6(a) shows the first
duplexer with hermetic packaged die (the overmold was not added so that the
microcap’d die with bond wires connecting to the PCB are shown).
In many ways, the development of the microcap and wafer-level packaging was
as challenging as FBAR. For too long, most folks simply could not conceive that one

(a) (b)
Figure 5.6 (a) An early version of our 5 × 5 mm duplexer using the first microcap’d FBAR filters
2

for the Tx (left die) and Rx (right die). (b) Picture of an Rx die with the microcap lid removed.
Pieces of silicon from the lid have broken off during the removal process and remain attached to
the base FBAR wafer.
5.1 Introduction 123

could achieve “wholesale” hermetic package of thousands of devices during one


simple bonding step. Figure 5.6(b) shows an Rx duplexer die where the microcap lid
has been removed. Hermiticity is achieved by using a gasket around the perimeter of
the die with smaller gaskets around the perimeter of each contact. A sign of a good
bond is to have pieces of silicon break off during the debonding process and stick to
the gasket [as seen in Figure 5.6(b)].
In early 2004, we began ramping up our first microcap’d FBAR product and by
2006 (8 years after starting the project), we published data on the reliability of
microcap [12]. By late 2008, we had made over a billion microcap’d filters.

5.1.4 FBAR in Context with the Rest of the World


As early as 1996, with publications by Ken Lakin on solidly mounted resonators
[13], the possibility of switching from FBAR to solidly mounted resonator or
SMR-BAW technology was a viable and tempting option. Free-standing mem-
branes often cracked after release. Stress control was far from perfect. In what was
to become a signature of FBAR process, very few deterministic experiments would
give anything other than ambiguous results. In a 2-month period in 1998, mem-
branes were cracking and breaking regardless of what deposition or etch condition
we tried. Cracking came back to haunt us several times in the early years and solid
investigation and luck were needed to figure out all the sources that would cause
cracking.
In contrast, solidly mounted resonators looked simple and dependable. Further-
more, going to a solidly mounted BAW process kept open the door for future inte-
gration with CMOS, with filters and resonators into a single IC.
However, the decision was made to stay with FBAR and focus on making FBAR
manufacturable. The biggest concern regarding SMR-BAW was the ability to get
sufficient Q and coupling between electrical and acoustic fields (the coupling coeffi-
cient). With the acoustic energy trapped in between a crystal/air interface, we were
more confident of achieving high Q and sufficient coupling between acoustic and
electric fields.
A big uncertainty for the FBAR team had to do with the competition; that is,
SAW technology. Fujitsu had introduced the cell band SAW duplexer in the early
1990s with great success. Other SAW manufacturers were quick to copy and the
800-MHz duplexers proliferated throughout the cell phone market and enabled sin-
gle-band phones working at 800 MHz. It did not seem that far fetched that SAW
technology would soon find itself in the 2-GHz PCS band.
With their inherent low cost, small size, and economies of scale, it was widely
believed that FBAR duplexers would be quickly replaced. Indeed, as early as
December 1999, rumors that Fujitsu (then the leading supplier of SAW duplexers)
was going to announce the imminent release of their SAW PCS duplexer [14]. The
formal Fujitsu announcement of their SAW PCS duplexer occurred in November
2002, promising high volume, mass production in January 2003 [15]. We would
hear from our customers that SAW competitors would offer a 30% lower price than
any price we quoted.
In July 2002, Robert Aigner of Infineon publicly announced in Taiwan the
results and products of a large and mostly secret effort on BAW manufacturing [16].
124 FBAR Resonators and Filters

Unlike Agilent/HP, Infineon had decided to use the SMR-BAW approach to making
filters and resonators. Also, as important, Infineon chose to focus their energy on
interstage filters—mostly for Nokia. In what may have been a strategic blunder by
Infineon’s senior management, their BAW team focused almost exclusively on com-
mercialization of interstage filters (where only cost, not performance, mattered) and
not the more lucrative and performance-sensitive duplexer market. (In August 2008,
Avago annouced the purchase of the Infineon SMR-BAW group.)
By 2005, SAW duplexers were beginning to finally make their presence in PCS
CDMA cell phones. But, from a performance and robustness perspective, FBAR had
grown out of its adolescent years into a full-blown mature technology with
extremely high Q and excellent filter performance. Furthermore, single-digit yields
were now a thing of the past and we were able to price our parts competitively. This
was crucial; the high prices we charged for FBAR duplexers, early on, ended by late
2003. The estimated decline in average sales price was roughly 15% to 20% a year
since 2003.
In another irony of geopolitical proportions; many SAW companies felt that
they needed to accelerate research and development in BAW, based on the success of
HP/Agilent FBAR program. In so doing, precious R&D funds were diverted away
from SAW development to begin serious BAW development. The jury is still out as
to the wisdom of these investments.

5.2 FBAR Technology

5.2.1 Introduction
The Q-circle for a resonator is a representation of the reflection coefficient versus
frequency on the Smith chart, and, can be thought of as a pictorial measure of the
figure of merit (FOM) of the resonator. The closer the Q-circle is to the edge of the
Smith chart, the better the FOM. Resonators with better FOM values can be made
into filters that have better insertion loss of a filter, and a better shape factor (steep-
ness of skirts), than filters using poorer FOM resonators. Insertion loss in the
bandpass of a filter varies from the lower frequency edge of the passband to the
higher frequency edge. Typically, the worst minimum insertion loss occurs at one of
the two band edges. It is the worst-case insertion loss (for the worst temperature
case), that defines the specification of the filter (not the minimum insertion loss).
For the transmit filter of a PCS duplexer, the worst-case insertion loss usually occurs
at the high-frequency band edge, 1,910 MHz, and at the maximum specified tem-
perature, 85°C, while pumping the maximum amount of power through the
duplexer from the power amplifier (frequency where maximum self-heating
occurs). Since the materials used in the filter typically have negative temperature
coefficient of frequency (TCF), the whole filter response moves down in frequency
with increasing temperature. It is this case that defines the insertion loss of the filter.
Conversely, the Rx filter in a duplexer running at the minimum temperature (−30°C)
specified and at low power causes the Rx filter to move up in frequency. This corner
case, at the low-frequency edge, defines the insertion loss of the Rx filter of a
duplexer.
5.2 FBAR Technology 125

The best filter topology for making filters with steep skirts is a half-ladder
design (series and shunt resonators—where the shunt resonators are mass loaded
such that their resonant frequency is lower than the series resonators). The only
“knob” one can use to make a superior half-ladder filter is to use resonators with a
superior figure of merit or FOM.
The relevant FOM, for any resonator in a filter, is the product of the coupling
coefficient and the Q. Q turns out to be frequency dependent so the FOM is also fre-
quency dependent and is defined as:

FOM( f ) = kt2eff * Q( f ) (5.1)

Where the effective coupling coefficient, kt2eff is defined as;

kt2eff = ( π 2 ) * (f s f p ) tan [( π 2) * f s fp ] (5.2)

and Q is the unloaded Q of the resonator. The two points on the Smith chart where
the Q-circle crosses the real axes define the frequencies fs and fp, (series and parallel
resonances). At these two points the reactance of the resonator is zero and the
impedance is real. If the Q-circle is at the edge of the Smith chart, Q would be infin-
ity. A measurement of the resistance at either fs or fp will give measurement of the
figure merit (at fs and fp) and from the related FOM and kt2eff one can back out the
unloaded Q (at fs or fp).
One can relate the resistivity at fs or fp to the FOM as

R p ~ FOM(f p ) * X o (5.3a)

R s ~ X o FOM( f s ) (5.3b)

where Xo is the capacitance reactance of the resonator. One can write Xo in terms of
the radian frequency, the plate capacitance, Co, and the effective coupling coeffi-
cient, kt2eff.

( ( ))
−1
~ ( ωC o )
−1
X o = ωC o 1 + kt2eff (5.4)

and where Co = ε*εr*A/d

A = Area of the resonator;


d = Thickness of the piezoelectric region;
εr = relative dielectric constant of the piezoelectric material.

2
The optimum value for kt eff is set by the relative filter bandwidth requirement.
2
The coupling coefficient, kt eff , is a property of the acoustic stack and is a material
property that is independent of frequency. In fact, by definition, kt2eff is fixed by the
two measured frequencies that define the series and parallel resonance—of the
thickness extensional (or main longitudinal) mode, as measured on the Q-circle.
126 FBAR Resonators and Filters

For cell phone applications, the necessary bandwidth typically requires maxi-
mum values of kt2eff. There is only a small amount of design flexibility in varying
kt2eff. Therefore, the best knob for obtaining large values of Rp (and, conversely, low
values for Rs) is to improve Q. The Q, like kt2eff and kt2int, is also a function of the
acoustic stack, (i.e., the choice of electrodes and how and where the acoustic stack is
supported). Like kt2int, Q is also dependent on frequency. Q losses can have smooth
dependencies on frequency as well as spurious losses (sharp degradation of Q at cer-
tain frequencies). If there were no parasitic lateral modes, the Q would be smoothly
varying and the measured Q-circle would be a nice round circle on the Smith chart.
This simple Q-circle could then be precisely modeled in the electrical domain by a
few Rs, Cs, and Ls. This will be covered in more detail in the next section.
Figure 5.7 shows the evolution of resonator Q from 1993 to 2007. Devices in
Figure 5.7(a–e) use molybdenum electrodes in the acoustic stack (frequency ∼2
GHz), whereas in Figure 5.7(f), the Q-circle of an 820-MHz FBAR resonator using
tungsten electrodes is shown.

5.2.2 Modeling of FBARs


There are three models that are used to understand and predict resonator perfor-
mance. The one-dimensional Mason model [6] is a physical model that gives the
electrical response as a function of the physical parameters and the thicknesses of
these layers in the acoustic stack. One property of the Mason model is that it allows
one to determine the effect of layer thickness on frequency. This model will also pre-
dict the kt2eff as a function of kt2int, electrode material, and the relative ratio of elec-
trode thickness to piezoelectric material thickness. Thus, one uses the Mason model

Figure 5.7 (a–f) Evolution of the FBAR Q-circle starting from 1992 to 2007.
5.2 FBAR Technology 127

to design the acoustic stack. Figure 5.8(a) is a simplified version of the Mason model
used on our ADS simulator (courtesy of Tiberiu Jamneala). The losses due to the top
and bottom electrode as well as the AlN piezoelectric material are modeled as lossy
transmission lines. However, we have found that over a narrow range of frequen-
cies, one can model the losses by using lossless transmission lines to model the layers
and by placing three resistors external to the impedance transformer. This is seen
in Figure 5.8(b). The transformer ratio is π Xo/(kt2int*ZAIN), where Xo is given in
(5.4), and the acoustic impedance, ZAIN = Sqrt[ρ*c33] where ρ is the mass density and
c33 is the stiffness in the longitudinal direction. ZAlN is approximately 35 MRayls for
AlN.
The next model is really a framework of Newton’s equations along with the
constitutive equations to create a finite element model (FEM) of the resonator. The
FEM allows one to model the effects of the three-dimensional aspects of the resona-
tor. However, this model takes a tremendous amount of computing power to finish
a simulation and is often used in a postdictive capacity. In time, the role of FEM will
change from postdictive to predictive.
The third model is the Butterworth Van-Dyke model (BVD)—described in ear-
lier chapters. The BVD model consists of a motional inductor, Lm, a motional
capacitor, Cm, and a resistive loss term rm to account for the acoustic loss—all in
series modeling the series resonance of an FBAR in parallel with a plate capacitor
Cp. The BVD model will have two resonances; a series resonance, fs, and an
antiresonance (sometimes referred to as the parallel resonance), fp, where

f ss = 1 [L * C ]
m m
(5.5)
fp ~ = (1 [L * C ])* (1 + C
m m m 2 * C p ) = f s * (1 + C m 2 * C p )

We note from (5.5), that (fp − fs) is proportional to the ratio of Cm/Cp.
2
Equation (5.2) for kt eff can be fitted with the linear term (fp − fs)/( fp + fs) in the
limit that (fp − fs) << (fp + fs). [Hint: set fs = 1 and plot ζ*( fp − 1)/(fp + 1) alongside the
function (π/2)*(1/ fp)/tan(π/2)/fp as a function of fp. One will find that ζ is ∼4.8.] For
1 < fp / fs < 1.03, one can simplify (5.2):

Bot elect. AIN Top elect. Bot elect. AIN Top elect.

−C o r series −C o

Co
Co
ro

rm
(a) (b)

Figure 5.8 (a) A simplified Mason model implementation in ADS [17]. The loss terms are buried
inside the transmission lines that represent each layer in the acoustic stack (bottom electrode, AlN,
top electrode). (b) A Mason model implementation in ADS where the transmission lines are made
lossless and all the losses unique to FBAR are contained in the three resistors, rseries, ro, and rm.
128 FBAR Resonators and Filters

. * (f p − f s )
kt2, eff = 48 (f p + fs ) (5.6)

This is a simpler expression for kt2eff [in contrast to (5.2)]. If one is working with
a restricted set of kt2eff values in their acoustic stack, one can refine the variable ζ. For
example, if kt2eff lies between 5% and 6%, ζ is 4.758.
One can as easily relate kt2eff to the ratio Cm/ Cp from (5.5) and (5.6) to arrive at

kt2eff ≅ 1.2C m C p (5.7)

In the mBVD model we have three loss terms (versus the BVD model which has
only the acoustic—or motional loss, rm). These three terms are rseries, ro, and rm. These
are the same three resistance terms used in Figure 5.8(b).
Experience with modeling real resonators leads one to realize that the BVD
model will not model FBAR resonators very well, and that Q is not constant with
respect to frequency (which would be the case for any device that could be fitted to a
BVD model). A better fit between measured Q-circle and the BVD model, is to add
two more loss terms to the BVD model; a resistor in series with the plate capacitor,
ro, and a series resistor, rseries. Hence the term “modified” BVD or mBVD model.
Figure 5.9 shows the Q-circle of a modified BVD, (or mBVD) circuit for a nomi-
nally 50-ohm reactance (Xo) at 800 MHz which is fitted to the measured Q-circle
shown in Figure 5.7(f). The plate capacitance in Figure 5.9 is 3.47 pF. The motional
capacitance is 0.176 pF and the ratio Cm / Cp is equal to 0.05072. Using (5.7), we get
2
kt eff = 6.08%. From Figure 5.7(f), one can pick fs and fp and using (5.2), one gets
5.82%. Alternatively using (5.6), one arrives at 5.87% for kt2eff.
Even if rm, ro, and rseries of a fitted mBVD model to a measured resonator were
independent of frequency, it is now obvious that the Q of the fitted resonator is a

C R
C2 R1
C = 3.46975 pF rm = 0.2525 Ohm
R
R3
rseries = 0.3801 Ohm

L C R
L2 C3 R2
L = 182.4 nH C = 0.1761 pF ro = 0.06 Ohm
R=

m2 m1

Figure 5.9 A best-fit mBVD to the resonator shown in Figure 5.7(e).


5.2 FBAR Technology 129

function of frequency. This can be seen by modeling the series resonance at fs by a


LRC circuit where Rs = rseries + rm and by modeling the parallel resonance at fp by a
2
tank circuit where here Rp = Xo /( ro + rm) and X0 is given by (5.4). Since there is no a
priori reason why ro and rseries are equal, we know that Q is smoothly varying over
frequency. In fact, for a resonator described by second-order equations, one would
expect a Lorentzian shape for Q versus frequency.

5.2.3 Method of Ascertaining Q


It is instructional to calculate the unloaded Q at every frequency. This is done by
calculating the energy stored in each of the reactance terms in the mBVD model (fit-
ted to a real resonator) and dividing by the losses through each of the resistors.

(
Stored Energy = 1 C p * V 2 + C m * V 2 + L m * I 2
2 )
(
Dissipated Power in a cycle = I12 * rm + I 22 * ro + Itotal
2
* rseries ) (2 π f )
Q unloaded = Q u = the ratio of the Stored Energy to the Dissipated Power in a cycle

I1 is the current thru the motional branch, I2 is the current thru the plate capaci-
tor and Itotal = I1 + I2.
This method of extracting Qu, uses the following procedure:

1. Fit an mBVD model to the resonator to get values for each reactance and
resistor.
2. Calculate the stored energy and the dissipated power in one cycle at each
frequency.
3. Taking the ratio to calculate Qu of the resonator.

This is referred to as the brute-force method, or BFM, for finding Qu of a reso-


nator [18].
The solid line (Lorentzian curve) in Figure 5.10 is a plot of the unloaded Qu, for
the resonator shown in Figure 5.7(f) based on a fit to the measured Q-circle—Figure
5.9. It is worth noting that for this resonator the maximum Qu does not fall at fs or
fp, but somewhere in between. It is also important to point out that in this fit, using
Agilent’s Advanced Circuit Simulator (ADS), a product of Agilent’s EESOF divi-
sion, one can find the best fit of a model to data using a least-squares fitting
algorithm.
The noisy data superimposed on the solid line fit in Figure 5.10 is from the
equation

Q m ( f ) = 2 πf * τ( f ) * Γ( f ) (1 − Γ( f ) )
2
(5.8)

where the subscript “m” signifies that this is Q directly taken from measurement,
and

τ(f) is the group delay and τ(f) = −dφ/dω ≅ −δφ/δω


130 FBAR Resonators and Filters

m3 m5 m4
freq = 888.900 MHz freq = 901.900 MHz freq = 910.400 MHz
Q = 2503.28974 Q = 5206.58378 Q = 3196.83084
6000 m5

5000

4000 m4
Q3
Q

m3
3000

2000

1000

0
870 880 890 900 910 920 930

freq, MHz
Figure 5.10 Q versus frequency using the brute force method and using (5.8).

|Γ(f)| is the magnitude of the reflection coefficient (S11) measured at frequency f

It is very important to realize that f, |Γ(f)|, and τ(f) can be read off most network
analyzers. Hence, (5.8) is a method of extracting the unloaded Q from the terminals
of a resonator (any resonator!).
Equation (5.8), when used properly, is relatively quick. There are caveats:

1. δφ/δω is calculated from two adjacent frequency points taken from


measurement. If these frequencies are relatively far apart, then errors will
accrue. Even using a large number of frequency points in a network analyzer
(NWA), there is still the issue of differences of large numbers in the
denominator. Hence, one obtains the noisy plot shown in Figure 5.10.
2. Below fs, we see that applying (5.8) gives negative Qs (not physically real).
From the Q-circle we see rattles below fs in the south west quadrant of the
Smith chart. The reason for the apparent negative Qs at certain frequencies
below fs is due to the change in φ with respect to ω as the phase goes
counterclockwise with respect to the center of the Smith chart instead of
clockwise, hence, the change in sign. These rattles and small circles are due to
parasitic lateral modes that coexist with the main piston mode of the
resonator. These subresonances are better seen in small, high-impedance
resonators with square or Manhattan geometries.
3. Equation (5.8) is only valid in the limit that the Q is high (Q ∼> 20).
Equation (5.8) has its roots in a theorem by Bode found in his book Network
Analysis and Feedback Amplifier Design [20]. And, indeed, if rm is large
(compared to the natural impedance, Zo, of the resonator), then (5.8), as an
approximation, fails.
5.2 FBAR Technology 131

4. It would appear that (5.8) fails if |Γ(f)| passes through the center of the Smith
chart (|Γ(f)| = 0). However, for reasonable Qs, one can recover the full
accuracy of (5.8) by transforming the Q-circle in such a way that it is
recentered in the Smith chart [19]. An example of a transformation to a new
coordinate system would be to first transform Γ(f) back into an impedance
Z(f) where:

Z( f ) = (1 + Γ( f )) (1 − Γ( f ))

and the transformed reflection coefficient for (5.8) is

Γ ′( f ) = (Z( f ) − Z o′ ) (Z( f ) + Z ′ )
o

where Z o′ would be the impedance point near or at the center of the measured
Q-circle.
For example, if the resonator impedance, Zo, is 300Ω, then set Z o′ to 150Ω.
Care must also be taken to convert the group delay as well, where φ =
atan[Im(Γ ′(f))/Re(Γ ′(f))]. A simpler technique (easily accomplished on today’s net-
work analyzer) is to change the source impedance from the default 50Ω to a new
impedance such that the Q-circle now centered on the Smith chart.
The brute-force method has its limitations. In the case of resonators with signifi-
cant lateral modes, the simple mBVD does not do a good job fitting the Q-circle.
Figure 5.11(a) is a measurement of a 240Ω square resonator resonating around 720
MHz. Superimposed on the Q-circle in Figure 5.11(a) is a simple mBVD fit and a fit

m2

(a) (b)

Rser
SRLC SRLC SRLC SRLC SRLC

C plate C mot
R plate L mot
R mot

mBVD Model R-L Mode 1 R-L Mode 2 R-L Mode 3 R-L Mode 4
fo = 722.562 MHz fo = 721.12 MHz fo = 721.563 MHz fo = 721.95 MHz fo = 722.744 MHz

(c)
Figure 5.11 (a, b) A 720-MHz resonator fitted to a mBVD model, and (c) to an mBVD model
with additional RLC elements to model some of the parasitic modes.
132 FBAR Resonators and Filters

using a more complicated circuit is shown in Figure 5.11(b). Here, better justice is
done to the fit by adding four additional RLC series circuits. This is seen in Figure
5.11(c). Again, a least-squares fit in ADS is used to find the values for each of the ele-
ments in the more complicated model.
These additional RLC elements represent some of the parasitic lateral modes of
the measured Q-circle in Figure 5.11(a) around fs. Figure 5.12(a) shows calculated
Q(f) using BFM for the simple mBVD model superimposed with a BFM calculation
using the more complex model. Since the additional RLC elements model the para-
sitic modes due to Rayleigh-Lamb waves generated in the plate, this model is
referred to as the RLC-mBVD model. Note that the Q versus frequency curve is no
longer Lorentzian. Figure 5.12(b) contains the two calculated curves of Q versus fre-
quency from the two versions of the fitted models (the mBVD and the RLC-mBVD
model) superimposed with Q versus frequency measurements using (5.8) applied
directly to measured data of the resonator and to a data list generated from the
RLC-mBVD fit.
One can see that by adding more RLC series elements, both a better fit to the
Q-circle is obtained and the Q as calculated by BFM more closely resembles the
actual Q extraction from (5.8). More elements added to the circuit shown in Figure
5.11 would give better fits—especially between near fp.

1.0E4
m5 m6
9.0E3
m5 Tx1_freq= 7.22500E8 Tx1_freq=7.39700E8
8.0E3 Q=7826.87892 Q=456.04249
7.0E3

6.0E3

5.0E3

Q 4.0E3

3.0E3

2.0E3

1.0E3 m6

0.0

−1.0E3
7.10E8 7.15E8 7.20E8 7.25E8 7.30E8 7.35E8 7.40E8 7.45E8 7.50E8
Tx1_freq
freq, Hz
(a)
1.0E4
m5 m6
9.0E3
m5 Tx1_freq=7.22500E8 Tx1_freq=7.39700E8
8.0E3 Q=7826.87892 Q=456.04249
7.0E3

6.0E3

5.0E3

Q 4.0E3

3.0E3

2.0E3

1.0E3 m6

0.0

−1.0E3
7.10E8 7.15E8 7.20E8 7.25E8 7.30E8 7.35E8 7.40E8 7.45E8 7.50E8
Tx1_freq
freq, Hz
(b)

Figure 5.12 (a) A plot of Q versus frequency using the BFM approach on the two versions of the
mBVD model described in Figure 5.11. (b) Plot (a), but with additional curves obtained from (5.8)
on measured resonator and the RLC-mBVD model superimposed on the two plots.
5.2 FBAR Technology 133

There are two conclusions from this; one is that there is significant energy
stored in the resonator in the form of the parasitic modes. These modes actually
contribute to making Q(f) larger or smaller, than one would assume using a simple
mBVD model. And second, that fitting the resonator with the mBVD model to
extract Q has its limitations, in contrast, the Bode equation for Q is quite useful at
showing the true unloaded Q for any reasonably high Q resonator.

5.2.4 The Rayleigh-Lamb Modes


The parasitic modes seen on the Q-circles in the previous figures are caused by a
variety of modes including the excitation of the Rayleigh-Lamb (RL) modes inher-
ent in a plate. These RL modes are a superposition of a longitudinal mode with a
k-vector kl and a shear vertical mode with an associated k-vector, ksv. In an infinite
three-dimensional volume, these two waves do not interact. However, in a plate,
(infinite in x, y but of finite thickness in z), the scattering of either mode will convert
into both shear and longitudinal modes. As shear and longitudinal waves scatter off
the top and bottom surfaces of the plate, one can imagine a case where for a certain
angle associated with a frequency, the two modes will recombine. In this case, the
allowed modes satisfy the “transverse” resonance condition and reconstruct them-
selves after successive reflection from the lower and upper faces of the plate [21].
These modes are referred to as the Rayleigh-Lamb modes, where the RL modes have
a k-vector β, and

k12 = ( ω v l ) − β 2 and ksv2 = ( ω v s ) − β 2


2 2
(5.9)

where v1 is the velocity of the longitudinal wave and vsv is the velocity of the shear
vertical wave.
Figure 5.13 shows the dispersion curve highlighting all of the lateral modes in a
simple plate of type II, 2.5 μm thick, piezoelectric AlN. In this figure, all of the vari-
ous modes have been labeled accordingly. Besides the five Rayleigh-Lamb modes
(S0, S1, A0, A1, and A2) there are also the three thickness shear modes (“pure” shear
modes versus vertical shear modes). There is no conversion of pure shear into longi-
tudinal or vertical shear modes for a simple plate.
The Rayleigh-Lamb modes can be further broken down into two families; the
symmetric modes(s) and the antisymmetric(a), or flexure modes. The symmetric RL
modes are the ones that create the subresonances seen on the measured Q-circles. It
is these subresonances that will cause strong ripple in the passband of any filter
using these high Q resonators. Hence, one must take care to analyze the source of
these modes and if possible, suppress them or eliminate them. Flexure modes are not
easily measured electrically. The reason one cannot electrically “see” flexure modes
is that the relative spacing between the electrodes remains fixed and therefore volt-
age between electrodes due to flexure modes is constant.
The reason one can only electrically see the symmetric modes on a measured
Q-circle (as opposed to the flexure modes), is that at any given point on the resona-
tor, there is a periodic expansion and contraction of the piezoelectric material,
therefore inducing a periodic voltage across the two electrodes at that point. Assum-
ing that a plane defined in the center of the piezoelectric film (parallel to the top and
134 FBAR Resonators and Filters

3.0e+009
A2 mode (flexure)

Shear Modes: 1 rst and


2nd Harmonics
2.5e+009

S1(−) S1(+)
2.0e+009 fd
Frequency

0.5e+009
S1 Sysmmetric Mode: Characteristic “Swoon”

A1 Mode (flexure mode)


1.0e+009

S0 Mode (symmetric mode)

5.0e+008
0th Order shear mode

A0 Mode (flexure mode)


0.0e+000
0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50

Figure 5.13 Calculated dispersion curve for an AlN plate of thickness 2.5 μm thick.

bottom surfaces) defines 0 voltage, the voltage of the top electrode would measure at
V(x,y)/2, while simultaneously, the voltage of the bottom electrode would measure
−V(x,y)/2, where V(x,y) is a voltage at any point x,y on the resonator.
The mode that has a very strong electrical signal would be the TE-1 or the first
thickness extensional mode near fs (also referred to as the S1 mode). Here the top and
bottom electrodes move up and down in opposing motion with respect to each
other. But, unlike the fundamental longitudinal mode, the vertical displacements
vary locally across the face of the resonator. The pure longitudinal mode (occurring
only at fs) has periodic opposing motion between the two electrodes and the same
phase everywhere on the top plate and the same phase but 180° out of phase on the
bottom plate.
As energy “sloshes” from side to side in the resonator, below fs, the phase of the
voltage as measured at any point on the top electrode varies as a function of the x,y
location (and likewise for the bottom electrode). This leads to variations in voltage
on the same electrode at any given point in time. If the voltages on an electrode are
different in two places, current will flow between the two points. This phenomenon,
piezoelectrically induced eddy currents, is a source of energy loss. The hit in Q
comes from the I2R losses due to eddy currents flowing in each electrode.
For a free-standing, apodized, membrane using AlN for the piezoelectric, the
majority of losses due to this phenomenon lie below fs. Figure 5.14 is a measured
Q-circle with two separate mBVD fits. In the mBVD model, the only change is the
element rseries. Here, the series resistor term was increased five times in order to fit the
measured data below fs. All other parameters are unchanged. From Figure 5.14 one
5.2 FBAR Technology 135

mBVD fit abovef s mBVD fit belowfs

f [MHz] SH-2
of resonator

fs
Clear evidence of a
fs
cut off frequency

S1- or TE-1
of resonator

Im(ν) Re(ν) (1/cm) ~1.3 Ω


R L R L
C C
Rmotion2 Cmotion2 Lmotion2 Rmotion2 Cmotion2 Lmotion2
R=0.265 Ohm L=71 nH L R=0.265 Ohm L=71 nH L
R C=91.4 fF R= Lseries2 R C=91.4 fF R= Lseries2
Rseries2 L=-0.13 nH Rseries2 L=-0.13 nH
R=0.26 Ohm R= R=0.26 Ohm R=
C R C R
Cplate2 Rplate2 Cplate2 Rplate2
C=1.563 pF R=0.6474 Ohm C=1.563 pF R=0.6474 Ohm

Figure 5.14 The Q-circle of an FBAR resonator. Two different mBVD models were used to model the
device below and above fs, the series resonance.

can see that rseries changes dramatically at fs. This suggests that there is specific RL
mode that has a cutoff frequency at fs. Indeed, if one calculates the Rayleigh-Lamb
dispersion equations, one will see that just below fs, there are four modes. One of
those modes, TE-1 mode, has a cutoff frequency at fs.
One can derive the dispersion diagrams for the RL modes based on the “trans-
verse” resonance principle described in volume II of Bert Auld’s book, Acoustic
Fields and Waves in Solids [21]. Following the nomenclature of (5.9) and the teach-
ings of Auld, one can write down the dispersion relations of the symmetric case for a
simple plate as

(k )
2
R = −4 β 2 kl ksv 2
sv − β2 (5.10)

and for the asymmetric case

(k )
2
R −1 = −4β 2 kl ksv 2
sv − β2 (5.11)

where R = tan(ksvd/2)/tan(k1 d/2) and d = plate thickness.


Figure 5.15 is measured and calculated dispersion curves (ω−β) for the first two
pairs of symmetric and antisymmetric modes, of an 920-MHz W/AlN/W resonator.
The measurement and simulation techniques are discussed by Telschaw et al. [22].
The labeled S1 curve (or TE-1 mode) has a minimum at a frequency below fs; we
call this the dilational frequency, fd (where B → 0.08 μm and fd = 900 MHz). At this
point, S1 bifurcates into two branches, one, with a negative group velocity, S1(−),
that terminates at fs where the k-vector is zero. This represents the case where the
136 FBAR Resonators and Filters

1.0 S1 SH
1000
0.9
900
Ω/2p (MHz) ~1/vshear

0.8 r
800 A1 ea
0.7 Sh

Frequency (GHz)
700
0.6
600
0.5
500
0.4
400 S0
0.3
300 A0
0.2
200
0.1
100
0.0
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35
K/2π (1/μm) kx (1/μm)

Figure 5.15 Measured and calculated dispersion curve of a Tungsten electrode resonator (fs ~920 MHz).
The thicknesses of the stack were used to generate simulated dispersion curves. One can overlay the two
curves and see an excellent match of all frequencies and wave numbers for each mode. (Courtesy of J.
Larson and A. Shirakawa.)

lateral wavelength of this TE-1 mode is infinity and the two electrodes uniformly
move up and down like a reciprocating pistons. The S1(−) curve is referred to as the
thickness extensional mode or TE-1.
The TE-1 mode is quite strong in free-standing BAW devices using AlN as the
piezoelectric material. However, above fs, the only allowable symmetric RL modes
are the S0 mode and the right branch, S1(+), of the S1 mode. These two modes have
relatively large wave numbers (β large), corresponding to smaller wavelengths.
The device shown in Figure 5.15 has a total thickness of a membrane with 0.8
μm tungsten electrodes and the AlN thickness of 2.24 μm, the resonator will reso-
nate at 920 MHz. The area of the square resonator measured Figure 5.15 would be
25,600 μm2 and an edge would be 160 μm. From Figure 5.15, the wave number for f
−1
= fd is 0.08 μm giving a wavelength of 12.5 μm. As one travels along the S1(−) dis-
persion curve, the wavelength gets longer and longer, becoming infinite at β = 0.
There will be fewer wavelengths of the S1(−) mode trapped between electrode edges
as frequency approaches fs.
In comparison, the wave number (and wavelength) just above fs for the associ-
ated S0 mode is 0.16 μm−1 (or 6.25 μm), and the wave number (and wavelength) at
−1
just above fs for the associated S1(+) mode is 0.11 μm (or ∼9 μm). For an edge sepa-
ration of 160 μm, the number of S0 wavelengths in one direction is ∼25.5. And, for
the S1(+) mode there are ∼17.5 wavelengths—just above fs.
Another observation is the relative group velocities of the S0 mode and right
branch or the S1(+) mode, versus the left branch of the S1(−) mode (or TE-1 mode).
Ignoring the sign of the velocities, we see that the TE-1 mode group velocities are
very small; hence, for lateral boundary conditions given above, we would expect to
see lateral subresonances grouped closely together in frequency compared to
subresonances of the S1(+) and S0 modes. Simple “eyeballing” the relative slopes
from Figure 5.15 would suggest that for the same spacing, TE-1 subresonances will
be two to three times closer spaced.
5.2 FBAR Technology 137

Figure 5.16 is a set of interferometer measurements taken just below the dila-
tion frequency (onset of the S1 mode) to fp. For frequencies greater than fs or less
than fd, the membrane displacement is relatively small. Furthermore, only fine fea-
tures (high wave numbers) are seen. But, between fd and fs, the membrane displace-
ment is qualitatively quite different. Here, the local displacements within the
membrane become large and as the TE-1 mode S1(−) approaches fs, the wave num-
bers become larger and the features become more gross.
Figure 5.17(a) is a Q-circle of a square resonator and Figure 5.17(b) shows the
log magnitude of a measured S11 [23]. One can see that below fs, the TE-1
subresonances are closely spaced. We see that the frequency spacing of these electri-
cally measured subresonances literally map out the dispersion curve of the TE-1
mode. Above fs, we see the electrically measured subresonances spaced further
apart, as expected for the higher group velocities associated with the S0 and S1(+)
modes.

5.2.5 Apodization
Prior to discussing apodization, it is worth looking at the measured TE-1 dispersion
curve for very narrow and long FBAR resonators. Figure 5.18 shows such a layout
for two such designs. The long edges are parallel and the two short ends are angled.
This topology is chosen to exacerbate the RL TE-1 mode in the narrow direction
and “smear” out standing waves in the long direction. As can be seen from the two

Freq = fd – 1 MHz Freq = fd + 1 MHz fd < Freq < fs


100 100 100
Y (μm)

Y (μm)

Y (μm)

200 200 200

300 300 300

400 400 400

100 200 300 400 100 200 300 400 100 200 300 400
X (μm) X (μm)
X (μm)

Freq = fs – 1 MHz Freq = fs + 1 MHz Freq = fp


100 100 100
Y (μm)

Y (μm)

200
Y (μm)

200 200

300 300 300

400 400 400

100 200 300 400 100 200 300 400 100 200 300 400
X (μm) X (μm) X (μm)

Figure 5.16 Interferometric measurements of the local displacement in an apodized resonator.


138 FBAR Resonators and Filters

x = 1
x = 0.5 x = 1.5

x = 2

r = 0 x = 4

(a) x = 0
r = 1 r = 2

r = 1 2

x = −4
4

x = −2
2
x = −0.5
0.5 x = −1.5
1.5
x = −1
1

0.98

0.96

fd 0.94

0.92

(b)
1.8 1.9 2.1 2.2
fs 0.88

Figure 5.17 Q-circle (a) of a square resonator, and (b) the measured magnitude, |Γ|. The “landmark” fre-
quencies at fd and fs are indicated. Between fd and fs, the quasi-periodic oscillations are 4 to 5 MHz. Above fs,
the periodicity changes to 15 MHz. Interference between the S1(+) and the S0 modes can be seen above fs.

sets of dispersion measurements, one sees a discrete set of relatively large-signal


intensity (from the acoustic microscope). The wave numbers are equally spaced in
wave number (but not in frequency) and are inversely related to the electrode width
(in the narrow direction). Electrically, these bright spots are measured at the various
subresonances seen on the Q-circle (below fs).
If one assumes that the strain is zero at the two edges of the swimming pool, then
one can guess a simple solution for the strain over the width to be

S( x ) = S o sin(2πβx ) (5.12)

At x = 0 and L, S(x) = 0, then 2πβL = n π (n = 1, 2, 3, 4, ...)


If we assume that the forces are opposite in sign at the two edges, then we can
replace n with (2 n − 1). Or, for the standing waves in the narrow direction

β = (2n − 1) (2 * L) n = 1, 2, 3, 4, K (5.13)

What can also be seen is that the measured dispersion curve is continuous (or
nearly continuous) measured wave numbers. That is, other allowed solutions exist
5.2 FBAR Technology 139

2000
2000

1950
1950

f (MHz)
f (MHz)

1900 1900
.
.
1850 1850 .

1800 1800
0 0.05 0.1 0.15 0 0.05 0.1 0.15 0.2 0.25 0.3 0.38

+ Electrode − Electrode + Electrode − Electrode


80 μm wide 40 μm wide
Figure 5.18 Two 500 μm-long FBAR resonators with 80- and 40-μm widths respectively,
apodized at both ends and their measured dispersion curves (measurements courtesy of J. Larson).

between the expected standing waves set up in the narrow dimension. These
quasi-continuous states exist due to the angled edges at the far ends. Standing waves
here are spaced quite close in frequency and wave number.
It is assumed that the acoustic microscope (in this mode of operation) can only
see standing waves. Hence, the nearly continuum of measured states on the TE-1
dispersion curve suggests nearly a continuum of standing waves. Since, the spacing
of these nearly continuous states are closely spaced, we can assume that the value of
n for any point is very large. At nearly every frequency there is another allowed
standing wave.
If one were to imagine a ray trace emanating from one of the angled edges, and
follow that over multiple reflections from the other three edges, there would be a
large number of reflections before the ray comes back on itself. This implies many
wavelengths, or another way is to say that n in (5.13) is very large.
This observation explains how apodization, or changing the shape of the elec-
trodes from Manhattan geometry to a non-Manhattan geometry would help smear
those modes above fs. By making edges nonparallel, we recognized that the path
lengths for the fundamental subresonance would greatly increase (e.g., n times lon-
ger). Therefore, a standing wave can exist at almost every frequency (Δf between
standing waves is very small). Thus, apodization smooths out the variation in elec-
trical subresonances.
The downside to apodization is obvious; the subresonances are much more
closely spaced, giving the appearance of a nearly continuum of states. But, the loss is
no longer limited to discrete frequencies but is also smeared out over all frequencies.
Figure 5.19 is a Q versus frequency [using (5.8)] of two resonators identical in area,
but one shaped as a square and the other as a squashed pentagon. This leads to an
interesting observation. The Q is larger for square resonators for those frequencies
140 FBAR Resonators and Filters

1.0E4
m7
m5
5.0E3 freq (700.0 MHz to 760.0 MHz)

0.0

−5.0E3

−1.0E4

−1.5E4
700 710 720 730 740 750 760
Figure 5.19 Q versus frequency plots for two resonators of identical area, but with different shapes.

in-between the subresonances (we are only concerned with those resonances above
fs). What this tells us is that energy loss (presumably out of the edges of the resona-
tor) are real and of measurable concern. When one correctly apodizes the mem-
brane, one creates loss at almost every frequency above fs (unlike loses for a square
resonator at v/2*L frequency intervals). Apodization smears out the Q losses due to
these RL modes S0 and S1(+). However, it neither eliminates nor suppresses these lat-
eral modes.
By careful design of the apodization, we have been able to obtain a nearly
smooth Q-circle [an example is seen in Figure 5.20(a)]. Here a quadrilateral design
was used to apodize the shape.
Figure 5.20(b) is the log magnitude of the reflection coefficient. One can see how
much smoother the reflection coefficient is above fs. However, the TE-1 modes are
still quite strong, and given that the wavelengths are much longer for this mode, the
subresonances are still relatively strong.

5.2.6 Frames
Since 1997, work at Avago (then HP) focused on Q enhancements for apodized res-
onators. As stated earlier, kt2eff is a limited knob when trying to improve Rp. Most
high-volume filter applications require bandwidths where only the maximum kt2eff is
useful. The kt2eff , in turn, is limited by the intrinsic coupling value (material limited)
and the configuration of the electrodes (e.g., W versus Al electrodes). No such limi-
tation is forced on Q. Improve Q by two times, Rp and Rs improve by two times, and
with that, the insertion loss and shape factor of any filter using FBAR resonators
improves.
In 2003, Kaitila et al. presented a paper on the use of frames that would elimi-
nate lateral modes (as opposed to suppressing or smearing of modes via
apodization). This is discussed in much more detail in Chapter 2 and in [24]. In all of
the published papers on frames since 2003, the focus has been on FBARs using ZnO
5.2 FBAR Technology 141

x = 1
x = 0.5 x = 1.5
x = 2

r = 0 x = 4

x = 0
r = 1 r = 2

r = 1 2

x = −4

x = −2
x = − 0.5 x = − 1.5
x = −1

(a)

0.96

0.94

0.92

1.8 1.9 2.1 2.2


0.88

(b)

Figure 5.20 Q-circle (a) of a quadrilateral (i.e., apodized) resonator, and (b) the measured mag-
nitude, |Γ|. Between fd and fs, the quasi-periodic oscillations are more smeared, but still visible.

as the piezoelectric or SMR-BAW devices that use AlN. In both cases, the TE-1 pis-
ton mode exists above fs—not below.
In the case of FBARs using AlN as the piezoelectric material, the TE-1 mode lies
below fs. This is one fundamental difference between FBAR versus SMR-BAW and
has been discussed elsewhere [25]. Thus, the application of a frame around the per-
imeter of the resonator—as taught by Kaitila—will not work.
This is made clear in Figure 5.21(a). In the case of SMR-BAW, the TE-1 mode
rises above fs. [Note: Even though ALN is a type II piezoelectric material, if there is
sufficient oxide in the acoustic stack (and/or mirror for SMR-BAW) the resonator
will behave like a type I acoustic stack where the TE-1 mode is above fs.] The frame
region for a type I acoustic stack (a raised frame) will also have a TE-1 mode rising
above fs, but due to the thicker acoustic stack, the TE-1 mode for this region is over-
all at a lower frequency and terminates at f = f s′ where f ′ < f s . If the thickness and
width of this frame is chosen correctly, one can create an “eigensolution” consisting
of: (1) a uniform displacement throughout the center region (the majority of the res-
onator area), (2) an exponentially decaying function outside of the resonator, and
(3) the frame region where the amplitude and first derivative match at each inter-
142 FBAR Resonators and Filters

f [MHz] TE-1 mode


of resonator
f [MHz] SH-2 mode
of resonator

. .
TE-1 mode
of raised
frame
fs TE-1 mode
fs ’ of recessed
frame

TE-1 mode
SH-2 mode TE-1 mode
of resonator of resonator
of raised-frame
region of resonator

Im(β) Re(β) (1/cm) Im(β) Re(β) (1/cm)

(a) (b)
Figure 5.21 The dispersion curves of a type I resonator such as a SMR-BAW (a) and equivalent
curve for a type II resonator such as an FBAR using AlN piezoelectric (b). Also shown are the disper-
sion curves for the frames (raised or recessed) for the two kinds of resonators. For a thin delta
thickness, there will be a solution in the frame such that the center region motion will be uniform.

face. In particular, if the framed region has a real solution such that the
eigenfunction and its first derivative are continuous, no other Eigensolution can
exist by reason of orthogonality and thus, no subresonances will occur. Without this
breakthrough, the SMR-BAW would have never reached the performance it enjoys
today.
For FBAR using AlN, one would have to use a recessed frame to accomplish the
same thing. In this case, the perimeter is at a higher frequency compared to the cen-
ter or main region of the resonator. If the width and amount of removed material is
done correctly, there will be an allowable eigensolution where the center region dis-
placement is uniformly flat across the center region. Figure 5.21(b) is the TE-1 dis-
persion curve for a type II resonator (an FBAR consisting of a free-standing
membrane and used AlN as a piezoelectric material). The solid line represents the
center region (the majority of the resonator area) and the dotted line is the TE-1 dis-
persion curve for the recessed frame around the perimeter.
We have done this and we see suppression of the S1(−) mode and an improve-
ment in the Qu below fs. The amount of improvement for an optimized value of the
recessed frame width and thickness will vary depending on if the electrode is Mo (a
big improvement) or W electrodes (a more modest improvement). However, above
fs, at fp we see a degradation of Rp. The Qu, in general, is degraded everywhere above
fs.
The reason for the degradation near fp, is believed to be the fact that for those RL
modes, S0 and S1(+), the recessed frame acts like an acoustic horn, foucusing the
energy emitted from the membrane into the silicon anchoring points. It is
well-known that one can match two dissimilar transmission lines by the use of a
quarter-wave line whose impedance is the geometrical mean of the two transmission
5.2 FBAR Technology 143

lines. In the case of an FBAR, the center region is the most heavily loaded acoustic
stack and the region between the electroded FBAR and the anchoring silicon edge
(an area missing a top electrode) is much less heavily loaded. The recessed
frame—lying between the two regions—is more heavily loaded than the outer
region, but less so than the inner region. Thus, the recessed frame acts like an imped-
ance matching element for RL lateral waves. Once energy has left the resonator and
is launched into the supporting substrate, one can assume that energy is lost and
hence, will limit the Qu. When we observe membrane (with recessed frame) motion
at f90 (midway between fs and fp) under the acoustic imaging microscope, we see a
large displacement at the perimeter of the resonator. This displacement is larger
than the relative displacement of the center region, indicating a large amount of
energy escaping the resonator. This is not the case for a resonator with optimized
raised frame.
So, what happens if one puts a raised frame around the periphery of a type-II
acoustic stack? The answer is that if done correctly the Rp is greatly enhanced over a
standard resonator without a raised frame [26]. The raised frame for a type II FBAR
(i.e., a free-standing resonator using AlN piezoelectric) acts like a large impedance
mismatch. In Figure 5.21(b), the dotted line represents the dispersion curve for a
raised frame. For RL modes below fs (primarily the TE-1 mode) the perimeter frame
acts as a energy barrier. Only evanescent waves are allowed inside the frame FBAR
for those frequencies above f s′ of the frame. Thus, the frame acts to be a very high
quality reflector for the TE-1 RL generated lateral waves. The net effect is that
below fs, the trapped lateral modes have higher Qs and thus create larger rattles in
the Q-circle along the southwest quadrant. However, for the S0 and S1(+) modes
(below and above fs), the frame will have allowed states.
But, above fs, we see the measured Qu to be significantly better than a resonator
without raised frames around the periphery. The higher order RL modes (i.e., the S0
and S1(+) modes) are certainly allowed in the frame region FBAR. However, movies
made of the motion of the acoustic resonator with a raised frame (using an acoustic
microscope), clearly show that the energy is also well trapped inside the resonator
(versus no frame). In the latter case, energy is seen leaking out into the silicon with a
commensurate amount of bending occurs between the edge of the top electrode and
the edge of the swimming pool.
It is interesting to look at the fitted mBVD model for two resonators of equal
area and stack make-up but one with a raised frame and the other without a raised
frame. Indeed, what one finds is that the only term that changes dramatically is the
ro term. This is the resistor in series with the plate capacitance. This value changes
by 5 to 10 times as one compares a standard FBAR with one having an optimal
width raised frame. So, what is happening? We argue that the raised frame belongs
to part of a lateral Bragg reflector (BR).
Since the physics of frames are quite different, one might wonder at what hap-
pens when one combines a recessed frame with a raised frame. A patent on this con-
cept was filed in 2005 [27] and was independently published by Thalhammer et al.
of Infineon in 2006 [28].
To see if there is any merit to this concept, we made a series of FBAR resonator
layouts with varying frame widths. If there is a dependence due to interference, one
would expect to see a periodic dependence on Qu (or Rp—since kt2eff only slowly
144 FBAR Resonators and Filters

changes with frame width) versus width. Also, as part of the experiment, we also
laid out resonators with varying widths of recessed frames. The layouts thus consti-
tuted a two-dimensional array of resonators where the inner recessed-frame resona-
tor widths varied along the x direction and the outer raised-frame resonator width
varied along the y direction. Figure 5.22(a) shows Rp as a two-dimensional function
of the recessed-frame width (horizontal axes labeled E) and an outer raised-frame
width (vertical axes labeled A). The array is repeated multiple times across a 6”
wafer and the median value Rp for each “flavor” of resonator is plotted in Figure
5.22(a). The frame width is varied along the y axes from zero to 11 μm. As one can
see, the Rp is periodic with respect to the raised-frame width (1/4, 3/4, and 5/4 peri-
odicity at 2 μm, 6.5 μm, and ∼11 μm). From Figure 5.22(a) and assuming the Bragg
relationship, the maximum reflection occurs for that frequency whose wavelength is
8.5 to 9 μm (for a wavenumber of 0.11 μm−1).
From Figure 5.22(b), we can infer that the dominant mode that leaks energy
from the edges is most likely the S1(+) mode and not the S0 mode. In Figure 5.22(b),
we see that at fp, the S1(+) mode had a wavelength of about 8 ∼9 μm. This leads us to
the conclusion that the S1(+) mode is the larger contributor to loss (as compared to
the S0 mode). Therefore, one can maximize Rp (at fp) by choosing a frame width to be
λ
(2n − 1) , where λ is the wavelength of the S1(+) mode at fp. However, it should be
4
emphasized that the added benefits of a raised frame around the periphery must be
accompanied by appropriate apodization of the resonator.
In contrast with the raised frames, varying the widths of recessed frame devices
shows no such periodic dependence of Rp with width. Again, this is seen in Figure

Rp W_FBAR_plain_B8880081_WVFFT
1000
11.0 Legend
980
10.0
S22_RP 960
9.0
8.0 <= 2500 940
7.0 <= 3000 920
A (μm)

f(MHz)

6.0
5.0
<= 3500 900 . .
<= 4000 880
4.0
3.0 <= 4500 860
2.0 <= 5000 840
1.0 <= 5500 820
0.0
<= 6500 0 0.05 0.1 0.15 0.2 0.25
0.0 1.0 2.0 3.0 4.0 5.0 6.0 7.0 8.0 <= 6500
B (1/μm)
E (μm)

(a) (b)
Figure 5.22 (a) A two-dimensional parameter plot of median Rp versus recessed-frame and raised-frame
dimensions (courtesy of Alex Shirakawa). The insert gives the detail of the recessed/raised frame design.
The measured dispersion curves are given in (b). At fp, the wave number for the S1(+) mode is ~0.11 to 0.12
−1 −1
μm and the wave number for the S0 mode is ~ 0.19 μm .
5.2 FBAR Technology 145

5.22(a); the x-axis is the variation in the recessed frame (inside the raised frame) and
varies from 0 to 10 μm. This shows that the two techniques, a raised frame and a
recessed frame, are governed by completely different physical phenomena.
As one can see from Figure 5.22(a), varying the width of the inner frame only
serves to lower Rp. Figure 5.23(a) shows the behavior or kt2eff versus frame width.
The decrease in kt2 as the raised-frame width is increased is due to the fact that the
raised-frame FBAR acts like capacitor in parallel with the active area. Thus, the
ratio of Cm/Cp decreases as width increases and kt2 decreases. In the case of recessed
frames, kt2eff increases with recessed-frame width increase. Kaitila theorizes in his
paper [24] that the more effective a recessed-frame design is, the less energy will be
coupled into the spurious RL modes, and thus, more acoustic energy remains for the
desired longitudinal mode. In Figure 5.23(b), we see that only one width (x = 4.5
μm) will work to improve the Q below fs. However, beyond 6 μm, the recessed
frame only acts to degrade Qs.
Figure 5.24(a) is a plot of a resonator Q-circle with only a raised frame (opti-
mized for width and thickness) superimposed on a Q-circle of a resonator with a
recessed frame (optimized for width and thickness). Also shown are the two Q ver-
sus frequency plots of the two devices [using (5.8)]. One can see that above fs, the
raised-frame device clearly has a better Q than the recessed-frame device and vice
versa below fs.
Figure 5.24(b) is a plot of two resonators, one with an optimized combination
of recessed and raised frames and a resonator with no frames at all. As can be seen
from the Q versus frequency plots, the Qu is better everywhere when using an opti-
mized combination of recessed and raised frames. One drawback should be men-
tioned, each frame requires an additional masking step. Thus making the process
that much more complex.
The bottom and top electrodes used for these resonators is made of tungsten
and average 5,600A and the AlN thickness is about 1.9 μm.

5.2.7 Temperature-Compensated Resonators


Most materials used in BAW devices (AlN, Mo, W) have negative coefficients of fre-
quency terms. This arises from the fact that the stiffness coefficient of these materi-
als is inversely proportional to temperature. As temperature rises, the stiffness
decreases. In contrast, oxide films have positive temperature coefficients. Thus, one
can compensate for the negative temperature dependence of the other films by plac-
ing an oxide layer in the acoustic stack [29].
One can put the oxide outside of the metal electrodes or inside the electrodes.
The former case will only marginally improve the temperature drift and inside, the
oxide—if designed properly—can cancel out all or nearly all of the linear coefficient
terms. However, there will be a cost. The kt2eff will decrease for any amount of oxide
inside the stack.
For zero-drift resonator applications, the application of an oxide is very useful.
Figure 5.25 is the residual temperature drift of an acoustic stack with a thin layer of
oxide introduced into the stack. As can be seen, this device has a residual tempera-
ture dependence that can be—to the first order—modeled by a parabolic equation.
The raw “uncompensated” performance of this resonator is only two to three times
146 FBAR Resonators and Filters

k 2t eff
11.0 Legend

10.0
S11_KT2
9.0

8.0 <= 6.000

7.0 <= 6.125


6.0
A (μm)

<= 6.250
5.0
<= 6.375
4.0
3.0 <= 6.500

2.0 <= 6.625


1.0 > 6.625
0.0

0.0 1.0 2.0 3.0 4.0 5.0 6.0 7.0 8.0 9.0
E (μm)
(a)

Qs
11.0 Legend

10.0
S22_QS
9.0

8.0 <= 750

7.0 <= 1000


6.0
A (μm)

<= 1250
5.0
<= 1500
4.0

3.0 <= 1750

2.0 <= 2000

1.0 <= 2250


0.0
<= 2500

0.0 1.0 2.0 3.0 4.0 5.0 6.0 7.0 8.0 <= 2750
E (μm)

(b)
Figure 5.23 These two-dimensional parameter plots are of (a) k2t and (b) Q at fs . The data is from
the same array of resonators described in Figure 5.20(a) and in the text.

worse than quartz (the two criteria for this comparison is temperature stability and
the f*Q product—a normalized figure of merit when comparing phase noise of
oscillators at running at different frequencies). For many applications (GPS receivers
5.2 FBAR Technology 147

3500 2500
3000
fs fp 2000 fs
2500 fp
2000 1500
1500
1000 1000

500
500
0
-500 0
860 865 870 875 880 885 890 895 900 905 910 915 920 860 865 870 875 880 885 890 895 900 905 910 915 920
freq, MHz freq, MHz

(a) (b)

Figure 5.24 (a) The Q-circle of a resonator with a recessed frame (superimposed is a resonator with a
raised frame). (b) The Q-circle of a resonator with an optimized recessed plus raised frame superimposed on
a Q-circle with no frames. Q versus frequency [using (5.8)] is plotted for each pair of devices in (a) and (b).
The resonant frequencies fs = 886 MHz and fp = 910 MHz. All resonators are apodized.

XO

30
Frequency deviation in ppm

15

−15 2
f = −α*(T − Txo )
−30

−45

−60
−40 −20 0 20 40 60 80 100
Temperature (°C)
Figure 5.25 The residual temperature variation after the linear temperature terms of each of the
materials (Mo electrodes, AlN piezoelectric, and a positive temperture compensation oxide).
148 FBAR Resonators and Filters

being one notable exception), the temperature drift of this resonator is acceptable.
For example, in the case of many oscillator applications, a drift of ±150 ppm is
acceptable.
Once the linear drift term has been eliminated, one can define the residual para-
bolic dependence with two variables; the quadratic term, α (typically −22 ppb/oC2)
and the temperature cross-over point (TXO) where the derivative of the frequency
versus temperature is flat). To get the minimum frequency drift over a temperature
range of −20 to 80°C, one would design TXO to be at 30°C.
The oxide is relatively soft compared to both AlN and Mo; therefore, one would
expect that this would impact Q. Indeed, we do see a consistent trend of lower
Q-values for compensated resonators versus uncompensated resonators [29]. Going
back to the mBVD model, we would expect that rm, the motional loss term, would be
most changed. When modeling two identical resonators (with and without the oxide
layer), we see that indeed the rm term increases by ∼8× when comparing resonators
without oxide in the stack. Figure 5.26 shows the Q-circles for the two resonators
and the best-fit mBVD values for each resonator. Unlike rm, the other loss terms, rseries
and ro, remain unchanged. The performance of a 600-MHz differential Colpitts
oscillator was presented using a temperature compensated FBAR in 2007 [30]. The
device showed ±25 ppm stability over 100°C and a phase noise at 1-kHz offset of
−104 dB. This performance compares well with quartz oscillators. Using dividers to
bring the frequency down 32 times (by using 5 dividers), one can extrapolate the
phase noise performance to be −134 dBc at 1-kHz offset at 20 MHz (where quartz

R R L L
C
Rseries1 Rm1 Cm1 Lm1 Lseries1
R=0.25 Ohm R=0.676 Ohm C=44.7 fF L=1.086 uH L=0.01 pH
R= R=

C R
Co1 ro1
C=0.93 pF R=8.76 Ohm
0.7 Ohms
~ 0.325 Ohms
30 Kμm2 Resonator without 5.0 Ohms !!
~8.7 Ohms
temperature compensation

R L
C
Rmotion3 Cmotion3 Lmotion3
R=5.0 OhmC=19.53 fF L=3.59 uH
m2 R=
R
L
Rseries3
Lseries3
R=0.4 Ohm
C R L=0.01 pH
Cplate3 Rplate3 R=
C=0.9 pF R=8.82 Ohm

C plate is the same for both cases ~0.9pF


30 Kμm2 Resonator with
temperature compensation
Figure 5.26 The Q-circles for two devices identical except one has an additional oxide layer to create a
temperature compensated resonator. This changes the resonant frequency (and hence the Lm, Cm terms in
the mBVD model).
5.2 FBAR Technology 149

crystal oscillators work). This is comparable to many of the TCXO quartz oscilla-
tors used in phones today [31].

5.2.8 Coupled Resonator Filters


Ken Lakin describes coupled resonator filters (or CRFs) in Chapter 2 and so it will
not be described here. However, in the description given, Ken describes using a
quasi-Bragg reflector consisting of three or more layers of differing acoustic velocity
as the decoupling medium between the two FBARs. Fattinger et al. [32], used a
tungsten layer sandwiched between to layers of oxide. The layers were not precisely
quarter-wave, which would be the case for a true Bragg reflector. This perturbation
from the quarter-wavelengths, allow for some weak coupling to exist between the
bottom and top resonator.
What wasn’t described in any detail in Chapter 2 is that there are materials that
have sufficiently low acoustic impedance, that the two resonators can also be suffi-
ciently decoupled. This is shown in Figure 5.27. A single coupling (or rather a
decoupling) layer is shown. One family of coupling materials would be organic
films that can be spun onto the wafer and then processed further. The acoustic
impedance of some organic materials is around 2.8 MRayls and is ideal for decoup-
ling the resonators. Certain oxides are also possible choices for the decoupling
layer. A simple equation was derived that puts an upper bound on the acoustic
impedance of the coupling material [33].

Z c ~< 2 * (%BW ) * Z AIN (5.14)

For a percent bandwidth of 4%, an acoustic impedance of AlN of 35 MRayls,


the coupling acoustic impedance should be equal or less than 2.8 MRayls. The deri-
vation of (5.12) assumes infinitesimally thin electrodes. In the case of high acoustic

Electrode 4

Electrode 3
PAD 4

Electrode 2
Ground ring

Electrode 1

PAD 1

Figure 5.27 A CRF filter consisting of two stacked FBAR resonators separated by a coupling layer.
In this configuration, electrodes 2 and 3 are tied together and grounded. The inset is a device with
an area of 0.4 × 0.6 mm .
2
150 FBAR Resonators and Filters

impedance electrodes such as Mo or W, the acoustic impedance of the coupling layer


can be increased to something like 5 MRayls. [Note: (5.14) should be taken with a
grain of salt. Higher coupling coefficients lead to poorer return loss and swag in the
middle of the passband. So, there are other equations that govern the parameter
space for a usable CRF device.]
One can create a single-ended to single-ended filter with just one resonator (as
shown in Figure 5.27) where the two middle electrodes are tied together and
grounded. Floating the two top electrodes converts the device into an unbalanced sin-
gle-ended to differential filter. Using an external inductor will help balance the differ-
ential output. The external inductor is designed to resonate out the large parasitic
capacitance formed between metal 2 and metal 3 sandwiching the thin dielectric.
The drawback to using organics as the coupling material is that they are rela-
tively lossy, somewhere between 1,000 and 2,000 dB/cm. Figure 5.27 inset shows a
picture of one incarnation of the CRF where the two middle electrodes are tied to
ground. The performance (narrow band and wide band) are given in Figure 5.28.
The device has a 4% 2-dB bandwidth at 2.4 GHz and a null near 2.1 GHz—useful
for filter applications in the ISM band [34]. Figure 5.29 shows a single-ended to dif-
ferential-ended filter and its response. Other single-ended to differential topologies
are described in [35]. (Note: a filter-balun combination is a property much desired
for high-frequency receivers.)

5.3 FBAR Filters

5.3.1 Interstage Filters


Even if the resonator is the heart of the filter or duplexer, by itself, it is not a filter.
Multiple resonators must be connected in some fashion as to make a filter response

-10

-20

-30

-40

0 -50

m1 m2 -60
-2
m3 -70

-4
freq = 2.484 GHz -80

-6 dB (S(1,1)) = −10.328 -90


1.4 1.6 1.8 2.0 2.2 2.4 2.6 2.8 3.0 3.2 3.4 3.6

freq, GHz
-8
m3
-10

-12

-14

-16

-18

-20
2.34 2.36 2.38 2.40 2.42 2.44 2.46 2.48 2.50 2.52 2.54 2.56 2.58 2.60

freq, GHz
Figure 5.28 A measurement of the single-ended to single-ended device. The 2-dB bandwidth is
4.3%. The wideband performance is shown in the inset. For these devices a null is formed due to a
parasitic capacitance between input and output electrodes.
5.3 FBAR Filters 151

50 Ohm
0

−1
m4 m5
Term −2
Term14
−3

−4
External inductor
−5
1 2

3 Ref
L -6
0
L21
-7
S3P
−10 -8
SNP5
-9
Term −20
Term13
2.40 2.42 2.44 2.46 2.48 2.50 2.52
50 Ohm −30

−40

−50

−60

−70
1.8 2.0 2.2 2.4 2.6 2.8 3.0 3.1
freq, GHz
Figure 5.29 A measurement of the single-ended to differential-ended device. The 2-dB band-
width is 4.1%. The narrowband performance is shown in the inset. An external inductance is
needed to balance the two output arms. This is shown in the circuit.

with a passband with some defined level of insertion loss (usually defined by the
worst-case corners measured over temperature), an out-of-band rejection (and iso-
lation for duplexers), and wideband specs.
The most common topology for filters and duplexers is the half-ladder topol-
ogy. Here, the series resonators are designed acoustically to have a desired fre-
quency f, whereas the shunt resonators are acoustically designed to have a
frequency f − Δf. The value of Δf is targeted to be about 1.5% to 2%. This has the
effect of placing a zero above the passband (due to Rp of the series resonators) and a
zero below the passband (due to Rs of the shunt resonators). The series resonance of
the series resonators provides a pole in the passband, as does the antiresonance of
the shunt resonators. To remove all ambiguity, I prefer the nomenclature where a
pole “props” up the passband, and, zeros “nail” down the rejection.
We use mass loading in the acoustic stack to achieve the Δf in frequency
between the series and shunt resonators. This is done by adding a thin film on the
order of a few hundred angstroms to just the shunt resonators.
One is not restricted to only one mass loading. One can add more resonators
with different mass loadings (at the cost of additional processing steps). Adding dif-
ferent frequency series resonators will widen the passband (additional poles).
In order to avoid additional mass loadings in the shunts (more processing),
inductors of different values in series with each of the shunt resonators are used. The
Lext*Cp product for each of the shunt arms in a filter will define the frequency of the
zeros in the rejection band. This can be understood as follows: Off resonance, the
152 FBAR Resonators and Filters

shunt resonator looks like a plate capacitor. It will series resonate with the external
inductor creating a zero around 1/[Lext* Cp]1/2. Choosing different external
inductors (and/or shunt resonator area) for each shunt resonator allows the designer
to distribute zeros across the rejection band. If the zeros are too far apart, the rejec-
tion floor rises quickly. By spacing the zeros appropriately, the designer nails down
the rejection floor across the critical frequency region.
There is a price to pay for using external inductors on shunts. These inductors
will create “flyback.” This is where the rejection floor will rise quickly and irretriev-
ably as one goes to higher frequencies. This can be explained simply by pointing out
that the inductor impedances continue to increase with increasing frequency. Hence,
less energy will be diverted to ground and will instead, find itself passing through to
the output.
Alternatively, one can avoid using shunt external inductors and obtain a
respectable filter response by optimizing the areas of the shunt resonators and add-
ing additional stages. At the expense of insertion loss and steep skirts, one can design
a filter with a much delayed flyback.
Choosing the best design for a half-ladder filter is in the province of a talented
filter designer. Depending on specs and, of course, the quality of the resonators, a
good designer will choose an appropriate design.
Figure 5.30 shows measurements of a single Tx “point” filter used in a large
majority of CMDA phones today [36]. Figure 5.30 highlights the importance of hav-
ing a reproducible process. One can see that there is indeed a spread in performance
for these devices as measured across a wafer. The zeros are clearly seen outside the
passband and the tightness of the passband insertion loss (worst-case corners)
defines the “blood” specs. These are the specs that one MUST apply to each part as
it is tested in the factory and—even if the part misses a spec by < 0.1 dB—the part
must be rejected.

5.3.2 The Duplexer and Multiplexers


Unlike a filter, the duplexer is a much more complicated device to design. The
duplexer is made up of two filters. However, the set of specifications increases more
than two-fold. The reason is that not only does each filter have its own set of specifi-
cations, but, now there are the isolation specifications of the combined filter pair.
Another way to understand this is that a single filter with input/output has three
independent S parameters from which specifications are set for (namely, return loss
S11, S22, and thru S12). For a duplexer, there are the specifications relating to the
return loss for each port; S11, S22, and S33 (where S33 is the antenna port) but there are
new specifications relating to S12 (isolation between Tx port and Rx port) as well as
S13 and S23.
In the filter, duplexer, and higher-order multiplexer, the engine is the resonator.
Without controllable and repeatable kt2eff and very high unloaded Q-values, the per-
formance of these types of devices is marginal. The specs that drive acceptance of
FBAR into the market place are insertion loss, return loss at each port, and steep
skirts resulting in high rejection and isolation close in to the passband.
Figure 5.31 shows the progression of duplexer performance from 1999 to 2003.
What is telling is the huge improvement in the first four years; from scientific oddity
5.3 FBAR Filters 153

−10

−20

−30

−40
B2247088 (S11)
B2247080 (S11)
−50 B2477080 (S12)
B2477088 (S12)

−60
1.75×109 1.80×109 1.85×109 1.90×109 1.95×109 2.00×109
(a)

B2477080
B2477088

−10

−20

−30

−40
0 1×10 9 2×10 9 3×10 9 4×10 9 5×10 9 6×10 9
(b)
Figure 5.30 Filter performance from two separate wafers (400 units) of a PCS Tx interstage
filter. Narrowband is shown in (a), and the wideband response is shown in (b). The vertical axes
are in dB [36].

to commercial realization. Clearly, specs have not eased up at all in the intervening
years. The latest device the ACMD-7302 addresses the new G-Block addition to the
traditional 60-MHz PCS band. So, instead of only 20-MHz spacing between Tx and
Rx (and defining the error budget that includes temperature drift, process variation,
and roll-off), the new guard band is only 15 MHz.
154

158 Duplexer Builds 7/29/2000


First Published Duplexer, 1999
0 0

−10 −10

−20 −20

−30
Barely meeting
−30 specs at the corners

−40 −40

Insertion Loss, dB
Insertion Loss, dB
−50 −50

1.7 1.8 1.9 2 2.1 1.86 1.88 1.9 1.92 1.94 1.96 1.98 2

Frequency, GHz Frequency, GHz

Two Duplexers (mid 2001) Duplexer Builds 1/03/2003


0 0
−10
−1.0
−20
−2.0 −30
−40
−3.0
−50
−4.0 −60

Insertion Loss, dB
Insertion Loss, dB
−70
−5.0
−80
−6.0 −90
1.85×10 9 1.90×10 9 1.95×10 9 2.00×10 9 1.85×10 9 1.90×10 9 1.95×10 9 2.00×10 9
Frequency, GHz Frequency, GHz

Improved specs, but variation from part to part Improved specs and part to part consistency

Figure 5.31 A snapshot of duplexer measurements from 1999 to 2003.


FBAR Resonators and Filters
5.3 FBAR Filters 155

In a typical CDMA phone today, there are two bands (the cell band at 850 MHz
and the PCS band at 1,900 MHz). The two sets of radio frequencies are fed into a
diplexer (to minimize the loading of the one duplexer on the other duplexer) whose
output is then fed into an antenna. With the requirement of E-911, all CDMA
phones need an access port to GPS. The GPS requirement is quite stringent, since the
signal from orbiting satellites are so weak, the insertion loss of the GPS filter must
be quite low and because any interference will detune the GPS LNA, the rejection
out of band must be very good. In fact, until recently, simultaneous GPS (S-GPS)
and phone transmit were mutually exclusive. To make S-GPS, the handset manufac-
turer would have to make a separate transceiver path with separate antenna, filter,
and LNA. Alternatively, a switch at the diplexer could be installed to switch from
phone use to GPS use. The switch would add insertion loss to the receive channel
and simultaneous GPS would not be available.
One advantage FBAR resonators have (heretofore not discussed) is the Q-fac-
tor—away from resonance. Unlike SAW and SMR-BAW devices where the reso-
nance is created by a Bragg reflector that only works over a narrow range of
frequencies, the air/crystal interface of an FBAR resonator will not transmit sound

Figure 5.32 Model layout of Avago AMCD-7102 Quintplexer. This product allows for working
GPS during normal phone calls. The two relatively large die on the left are the cell-band duplexer
die and the two die on the right are the PCS duplexer die. The lower die in the center is the GPS
filter. The three smaller die are chip capacitors.
156 FBAR Resonators and Filters

from the transducers into the substrate. Thus, far from resonance, the FBAR looks
like a very high-quality capacitor.
One can take advantage of this to design and build a quintplexer [37]. The
“quint” eliminates the switch as well as the diplexer and connects all three radios
(cell, PCS, and GPS) to one antenna. Thus, overall handset performance is improved
and just as important simplified from a phone designer’s perspective.
Figure 5.32 is a model of our quintplexer, the ACMD-7102 [37]. Every trace is
mutually coupled to each other trace. These mutual capacitances and inductances
must be taken into account so that the far-from-band specs are met. For example,
the insertion loss of the GPS filter portion of the quintplexer is less than 0.9 dB, and
the port isolation between the GPS port and the TX ports of the two transmit filters
(cell and PCS) is better than 42. The large isolation is an important selling point for
these multiplexers, all of the parasitic mutuals have been cancelled out such that iso-
lation can be met.
Figure 5.33 is a side-by-side comparison of our first duplexer product intro-
duced in 2001 with our quintplexer product introduced in 2007. The performance
improvements are quite substantial, the functionality and content of the quintplexer
is significantly greater than our first duplexer, the size is smaller—and yet, the price
is less than half as much as our first product. Such is the speed of progress and effect
of competition on our business.

5.4 Conclusions

There are five market forces driving the filter needs in the cell phone business. These
are:

1. CDMA service providers are demanding that the talk time equal or exceed
that of the GSM service provider’s phones, and at the same time, adding
power draining features. However, a countertrend has been the consumer’s

Then and Now

PCS Duplexer PCS Duplexer + Cell Duplexer + GPS

Tx /Rx insertion loss ∼3.8 dB/−4.5 dB Tx /Rx insertion loss ∼2.0 dB/−2.5 dB
Return loss −8 dB Return loss −11 dB
Isolation Tx / Rx ∼ −50 dB/ -40dB Isolation Tx / Rx ∼ −57 dB/−45 dB
Volume 144 mm3 two LCC Packages Volume 28 mm3 –five Microcaped Filters
Price ∼ ½ of the 2001 PCS Duplexer
First 6 × 11 mm FBAR duplexer versus the 4 × 7 mm Quintplexer.
2 2
Figure 5.33
5.4 Conclusions 157

quick adoption of slim phones, which demands a thin battery with limited
mA-hour capacity. This places pressure on the duplexer to reduce insertion
loss in the Tx band, a major source of power drain for cellphones.
2. Service providers are demanding large cost reductions and more
functionality from their handset vendor, while simultaneously tightening
specifications—including noise sensitivity and reduction of spurious
emissions—both specifications that affect the phone’s ability to meet and
improve quality of service (QoS). As the base-band chip and the various
transceiver chips migrate from relatively expensive SiGe technology to the
cheaper and higher density RF CMOS technology, the quality of the CMOS
gates degrades with size and in particular, the CMOS LNAs have poorer
noise figure. This puts pressure on the duplexer vendors to improve its Rx
insertion loss and rejection in the Tx band, as well as far-from-band
rejection.
3. The move to internal antennas has degraded the sensitivity of both Tx and
Rx in handsets—again, pushing the duplexer to have better insertion loss
specs.
4. The creation of EGSM in Europe and more recently the creation of the
G-block spectrum in the United States has started a trend of taking
bandwidth away from the guard band between Tx and Rx spectrum and
giving this spectrum to the user. This means that the duplexer will have to
both increase kt2eff to meet the needs of a wider bandwidth and increase Q to
meet the need for steeper skirts—in filter speak, the resonators used must
have higher Rps and lower Rss to meet the new requirements.
5. The push to have more radios with coverage in more bands means the phone
must have more antennas and/or more switches. Large number of throws on
a switch (that already has very tough insertion loss specs and linearity specs)
is very difficult and there is a limit to the number of antennas one can put
into the phone—both for size reasons and due to increasing cross-talk
between antennas.

Furthermore, as the airwaves become more congested and interferers prolifer-


ate, the requirements on the filter performance is constantly increasing. Now, speci-
fications include values on the amount of nonlinearity (specifically second-
harmonic generation due to the inherent nonlinearity of the piezoelectric film) and
intermodulation-distortion (IMD2 and IMD3) as well as sufficient rejection at
higher frequencies, specifically, harmonics of the fundamental power going through
the PA.
This same congestion will, over time, force carriers to adopt higher carrier fre-
quencies. Applications such as WiMAX, LTE (long-term evolution of CDMA), sat-
ellite radio, military applications—all operating at high frequencies—will utilize
BAW devices.
A recent paper by Fujitsu demonstrated the first competent-looking filter at 10
GHz using FBAR resonators [38]. The main advantage of BAW (FBAR or
SMR-BAW) devices over their SAW counterparts at higher frequencies is their rela-
tive imperviousness to ESD and power handling. At the higher frequencies, the spac-
ing between the fingers of a SAW transducer becomes smaller, control on the actual
158 FBAR Resonators and Filters

widths of the lines and spaces become more difficult and losses due to the thinner
fingers begin to take their toll on performance.
Between 1993 and 2003, the FBAR project at Avago probably faced project ter-
mination on five occasions. There were probably another 10 to 20 times when
FBAR hit what seemed to be a fundamental obstacle in its ability to contribute and
compete in the commercial arena. Even today, one of the greatest challenges FBAR
technology faces is the ability to get costs down, while continuing to improve perfor-
mance (Q and kt2eff). So, work on die shrink, yield improvements and new markets
help amortize the overhead and match the never-ending price erosion.

References

[1] Grudkowski, T., et al., “Fundamental-Mode VHF/UHF Miniature Acoustic Resonators and
Filters on Silicon,” Applied Physics Lett., Vol. 37, 1980, pp. 993–995.
[2] Nakamura, K., H. Sasaki, and H. Shimizu, “A Piezoelectric Composite Resonator Consist-
ing of a ZnO Film on an Anisotropically Etched Silicon Substrate,” Proc. of 1st Symp. on
Ultrasonic Electronics, Tokyo, 1980.
[3] Lakin, K. M., et al., “Thin Film Resonators and Filters,” Ultrasonics Symp., 1982,
pp. 466–475.
[4] Driscoll, M., et al., “Recent Advances in Monolithic Film Resonator Technology,”
Ultrasonics Symp., 1986, pp. 365–369.
[5] Vale. C., et al., “FBAR Filters at GHz Frequencies,” 44th Frequency Control Symp., 1990,
pp. 332–336.
[6] Rosenbaum, J. F., Bulk Acoustic Wave Theory and Devices, Norwood, MA: Artech House,
1988, p. 180.
[7] Wang, J., and K. Lakin, “Sputtered AlN Films for Bulk Acoustic Wave Devices,”
Ultrasonics Symp., 1981, pp 502–505.
[8] Ruby, R., and P. Merchant, “Method of making Tunable Thin Film Acoustic Resonators,”
U.S. Patent # 5,873,153, filed August 1996.
[9] Ruby, R., and P. Merchant, “Micromachine Thin Film Bulk Acoustic Resonators,” 48th
Frequency Control Symp.,” 1994, pp. 135–138.
[10] Larson, J., R. Ruby, P. Bradley, “Bulk Acoustic Wave Resonator with Improved Lateral
Mode Suppression,” # 6215375, filed July 1999.
[11] Ruby, R., et al., “High-Q FBAR Filters in a Wafer-Level Chip-Scale Package,” International
Solid-State Circuits Conference, 2002, pp. 184–185.
[12] Ruby, R., and L. Kekoa, “Wafer Level Packaging (WLP) of FBAR Filters,” 3rd Interna-
tional Symp. on Acoustic Wave Devices for Future Mobile Communications Systems, 2007,
pp. 101–103.
[13] Lakin, K. M., K. T. McCarron, and R. E. Rose, “Solidly Mounted Resonators and Filters,”
IEEE Ultrasonics Symp., 1995, pp. 905–908.
[14] http://jp.fujitsu.com/group/fmd/en/release/2000/20000106.html.
[15] http://jp.fujitsu.com/group/fmd/en/release/2002/20021119.html.
[16] ITRI Conference, Taiwan, July 2002.
[17] “Advanced Design System” (“ADS”), EESOF, a Division of Agilent Technologies.
[18] Feld, D., R. Parker, and R. Ruby, “After 60 Years: A New Way for Computing Q is War-
ranted,” Ultrasonics Symp., 2008.
[19] Ruby, R., R. Parker, and D. Feld, “Method of Extracting Q Applied Across Different Reso-
nator Technologies,” Ultrasonics Symp., 2008.
5.4 Conclusions 159

[20] Bode, H. W., Network Analysis and Feedback Amplifier Design, Chapter 10, New York:
Van Nostrand, 1945, pp. 196–225.
[21] Auld, B., Acoustic Fields & Waves in Solids, 2nd ed., Vol. II, Chapter 9, Malabar, FL:
Kreiger Publishing, 1990.
[22] Telschow, K., et al., “UHF Acoustic Microscopic Imaging of Resonator Motion,”
Ultrasonics Symp., 2000, pp. 631–634.
[23] Ruby, R., et al., “The Effect of Perimeter Geometry on FBAR Resonator Electrical Perfor-
mance” International Microwave Symp. Digest, 2005.
[24] Kaitila, J., “Review of Wave Propagation in BAW Thin Film Devices—Progress and Pros-
pects,” Ultrasonics Symp., 2007, pp. 120–129.
[25] Ruby, R., “Review and Comparison of Bulk Acoustic Wave FBAR, SMR Technology,”
Ultrasonics Symp. ,2007, pp. 1029–1040.
[26] Feng, H., et al., “Thin Film Bulk Acoustic Resonator with a Mass Loaded Perimeter,”
#7,280,007, filed November 2004.
[27] Ruby, R., “Thin Film Bulk Acoustic Resonator with a Mass Loaded Perimeter,” App.
20060071736, filed June 2005.
[28] Thalhammer, R., “Spurious Mode Suppression in BAW Resonators,” Ultrasonics Symp.,
2006, pp. 456–459.
[29] Kaitila, J., “XXX,” Ultrasonics Symp. Proc., 2007.
[30] Lakin, K., K. McCarron, and J. McDonald, “Temperature Compensated Bulk Acoustic
Thin Film Resonators,” Ultrasonics Symp., 2000, pp. 855–858.
[31] Pang, W., “A Zero Drift Colpitts Oscillator Using Temperature Compensated FBAR,”
IEEE Ultrasonics, 2007.
[32] Vectron TCXO Oscillator Sheet: http://www.vectron.com/products/tcxo/vtm3.pdf.
[33] Fattinger, G., R. Aigner, and W. Nessler, “Coupled Bulk Acoustic Wave Resonator Filters:
Key Technology for Single-to-Balanced RF Filters,” 2004 IEEE MTT-S Microwave Sympo-
sium Digest, Vol. 2, June 2004, pp. 927–929.
[34] Jamneala, T., et al., “Coupled Resonator Filter with Single-Layer Acousic Coupler,” sub-
mitted to the IEEE Trans. on Ultrasonics, Ferroelectrics, and Frequency Control,
November 2007.
[35] Small, M., et al., “A De-Coupled Stacked Bulk Acoustic Resonator (DSBAR) Filter with 2
dB Bandwidth > 4%,” Ultrasonics Symp., 2007, pp. 604–607.
[36] Gilbert, S., et al., “An Ultra-Miniature, Low Cost Single Ended to Differential Filter for
ISM Band Applications” Submitted and accepted to the International Microwave Sympo-
sium in 2008 under Focused Sessions.
[37] Feld, D., et al., “A Wafer Level Encapsulated FBAR Chip Molded into a 2.0 mm × 1.6 mm
Plastic Package for Use as a PCS Full Band Tx Filter,” Ultrasonics Symp., 2003,
pp. 1798–1801.
[38] Bradley, P., et al., “A 6-Port Film Bulk Acoustic Resonator (FBAR) Multiplexer for U.S.
CDMA Handsets Permitting use of PCS, Cellband, and GPS with a Single Antenna,”
Ultrasonics Symp., 2006, pp. 325–328.
CHAPTER 6

Comparison with SAW Devices


Masanori Ueda

6.1 Introduction

In the wireless communication market, a huge amount of filters and duplexers are
utilized as RF components, and SAW and BAW devices are playing a very important
role in this market. This chapter describes comparisons between BAW, in particular
FBAR, and SAW technologies. As these technologies have merits and demerits in
terms of performance, device size, productivity, cost, and so on, it is important to
consider which technology is better for the target from the user side or the device
development side. Section 4.3, in Chapter 4, also discusses the comparison of these
technologies, including SMR technology, and an advantage of SMR which comes
from its unique structure are introduced there.

6.2 Structural Comparison and Features

Figure 6.1 compares the structures and features of FBAR and SAW [1]. FBAR and
SAW have different types of structure and vibration mode. For example, FBAR,
which utilizes c-axis orientated AlN or ZnO, vibrates in thickness extension (TE)
mode, whereas 42°Y LiTaO3 as a SAW substrate, which is widely used in mobile
applications, vibrates in a shear horizontal (SH) mode. Center frequencies of FBAR
and SAW are basically determined by film thickness and an electrode pitch of the
interdigital transducer (IDT), respectively. These resonators work as impedance ele-
ments although they have quite different structures and they can be described as the
same equivalent circuit shown in Figure 6.1. A piezoelectric thin film resonator fil-
ter on a Si substrate has the following advantages over a SAW filter:

1. Higher Q-factor, particularly in the high-frequency range (above 2 GHz);


2. High-power durability and high resistance to electrostatic discharge (ESD);
3. The possibility of realizing monolithic devices with active RF devices.

On the other hand, SAW devices have features which can make them preferable
for low-frequency applications. Self evident that they can be used as balun (unbal-
anced-to-balance transformer) and in addition, the simple layer composition

161
162 Comparison with SAW Devices

Piezoelectric thin film


Top electrode
Bottom electrode

Standing wave
hT of BAW

Si Cavity Si

Piezoelectric thin film resonator


(FBAR type)

L1
One-port
Co C1 resonator
R1

Grating reflectors
Comb electrodes
Standing wave λ
of SAW

Piezoelectric single crystal

SAW resonator
Figure 6.1 FBAR and SAW structures.

promotes a cost-effective production process. The features of SAW devices are sum-
marized here:

1. Suitable for low-frequency applications (below 2 GHz);


2. Suitable structure for an unbalance-to-balance or balance-to-unbalance
conversion;
3. Few process steps and no need for a special frequency control equipment
(low cost).

6.3 Resonator Performance and Reliability

6.3.1 Q-Factor
To obtain a high-resonant frequency of a SAW resonator, a narrow electrode pitch
and finger width by using a fine photolithography techniques such as i-line, excimer
stepper, or electron beam (EB) exposure system are required. However, this
increases the electrical resistance of the electrodes and deteriorates the Q-factor. Fig-
ure 6.2 compares the 5-GHz FBAR and 5-GHz SAW layout, which use the same lad-
der-type design method, where each filter consists of seven resonators in total. The
5-GHz SAW needs a very fine and thin electrode, with a finger width of 0.18 μm and
a film thickness of 60 nm. It is very difficult for SAW devices to maintain good per-
formance in the high-frequency range because of the high electrode resistance. Fig-
ure 6.3 shows the Smith chart of 5-GHz resonators, where the bold line is the
6.3 Resonator Performance and Reliability 163

SAW FBAR
filter filter

1.4 mm
7 SAW 7 FBARs
resonators

0.9 mm 0.9 mm

Al-Cu IDT Patterns

Finger electrode with 180 nm width


and 60 nm thickness
mm
0.18
0.18 μm

Figure 6.2 Topologies of 5-GHz FBAR and 5-GHz SAW filter.

FBAR
SAW

Figure 6.3 Smith chart of 5-GHz FBAR and 5-GHz SAW resonator (S11).

performance of FBAR and the solid line is that of the SAW resonator. As can be
seen, the loss factor of the SAW resonator is higher than that of the FBAR, which is
mainly the result of the high electrode resistance. The resistance of the electrodes
also has an impact on power durability.
Calculated passband performances utilizing resonators with various Q-factors
are shown in Figure 6.4(a). In this simulation, the quality factors resonate of
antiresonant frequency Qa and frequency Qr are assumed to be equal; furthermore
the capacitance ratio ( C0/Cm, C0: clamped capacitance, Cm: motional capaci-
tance) is assumed to be 16. The filter has a ladder configuration composed of four
basic sections, in which the capacitance ratio Cp/Cs is 0.35 (Cp: static capacitance of
parallel arm resonator, Cs: static capacitance of series arm resonator), and center
frequency is 1.9-GHz. Figure 6.4(b) shows the relationship between Qs and inser-
tion losses at passband edges of filters. From these figures, it is obvious that Q gives
a strong impact to the filter performance, and in addition the filter loss is reduced
about 0.5 dB by improving Q from 500 to 1,000.
164 Comparison with SAW Devices

(a)

(b)

Figure 6.4 Q-factor on a typical ladder-type filter: (a) passband performances, and (b) insertion
loss of filter.

Examples for the achievable Q-factors of FBAR, SMR, and SAW resonators
derived from a number of studies [1–8] can be seen in Table 6.1. According to these
data, it is obvious that FBAR and SMR indicate higher Q. The energy dissipation
and therefore the resonator Q factor is dependent upon structure, design, applied
materials, and so forth; it is one of the resonator key factors determining not only fil-
ter losses, but also the steepness of the passband border region. The electromechani-
cal coupling factor (K2) which is also a key factor determines the filter bandwidth,
and the fractional bandwidth is known empirically to be approximately half of the
coupling factor. Both Q and the coupling factor should be discussed simultaneously;
with resonators having insufficient coupling factors it is hard to realize a low loss
and wide passband filter (like it is worldwide commercially applied in mobile
phones) even if the resonators provide an excellent Q-factor. Therefore, in some
cases the product of coupling and Q-factor is discussed as the resonator figure of
merit (FOM) (see Section 5.2.1).
6.3 Resonator Performance and Reliability 165

Table 6.1 Examples of Reported Q-Factors


Technology Frequency Qr Qa Ref. No.
SAW 0.8 GHz 841 [2]
SAW 1.9 GHz (PCS) 320 1,080 [3]
SAW 5 GHz (WLAN) 192 256 [1]
FBAR 0.9 GHz (Band 8) 2,500 3,200 [8]
FBAR 1.9 GHz (PCS) 2,780 2,880 [8]
FBAR 2 GHz (Band 1) 1,500 1,100 [4]
SMR 1.9 GHz 900 2,500 [6]
SMR 1.8 GHz 1,400 [7]
FBAR 9.1 GHz 239 488 [5]
Qr: Q at resonant frequency
Qa: Q at antiresonant frequency

6.3.2 Power Durability


A comparison of the power durability between SAW and FBAR 5-GHz filters is
shown in Figure 6.5. In this figure, horizontal and vertical axis indicate input power
to filters and the lifetime evaluated by the degradation of filter loss. Estimated
power durability of the SAW filter is about 15 mW; however, the FBAR indicates
800 mW for an extrapolated lifetime of 100,000 hours. There are mainly two rea-
sons why FBAR devices have a better power durability, namely the already men-
tioned higher electrode resistance of SAW devices and the different vibration mode.
The SAW fabricated on 42oY-X LiTaO3 excites a shear-horizontal mode, whereas
FBAR vibration mode is thickness extensional. It is considered that the stress in the
finger electrode of the SAW is much higher than that in the plane electrode of the
FBAR. In the SH-mode SAW, a large stress is applied to narrow fingers in a
high-power conditions. As a result, stress migration occurs, and in addition, the
high resistance of the electrode causes Joule heat, which accelerates migration. On
the other hand, for the FBAR using TE mode, the stress on the electrode is not as

7
10
6
10
5
10
Lifetime (hrs)

4
10
3
10
2
10

10
1
−1
10
0.01 0.1 1 10
Input power (W)
Figure 6.5 Power durability of 5-GHz FBAR and SAW filters.
166 Comparison with SAW Devices

severe as compared to the SH-mode SAW. Although only 5-GHz filters are dis-
cussed, 2-GHz filters, which are widely used commercially, show the same tendency,
and long-term power durability of the 2-GHz filter is up to 4W (refer to Section 4.3).
From these investigations, FBAR duplexers are confirmed to be highly reliable for
RF front-end devices.

6.4 Filter Design

Ladder [9] and lattice structures, as shown in Figure 6.6(b, c), are well-known meth-
ods for designing single-ended and balance-to-balance filters. Either structure is
applicable for both FBAR and SAW filter design, in particular, ladder filters are
widely used for duplexers in RF front-end. Unbalance-to-balance or balance-
to-unbalance filters are widely utilized as interstage filters, and recently as front-end
duplexers for CDMA and WCDMA systems, which require balanced output for the
Rx side. The double-mode SAW (DMS) design shown in Figure 6.6(a) is a flexible
structure and is applicable not only for single-ended and balance-to-balance, but can
also convert an unbalance-to-balance or balance-to-unbalance signal easily, and
simultaneously change the input to output impedance ratio. A DMS has a balun
transformer function as well as a filter function. DMSs using three ITDs or two IDTs
are widely used for unbalance-to-balance and balance-to-unbalance conversion fil-
ters. Figure 6.7 shows the drawing of a three IDT-type DMSs for EGM Rx and its fil-

(b)

(a)
(c)
Figure 6.6 Filter design methods: (a) DMS design, (b) ladder-type design, and (c) lattice-type
design.
6.4 Filter Design 167

Attenuation [dB]
Frequency [MHz]
(a) (b)

Phase balanced [degree]


Amplitude balance [dB]

Frequency [MHz]
Frequency [MHz]
(c) (d)
Figure 6.7 DMS filter configuration and performance: (a) DMS structure, (b) passband performance, (c)
amplitude balance, and (d) phase balance.

ter performances. In Figure 6.7(a), two DMSs are connected to achieve better
stop-band rejection, and upper and lower DMSs are an input port and an output
port, respectively. By connecting one of the terminals of the output or input ports to
ground, an unbalanced port is obtained. In this design, an impedance conversion
such as 50–100Ω and 50–200Ω is possible by a design modification. Excellent
passband and balance performance are achieved as shown in Figure 6.7(b–d)
(Fujitsu Media Devices Limited, P/N FAR-F5KB-942M50-B4EB).
In BAW technology, a filter with a balun function like DMS is proposed. Figure
6.8 shows a proposed balanced filter from Infineon Technologies, which is based on
a SMR structure [10]. Input and output transducers are acoustically connected by
the underneath piezoelectric layer inside the acoustic mirror; this balance filter
enables balance-to-unbalance and also unbalance-to-balance conversion. EPCOS
proposed a WCDMA band two-duplexer which has a single-ended BAW filter for
Tx and a balance output SAW for Rx filter [11]. It is a good approach to achieve
current requirements.
168 Comparison with SAW Devices

Figure 6.8 Proposed BAW balance filter by Infineon Technologies.

6.5 Manufacturing Process

In SAW devices, the center frequency of a filter is basically determined by the elec-
trode pitch of the photomask; however, the center frequency of FBAR is determined
by film thickness and highly-accurate tuning is needed (as discussed in Section 4.2).
To achieve precise frequency adjustment and better production yield for FBAR
devices, it is necessary to strictly control the film thicknesses of electrode, piezoelec-
tric, and mass-loading films within one wafer, and also from wafer to wafer. SAW
has a much simpler layer structure than FBAR. For the FBAR, at least three layers for
two electrodes and a piezoelectric layer are needed, which implies a minimum of four
masks for photolithography to process these layers and to form the cavity below the
resonating area. On the contrary, only one layer and one mask can be sufficient for
the manufacturing of SAW devices. This directly affects the cost, and generally SAW
filters are therefore less expensive compared to FBAR filters. The SMR technology
seems to be more complicated than FBAR due to the multilayer acoustic mirror is
needed. For assembly, almost the same processes are applicable for both devices and
flip-chip technologies are commonly used. Recently, wafer-level package (WLP)
technologies have been developed and applied to devices on commercial base.

6.6 Temperature Compensation Technique

Temperature compensated SAW technologies have been developed since the 1980s
[12]. Recently, WCDMA band 1 and band 2 (US-PCS) SAW duplexers have been
developed using temperature compensation techinques [13–15] and therefore are
competitors to FBAR devices in the today’s market.
The temperature coefficient of frequency (TCF) is determined by the thermal
expansion coefficient (TEC) and the temperature coefficient of velocity (TCV) by
means of

TCF = −TEC + TCV (6.1)


6.7 Application Map 169

LiTaO3 and LiNbO3 substrates normally have positive TEC and negative TCV,
e.g., −30 to −40 ppm/°C for the TCF can be obtained in a 42Y LiTaO3 SAW sub-
strate. There are mainly two countermeasures to compensate the TCF, one is to sup-
press the TEC of the piezoelectric substrate, the other is to compensate the TCV.
Fujitsu developed the US-PCS duplexer [13] with temperature-compensated
LiTaO3/ sapphire-bonded substrate shown in Figure 6.9(a). Sapphire has a high
Young’s modulus and a low TEC. By bonding sapphire to LiTaO3 by employing
surface-activated bonding technology and thinning the LiTaO3 substrate to several
tens of a micrometer, the TEC is improved. As a result of this bonded substrate, the
SAW substrate TCF is improved from −30 to −15 ppm/°C. There are two big advan-
tages in this technology, no degradation of propagation loss and heat-sink effect of
sapphire. Thus, low loss and power durable duplexers can be realized. Murata also
developed temperature-compensated US-PCS and WCDMA band 1 duplexers using
a SiO2/heavy electrode/LiNbO3 configuration as shown in Figure 6.9(b). The TCV
of SiO2 indicates positive value, therefore the filter TCF can be controlled from neg-
ative to positive value by adding SiO2. The TCF of WCDMA band 1 duplexer is suc-
cessfully improved from −80 to −10 ppm/°C [14]. In this technology, rotation angle
of substrate, SiO2 thickness, a selection of electrode material, and flattening of the
SiO2 surface above IDT are key parameters. Both companies are producing duplex-
ers on a commercial base. Temperature-compensated FBARs are discussed in Sec-
tion 5.2.7.

6.7 Application Map

In mobile communication systems, FBAR duplexers and filters are commercially


manufactured for 800-MHz to 5-GHz applications [16–20], such as the cellular

IDT

IDT
LiTaO3 (heavy electrode)

Sapphire
SiO2

<enlargement>
LiNbO 3 or LiTaO3
LiTaO3
Amorphous layer

Sapphire 5 nm

(a) (b)
Figure 6.9 Temperature-compensated SAW substrate: (a) bonded-wafer type, and (b)
SiO2/IDT/piezoelectric substrate type.
170 Comparison with SAW Devices

band, GPS, WCDMA band 1, band 2 (PCS band), and 5-GHz WLAN system. Prac-
tically, FBAR duplexers for US-PCS seems to be in a strong position now. SAW
interstage filters successfully cover GSM, CDMA, and WCDMA markets up to the
2-GHz range, and duplexers for WCDMA band 5 (cellular band) and other
800–900 MHz bands are dominated by SAW. In these low-frequency ranges, SAW
devices are in good position on performance, cost, productivity, and so on. In the
frequency range of WCDMA band 1 and 2, temperature-compensated SAW tech-
nologies are applied for this market and competing FBAR technology. In addition,
temperature-compensated SAW having a high coupling factor seems to be appropri-
ate for a band 3 duplexer because a system needs a wide passband (relative band-
width 4.3%), which is hard for AIN based BAW devices, and a very narrow
gardband between Tx and Rx (20 MHz). FBAR devices, especially duplexers, are
advantageous for high-frequency and high-power applications over the frequency
range of WCDMA band 2. In higher frequency ranges, such as WCDMA band 7
(2.5 GHz), WiMAX and four generation system (4G), which is under discussion,
BAW technology will play an important role. Section 4.3 also summarizes the appli-
cation map for SAW and BAW technologies.
Both BAW and SAW technologies have been discussed in this chapter. A perfect
device which can cover every specification does not exist; therefore, manufactures
and users need to consider which technology is better for their target use.

References

[1] Ueda, M., and Y. Satoh, “FBAR and SAW Technologies and Their Applications for Mobile
Communications,” Asia-Pacific Microwave Conference Workshops & Short Courses
Digest, 2006, p. 426.
[2] Inoue, S., et al., “Ultra-Steep Cut-Off Filters Using High-Q SAW Resonators with Sup-
pressed Side Radiation,” 32nd EM Symp., 2003, pp. 95–98 (in Japanese).
[3] Nakao, T., et al., “Smaller Surface Acoustic Wave Duplexer for US Personal Communica-
tion Service Having Good Temperature Characteristics,” Japan. J. Apl. Phs., Vol. 46, 2007,
pp. 4760–4763.
[4] Taniguchi, S., et al., “An Air-Gap Type FBAR Filter Fabricated Using a Thin Sacrifice Layer
on a Substrate,” Proc. IEEE Ultrasonics Symp., 2007, p. 600.
[5] Hara, M., et al., “X-Band Filters Utilizing AlN Thin Film Bulk Acoustic Resonators,” Proc.
IEEE Ultrasonics Symp, 2007, p. 1152.
[6] Fattinger, G., R. Aigner, and S. Marksteiner, “Everything You Always Wanted to Know
About BAW,” Asia-Pacific Microwave Conference Workshops & Short Courses Digest,
2006, p. 408.
[7] Timme, H. J., and R. Aigner, “Bulk Acoustic Wave Filters for Mobile Cellular Communica-
tions,” IEEE Intl. Microwave Symp. Workshop and Tutorial Notes, 2005, WSC.
[8] Ruby, R., “Review and Comparison of Bulk Acoustic Wave FBAR, SMR Technology,”
Proc. IEEE Ultrasonics Symp, 2007, p. 1029.
[9] Ikata, O., et al., “Development of Low-Loss Band-Pass Filters Using SAW Resonators for
Portable Telephones,” Proc. IEEE Ultrasonics Symp., 1992, pp. 111–115.
[10] Fattinger, G. G., R. Aigner, and W. Nessler, “Coupled Bulk Acoustic Wave Resonator Fil-
ters: Key Technology for Single-to-Balanced RF Filters,” IEEE Intl. Microwave Symp.
Technical Digest, 2004, p. 927.
6.7 Application Map 171

[11] Marksteiner, S., et al., “Hybrid SAW/BAW System-in-Package Integration for Mode-Con-
verting Duplexers,” 3rd Int. Symp. on Acoustic Wave Devices for Future Mobile Commu-
nication System, 2007, p. 97.
[12] Yamanouchi, K., and S. Hayama, “SAW Properties of SiO2/128 Y-X LiNbO3 Structure
Fabricated by Magnetron Sputtering Technique,” IEEE Trans. Sonics and Ultrasonics
Symp., Vol. SU-31, 1984, p. 51.
[13] Miura, M., et al., “Temperature Compensated LiTaO3/Sapphire Saw Substrate for High
Power Applications,” Proc. IEEE Ultrasonics Symp., 2005, p. 573–576.
[14] Kadota, M., et al., “Small Surface Acoustic Wave Duplexer for Wide-Band Code-Division
Multiple Access Full-Band System Having Good Temperature Characteristics,” Japan. J.
Appl. Phys., Vol. 46, 2007, p. 4714.
[15] Nakao, T., et al., “Smaller Acoustic Wave Duplexer for US Personal Communication Ser-
vice Having Good Temperature Characteristics,” Japan. J. Appl. Phys., Vol. 46, 2007, p.
4760.
[16] Ruby, R., “Overview of FBAR Filters, Duplexers, Quintplexers, and Front End Modules
(FEM) at Avago,” Asia-Pacific Microwave Conference Workshops & Short Courses
Digest, 2006, WS12-1.
[17] Elbrecht, L., et al., “Integration of Bulk Acoustic Wave Filters: Concepts and Trends,”
IEEE Intl. Microwave Symp. Technical Digest, 2004, p. 395.
[18] Handtmann, M., et al., “Bulk Acoustic Filters for GPS with Extreme Stopband Attenua-
tion,” IEEE Intl. Microwave Symp. Technical Digest, 2004, p. 371.
[19] Nishihara, T., et al., “High Performance and Miniature Thin Film Bulk Acoustic Wave Fil-
ters for 5 GHz,” Proc. IEEE Ultrasonics Symp., 2002, p. 969.
[20] Tsutsumi, J., et al., “Extremely Low-Loss SAW Filters and Its Application to Antenna
Duplexer for the 1.9 GHz PCS Full-Band,” Proc. IEEE Frequency Control Symp., 2003,
p. 861.
CHAPTER 7

Thin Films Deposition for BAW Devices


Sergey Mishin and Yury Oshmyansky

7.1 Most Commonly Used Piezoelectric Materials

In the development of microelectromechanical systems (MEMS) most widely used


materials are zinc oxide (ZnO), lead zirconate titanate (PZT), and aluminum nitride
(AlN). Some of the physical properties reported in the literature [1–3] and measured
experimentally are reported in the Table 7.1.

7.1.1 Zinc Oxide


Zinc oxide has bean used in MEMS for many years. It has a relatively high coupling
coefficient and is easily deposited by RF sputtering. But, as devices moved to higher
frequencies it became apparent that high acoustic loses at higher frequencies limited
its applications. With Q below 50, it could not be used for many high-frequency fil-
ters. Some other limitations have also come up as people started looking at produc-
ing high-volume low-cost RF filters. High TCF makes it difficult to use in many
transmit filter applications. Many people wanted to manufacture filters either in
CMOS facilities or integrate them into CMOS wafers. Since Zn decreases minority
carrier lifetimes in silicon, it is not allowed in CMOS facilities. ZnO quickly absorbs
moisture so a lot of care has to be taken in making sure that ZnO doesn’t sit around
for very long before being encapsulated by another material. As aluminum nitride
became more easily manufactured, ZnO became less and less popular.

7.1.2 PZT
PZT structure is shown in Figure 7.1(a) [4]. It has some very desirable characteris-
tics: significantly higher coupling coefficient than either ZnO or AlN, a large zero
bias permittivity, both piezoelectric and ferroelectric properties are exhibited, and
very thick film thicknesses are viable. Due to its high acoustic loses at high frequen-
cies, it is mostly used for low-frequency BAW devices or applications that don’t
require high Q.

173
174 Thin Films Deposition for BAW Devices

Table 7.1 Physical Properties of Selected Piezoelectric Films


Material AlN (0001) ZnO PZT Units
3
Acoustic Velocity 11.05 6.35 4.6 (10 m/s)
11 2
Young’s Modulus 3.94 2.11 0.49 (10 N/m )
-12
Piezoelectric Coefficient (d33) 5.6 12.4 200 (10 C/N)
Electromechanical Coupling (k33) 31 48 72 (%)
Thermal Conductance 280 60 1.80 (W/mK)
-6
Thermal Expansion (300K) 4.15 2.92 — (10 /K)
2
Piezoelectric Coupling Coefficient Kt 6.5 8.5 35 (%)
o
Temperature Coefficient of Frequency (TCF) −25 −60 — (ppm/ C)

(Pb,Zr)TiO 3
(PZT)

Pb 2

4+
Ti ,
4+ 2−
Zr O

(a)

(b)
Figure 7.1 (a) PZT structure, and (b) aluminum nitride wurtzite (B4) structure.

7.1.3 Aluminum Nitride


Aluminum nitride was always considered a highly desirable BAW material. Having
high velocity of sound, high Young’s modulus, high thermal conductivity, and rela-
tively low TCF made it highly attractive. It was clear that if it could be produced
with relatively high coupling coefficient, it could open markets for high-frequency
filters that require high Q that have been dominated by either bulky ceramics or
fairly pricy SAW filters. In the late 1960s it was demonstrated that AlN could be
7.2 Methods of Deposition of Piezoelectric Films 175

deposited with reasonable coupling coefficient, but it was not until late 1990s that
high-volume production-worthy techniques started being available. At the present
time several companies offer methods of AlN deposition that are commercially
available, making it a material of choice for high-frequency BAW applications.
Stoichiometric AlN is a very stable compound with a strong covalent and ionic
bonds. The bonding energy of this structure is about 11.5 eV. It is also a semicon-
ducting material and has a band gap of about 6.2 eV. Aluminum nitride crystal
structure is shown in Figure 7.1(b) with positional relation between Al (large balls)
and nitrogen (small balls) atoms. As with many other piezoelectric materials, it
shows wurtzite crystalline structure with hexagonal symmetry.

7.2 Methods of Deposition of Piezoelectric Films

7.2.1 Sputtering
Sputtering is widely used method for depositing piezoelectric films. There are three
main methods that are commonly used in the industry: RF diode sputtering, AC
magnetron sputtering, and pulsed DC (bipolar) magnetron sputtering. Basic princi-
ples of sputtering are described in many books since sputtering is a mature technol-
ogy. Thin Film Processes II [5] provides a good description of basic principles
involved in most depositions methods described in this chapter. We will focus on
the important aspects of sputtering as applied to the piezoelectric deposition. Meth-
ods for depositing AlN and ZnO have a lot in common. Although ZnO was devel-
oped earlier, much of the current development has been focused on AlN. For this
reason, we will concentrate on the AlN next.
One important requirement of the sputtered AlN is high coupling coefficient.
Most research indicates empirical relation between grain structure of the deposited
film and its coupling coefficient. Several studies looked at the cross-section of the
film (see Figure 7.2) and correlated crystallinity of the film to the coupling coeffi-
cient [6–9]. Such techniques are only good for qualitative studies because it is up to
an individual to decide the level of the grain orientation “goodness.” Generally, it is
used to demonstrate that extremely poor films have disoriented grain structure and
excellent films have fairly uniform columnar grain growth.
A more quantitative and nondestructive approach is to look at XRD “rocking
curves.” By using this technique, an entire wafer with deposited AlN film can be
measured and further processed if necessary. Typically full wave-half maximum
(FWHM) values are used (see Figure 7.3). Highly oriented AlN film with (002) ori-
entation shows strong correlation with x-ray diffraction. As aluminum nitride
thickness increases, width of the XRD rocking curve doesn’t increase, and maxi-
mum increases, resulting in lower number for the thicker aluminum nitride films.
Reasonable correlations between rocking curve and coupling coefficients have
been shown (see Figure 7.4).
This technique can give a quick measure of the film quality without having to
make a fully functioning resonator. It is important to notice that the most repeat-
able results and accurate measurement are obtained on silicon. When rocking
curves are used to check AlN on different materials it is important to have a baseline
of measurements on the particular film in question in order to be able to make con-
176 Thin Films Deposition for BAW Devices

Figure 7.2 SEM cross-section of AlN film, showing column structure.

AlN XRD Rocking Curve


AlN on Si

300000

Thickness FWHM
(A) (degrees)
250000
20,000 1.21
15,000 1.32
200000 10,000 1.66
5,000 2.08
Intensity (cps)

150000

100000

50000

0
15 16 17 18 19 20 21
Omega (degrees)

2.0 μm 1.5 μm 1.0 μm 0.5 μm

Figure 7.3 Rocking curve for different thicknesses of AlN films.

clusions about the quality of the AlN. For example, Figure 7.5 shows how the same
quality aluminum nitride film produces significantly different rocking curves on dif-
ferent surfaces. The best rocking curve is reported on epitaxially grown AlN on sap-
7.2 Methods of Deposition of Piezoelectric Films 177

Figure 7.4 Coupling coefficient correlation with rocking curve FWHM [9].

Figure 7.5 X-ray diffraction of AlN film growth on different substrates.

phire substrate and has a value of less than 0.03°, due to good match of
film/substrate crystal structures [10].
It is helpful to use basic theory of adatom mobility to understand the impact of
different deposition parameters on the film grain orientation. It is postulated that
178 Thin Films Deposition for BAW Devices

before atoms/molecules are incorporated into the surface film, they will diffuse
along the surface along the substrate until they are either chemically bonded to the
surface or are physically adsorbed to the surface. Mobility of these particles depends
on many factors such as temperature of the surface, particle bombardment of the
surface, energy of the adatom upon arrival at the surface, surface condition, and the
ability to form chemical bonds with the material on the surface. Generally, it is
thought that if these particles have more mobility, they are more likely to find
low-energy binding sites, resulting in a crystalline growth.
One of the approaches to explain different factors that impact the adatom
mobility is called “sticking coefficient.” Sticking coefficient tries to quantify the ease
of adatom sticking or being trapped on the surface of the substrate. The easier it is
for adatom to get trapped as on the surface, the higher the sticking coefficient of the
material. This concept is used for explaining why some materials have better step
coverage or better grain orientation. The higher the sticking coefficient the more dif-
ficult it is to obtain good step coverage or preferred grain orientation with the mate-
rial in question. Sticking coefficient is influenced by many factors, some are related
to the surface condition while others are related to the kinetic and potential energy
of the incoming adatom; yet others are attributed to the surface bombardment.
One of the important factors related to the surface condition is temperature of
the surface. Based on Thornton’s theory [11, 12] there is a strong relation between
the structural growth of the film and the material’s melting point. Below 0.1 times
melting point, the adatom surface mobility is negligible and film starts to grow in the
direction of the material flux. Therefore mobility increases with temperature, but
there is an important threshold that must be reached before it plays a significant
role. Mobility associated with the temperature typically starts being significant at
temperatures above 0.3 times melting point of the material in question. At this point
adatom surface diffusion starts to play a significant role and the film reaches a den-
sity close to bulk material value. AlN melting point is about 2,200°C. So, below
660°C there is little mobility due to the temperature of the substrate. It actually takes
temperature above 0.5 times melting point (or 1,100°C) to get epitaxial growth
purely due to the surface temperature [13]. An important point about surface tem-
perature is that most of the correlations are made measuring substrate temperature.
Wafer temperature is usually controlled by some type of the heater with closed-loop
temperature control. If part of the heat is supplied due to wafer bombardment and
part due to the heater, it is possible to have higher mobility of adatom in a system
that has most of its energy supplied due to wafer bombardment versus a system that
relies on the heater. It is likely that if electrons, ions, neutrals, or condensing metal
strikes the wafer the surface will get hot and then transfer heat to the rest of the
wafer. On the other hand, if there is little energy that comes from the bombardment
and most of the heat comes through the wafer backside, it is likely that at the same
wafer temperature, the wafer with the most bombardment will have much higher
real surface temperature.
Surface roughness is another surface condition that impacts the sticking coeffi-
cient and plays a significant role in the growth process. Smoother surface will result
in higher mobility and better grain orientation of the films. This works well if AlN is
deposited on surfaces such as silicon dioxide or silicon nitride. When metal elec-
trodes are used, it is not always the case that a smoother electrode produces more
7.2 Methods of Deposition of Piezoelectric Films 179

oriented AlN film. There are plenty of extremely “rough” electrodes like tungsten
that have an extremely rough surface but produce highly oriented films. It is most
likely that the grain orientation of such materials naturally causes AlN molecule to
stick to the low-energy binding site even though there is very little mobility on a
rough surface.
Removing moisture or organic contamination results in higher mobility and
better AlN films. Typically sputter-etch or ion mill is used to remove either moisture
or resist residue left over from the electrode patterning. It is also consistent with the
mobility theory. If the adatom can bond with oxygen or organic contaminant, it is
more likely to reduce its surface mobility and cause it to get stuck to an unfavorable
site.
Increasing mobility can also be accomplished by physical bombardment of the
surface. Typically surface is bombarded by either energetic neutrals, electrons or
charged ions. It has been observed that increased surface bombardment can lead to
better grain orientation. A standard method to increase surface bombardment is to
apply negative potential to the substrate. Small substrate bias of less than 100V is
usually sufficient; a much higher bias can actually cause damage to the surface and
create defects, vacancies, and dislocations in the growing film due to impact of
heavy Ar ions with high kinetic energy, resulting in poor crystal orientation. Exces-
sive bias can also cause unacceptably compressive stresses in the film.
Another way to increase energy of the surface bombardment is to lower deposi-
tion pressure. Lower deposition pressure leads to longer mean free path between
collisions. This reduces energy loses (both kinetic and potential) of ions and neutrals
before they strike the substrate, resulting in higher adatom surface mobility and
lower sticking coefficient.
Higher energy adatoms have higher mobility. It has been observed that more
energetic plasmas (such as typically observed in a dual magnetron AC deposition)
have a lot more energy [14]. For AC frequency less than 100 kHz, a half-cycle
period is long enough compared to the time required for the plasma components to
come to equilibrium with the electric filed. The behavior of the AC discharge is very
similar to the DC discharge, with the only difference that current is reversed every
half cycle. AlN film formed on the target surface (when process operates in poi-
soned mode) is an insulator and works like a capacitor, charging/discharging during
half-cycle sequentially. This causes voltage to almost double across negative glow
discharge at the beginning of the cycle. A plasma sheath forms near the negative tar-
get and ions accelerate across this region by instantaneous electric field. Also, ions
are able to cross the plasma sheath in the much shorter time interval compared to
the AC period. These energetic ions gain energy approximately equal to the peak of
AC voltage. When these high-energy ions arrive at the cathode they produce highly
energetic material being sputtered off the target. As an example, AC-deposited films
can have either highly tensile or compressive and still be highly oriented and highly
piezoelectric. In the DC-powered process, highly tensile films usually have poor
crystal orientation and tend to have lower piezoelectric coupling coefficient.
The adatom potential energy can be increased by using a positive plasma col-
umn. In the positive plasma column electrons reach equilibrium with the electrical
field. The electric fields in the glow discharge can put energy directly into the elec-
trons and accelerate them in the positive plasma column. This process represents the
180 Thin Films Deposition for BAW Devices

main source of energy. In this way the positive plasma column is almost equivalent
to the RF plasma discharge. One of its important characteristics is a possibility of
creating a large plasma volume and increasing the probability of the Penning reac-
tion of ionization.
The large volume of the positive plasma column increases ionization of reactive
species and probability of the ion reaction between aluminum and nitrogen, result-
ing in a high potential energy of AlN adatom.
For example, ion reaction produces much higher potential energy than reaction
between excited atoms

Al + N − → AlN + Q1
Al + N* → AlN + Q2

where Q1 >> Q2.


Positive plasma column can be achieved by simply increasing the distance
between an anode and cathode, or in other words putting an anode far behind Fara-
day dark space (see Figure 7.6). For dual target configuration with AC power
between them, it is just increasing distance between targets. In addition, in the AC
process with dual-target configuration, a magnetic field next to the target, which has
a positive potential at the moment, creates an anode layer with high plasma density.
Electrons created at the cathode are accelerated by the cathode plasma sheath and
strike the anode with enough energy to emit additional secondary electrons. This
process is especially important at low pressure.
Unbalancing of a magnetron is another method of increasing plasma density
and plasma volume. This method creates additional electron traps in a volume
between target(s) and substrate which can also increase plasma density and ioniza-
tion/excitation reaction in the path of AlN adatom to the substrate. This allows for
more oriented films without having to apply substrate bias.
Reactive sputtering can be used with RF, AC, and pulsed DC depositions. Early
work on piezoelectric AlN involved RF diode deposition from an aluminum target
in argon and nitrogen ambient. RF diode depositions used in these configurations
were run at high pressure and no substrate bias and had low energy plasma. In order
to obtain high-coupling coefficient films it was necessary to deposit at above

Figure 7.6 Plasma discharge with the positive plasma column.


7.2 Methods of Deposition of Piezoelectric Films 181

1,100°C [15, 16] because the deposition relied mostly on temperature to achieve
high adatom mobility. This made it very difficult and slow process, not practical for
the production environment.
AC deposition of AlN with dual-target magnetron was first demonstrated by
Este and Westwood [17] in the late 1980s. In this configuration, two magnetrons
both having aluminum targets are alternatively sputtered using argon/nitrogen
plasma. Each target acts as anode or cathode alternating at medium frequency, typi-
cally 40 kHz. An advantage of this method compared to the RF diode is that there is
enough energy transferred to the deposited film that no extra heating of the wafer is
required. The speed of the deposition with the magnetron is significantly higher as
well. This technique is easily incorporated into a high-volume production system.
High-quality piezoelectric films have been demonstrated in production
environment using such a configuration [18].
Pulsed DC (bipolar) magnetron has been successfully used to reactively deposit
AlN films [19]. In a bipolar deposition a simple DC magnetron is used with a special
power supply that removes charge build-up from the target by reversing polarity on
the target for a short period during every cycle. Typical frequency for this device is
between 10 kHz and 250 kHz. The advantage of this technique is that a fairly stan-
dard sputtering system can be modified to deposit AlN films. All it takes is making a
special anode to prevent it from coating with AlN too quickly (a so-called disap-
pearing anode problem), using special power supply and making minor modifica-
tions to the shields and magnetron. Substrate bias is commonly used to obtain
highly oriented piezoelectric films with desired stress.
From a more practical standpoint there are issues that must be addressed in
order to manufacture highly piezoelectric AlN films. Arguably the most important
factor impacting the quality of the deposited AlN film is the ability to have the least
amount of oxygen in the deposited film. Most people who studied low kt2 films ver-
sus high kt2 films typically observed two things: bad films have poor crystal orienta-
tion and higher concentration of oxygen [13, 18]. It is quite likely that these two are
mutually inclusive (i.e., films with poor crystal orientation have larger space in the
grain boundaries for oxygen to get incorporate or the high concentration of oxygen
in the film prevents highly structured crystal growth). Regardless of which is cor-
rect, oxygen and crystal orientation are the usual suspects when low kt2 AlN is
observed. Oxygen is probably the reason why it has always been easier to get highly
piezoelectric-sputtered films of ZnO than AlN. Since ZnO is sputtered in oxygen-
rich ambient it would be insensitive to the additional oxygen present in the sputter-
ing chamber due to leaks or out-gassing water vapor. In our investigations we have
found that regardless of the type of deposition used or the mode of deposition,
increase in oxygen level leads to lower coupling coefficient in AlN films. Sources of
oxygen in the sputtered AlN films can come from either the surface of the wafer,
leaks in a vacuum chamber, or outgassing of the shields in the deposition chamber.
In the older vacuum systems it was very difficult to get low oxygen/water vapor lev-
els during the deposition. Most systems had many vacuum seals that could leak and
large surface areas that outgassed during elevated temperatures of the deposition.
Since the introduction of well-designed cluster tools in the early 1990s, most mod-
ern sputtering systems have well-designed vacuum chambers that have low base
pressure (10−8 torr range). It is now a common practice to get low level of water
182 Thin Films Deposition for BAW Devices

vapor before attempting AlN deposition. Another precaution commonly used to


obtain high-quality AlN films is a small amount of surface cleaning with RF plasma
to remove moisture from the substrate surface before AlN deposition. With these
fairly easy techniques most systems are capable of depositing good quality alumi-
num nitride.
As with many reactively sputtered films, AlN can be deposited in two modes:
“metallic” and “poisoned.” In the metallic mode, partial pressure of nitrogen is kept
low enough to prevent formation of a thick insulating layer of AlN on the target.
Material coming off the target reacts with nitrogen either on a way to a substrate or
on the substrate surface. In the poisoned mode, target surface reacts with nitrogen
completely to form a layer of aluminum nitride; see Figure 7.7. This layer is then
sputtered off the target as AlN molecule. These molecules land on the surface of the
wafer and condense to form AlN film. There is a transition mode between those two
regions. This regime is very unstable due to hysteresis function of the transition pro-
cess parameters from metal to poison and from poison to metal modes. Those insta-
bilities make it unsuitable for high-volume commercial application. In the past
metallic mode deposition was a preferred method to deposit AlN film due to the fact
that it is about three to five times faster than poisoned mode. In the late 1990s there
was a shift to a poisoned mode deposition. Even though it is slower, poisoned mode
produces highly piezoelectric films that are repeatable and have controllable stress.
In contrast, fast metallic mode depositions have a hard time producing highly
piezoelectric films with zero or slightly tensile stress.
Authors have been able to produce high-quality films in poisoned mode with
stresses ranging from 1,000 MPa tensile to −1,000 MPa compressive without any
trouble. In metallic mode, stress had to be above −700 MPa compressive to achieve
reasonable coupling coefficient. Another problem with metallic mode is that a
sophisticated control of deposition power and partial pressure of nitrogen gas are
required to maintain stable process over the entire target life.
It is generally reported that higher temperature produces more piezoelectric AlN
films [7, 13, 18]. For the early RF diode depositions, temperature in access of

Figure 7.7 Metallic and poison zones of reactive sputtering.


7.2 Methods of Deposition of Piezoelectric Films 183

1,100°C [15, 16] were reported to be required. For DC or AC depositions it was


reported that temperature above 400°C were desirable [13, 18]. It is, however, diffi-
cult to separate wafer temperature and the energy of the sputtered molecules. It is
possible to get good films at low wafer temperature, but with highly energetic
plasma and AlN adatoms with high potential energy. It has been observed that even
with water-cooled wafer, it is not difficult to deposit good films with either high DC
power or medium to high AC power. If one assumes that there is a certain minimum
energy level required to get good films, it is easy to see why many combinations of
wafer temperature, deposition power, pressure, oxygen level, and surface roughness
can produce acceptable results.
Most people use low pressure to produce higher quality AlN. It is consistent
with adatom mobility theory that at lower pressure AlN molecules will have fewer
collisions resulting in more energy remaining for the molecule to find more favor-
able energy site [13, 18]. Such process regime does have some disadvantages.
Columnar growth is perpendicular to the growth surface. Unfortunately, if there are
sharp corners the growth at the corner tends to have poor orientation and step cov-
erage that may lead to low-voltage breakdown and leakage problems. Monte Carlo
simulations tend to predict fairly well the problems with poor grain formation and
voids around such structures (see Figure 7.8 [20] and Figure 7.9 [21]). A typical
solution to this problem is to avoid 90° angles. Shallow angles help avoid voids and
poor grain structure on sidewall structures.
Even though high-quality aluminum nitride films tend to be smoother than
poorly oriented films, film surface roughness increases with film thickness (see Fig-
ure 7.10).

7.2.2 Practical Aspects of the Sputter Deposition of the AlN Films


Reactive deposition of AlN has been has been successfully performed in a variety of
different sputtering machines. Most of the machines on the market can provide ade-
quate AlN films for research applications. It is important, however, to make sure
that if the machine is to be used for volume production it meets certain requirements.

Metallic films are polycrystalline


Microvoids and grain boundaries
Columnar (rough) growth and pores more
likely because of oblique incidence and low
Impinging atoms surface diffusivity

~0.25 μm 10 nm

Figure 7.8 Monte Carlo simulation of AlN grain growth as a function of geometry.
184 Thin Films Deposition for BAW Devices

Ψm = 0°

Ψm = 0°

Ψm

Ψm = 70°

Ψm = 45°

Figure 7.9 Comparison of the impact of the sidewall angle on the AlN film growth.

Figure 7.10 AlN surface roughness as a function of the film thickness.

Typical problems with AlN deposition systems involve flaking of the AlN from
the chamber shields after enough material builds up on it. Most machines can run
without flaking for a while, but a practical requirement is at least five hundred
microns of AlN without flaking. Typically, this problem is addressed by using alu-
minum depositions between aluminum nitride depositions. This coating not only
7.2 Methods of Deposition of Piezoelectric Films 185

prevents flaking, but in a pulsed-DC system it refreshes anodes that otherwise


would get coated by dielectric AlN and electrically disappear. In order to get maxi-
mum wafer throughput it is tempting to reduce or eliminate such aluminum coat-
ings. But only running through the entire target will confirm if conditioning is
appropriately optimized.
Since most BAW applications require tight control of AlN, uniformity of AlN
deposition is a critical parameter. Most machines on the market today can demon-
strate about 0.3% one sigma uniformity on 6- or 8-inch wafers. Unfortunately,
since most systems have either dual targets or multiple erosion rings on a single tar-
get, as the target is being consumed uniformity changes. Some systems have ability
to compensate for this by adjusting power to each target in a dual target configura-
tion [18]. Single-target systems have ability to change space between substrate and
the target. Another compensation technique used by some machines is an external
magnetic field that can be manipulated over the target life to compensate for this
problem. It is important to check for the uniformity changes throughout the entire
target because some systems have better compensation schemes than others. With-
out actually going through the target, it is impossible to know if the system will
provide adequate uniformity or not.
Unfortunately, even the best uniformity available on the sputtering machine is
not adequate for many applications. It is a typical requirement for many filters to
have frequency control of ±0.1 percent total range. There are several approaches
used in the industry to obtain such requirements. One can either etch each deposited
layer of the device to get perfect film uniformity at each step, or etch the wafer at the
end of the process based on the frequency map. The most accurate method is to use
a focused ion beam (FIB) to adjust the thickness of each resonator. This approach
can get 100% of dice on frequency but requires many hours to tune each wafer in a
very expensive machine. Another method relies on using masks to etch only desired
areas to be trimmed. An easier way is to use ion mill with a small beam size (from
0.2 to 1 cm) and tune the wafer at the end of the process using frequency map of the
finished wafer, or tune based on the thickness map at each deposition step. There
are several commercial makers of both FIB and ion mill equipment. Some use chem-
ical-etch enhanced with the ion bombardment. This approach allows removal of
very thin layers, but with a side effect of leaving etch chemical implanted into the
surface of the wafer. Using an argon-based ion beam eliminates this issue. an ion
beam simply removes more or less material based on a preprogrammed uniformity
map. There are two modes of operation: one is to use constant power and move the
wafer at variable speed; another is to use variable power and constant wafer speed.
If the ion source can have stable, quickly controlled power, it is possible to tune the
wafer to the thinnest point on a wafer. The constant power approach is limited by
the ability to increase or decrease speed of the wafer motion, but it is easy to main-
tain constant power during the process. Generally, it is hard to go from very slow to
very high speed. This leads to a minimum amount of material that can be removed
in order to have a smooth wafer motion. Generally, the loss of material is more
severe with variable wafer speed than with variable power design.
Another way to combat thickness nonuniformity is to deposit extra material in
the desired areas. This method is more complicated and requires either very slow
FIB deposition die-by-die or masking/depositing/lift-off process. Due to the relative
186 Thin Films Deposition for BAW Devices

difficulty of this method compared to the etch method, it is not widely used in
high-volume applications.
BAW applications require very good wafer-to-wafer thickness repeatability. It is
fairly common to have a “first wafer” effect on most systems. Depending if the sys-
tem has been recently used or set idle for a while, the first wafer will have a slightly
different thickness than the following wafer. Another problem is that as the targets
get eroded, the deposition rate tends to fall. Not only does the deposition rate drop
due to a self-shadowing effect in the eroded area (also known as “racetrack”) but as
Este and Westwood [17] showed in the 1980s, the deposition rate of the reactively
sputtered AlN is extremely dependent on the target voltage. As the target erodes,
voltage drops. In most modern systems it is very difficult to adjust magnetic field to
keep constant voltage over the entire target life, thus, it is important to look at differ-
ent ways to keep wafer-to-wafer thickness repeatability over the target life. A simple
solution to these problems is to use a laser interferometry end point to stop at a
required thickness [22]. A less optimum solution is to have a computer adjust depo-
sition time or power based on the historical data from the system.
Film stress is an important consideration in production depositions of AlN. In
the free-standing membrane applications, excessive stress can cause cracking
or peeling of the resonators. In the solidly mounted application, stress can cause
wafer bowing that is unacceptable in many photolithographic processes such as
steppers, resulting in wafer loss. Stress control can be accomplished by standard
means described by Vossen [5]. Using power, pressure and substrate bias works as
expected in any sputtering application (i.e., higher power or lower deposition pres-
sure produces more compressive stress). Applying substrate bias produces more
compressive stress with diminishing effect as the substrate bias is increased.
Some equipment-specific modifications to stress are described by Mishin [18] (see
Figure 7.11).
Besides such obvious stress control methods, it is possible to modify stress
through surface treatment. Making the surface smoother by CMP or etching will

Figure 7.11 AlN film stress as a function of the magnetic field.


7.2 Methods of Deposition of Piezoelectric Films 187

usually result in more compressive AlN films. Breaking films into multiple steps
have been reported [23] to modify film stress.
PZT deposition by sputtering is a lot more complex than either AlN or ZnO
depositions. RF diode deposition of PZT is an extremely slow process when depos-
ited in the commercially available equipment, resulting in deposition rates of 50 to
100 Å/min. Conventional RF magnetron processes can achieve up to 500 Å/min
deposition rates by employing an appropriate array of magnets, but it is very diffi-
cult to get correct stoicheometry from a given target over the entire target life
because of the different yield level of the lead, zirconium, and titanium at different
voltages [24]. It is also important to have the right mixture of argon and oxygen to
maintain desired ratio of the materials in PZT. Because PZT is a dielectric, it does
not lend itself to either DC or AC magnetron deposition techniques commonly used
for either AlN or ZnO. An interesting deposition method designed to circumvent
these issues is described in [25]. Using a hallow cathode effect with high-rate gas
flow, deposition rates of up to 2,500 Å/min were demonstrated. Authors claim films
as thick as 16 μm were deposited with excellent film characteristics in only ninety
minutes. Typically, hollow cathode consists of a cylindrically shaped target
arranged perpendicular to the substrate. Very high plasma density creates high ero-
sion rate of the material from the target. Argon and oxygen flowing through the
center of the target carry target material to the wafer. In PZT deposition, target is
composed of the individual rings of lead zirconium, and titanium. In order to obtain
the desired ratio in the PZT material, the size of the target rings is adjusted to obtain
appropriate composition on the surface of the substrate. Temperatures of 550°C to
650°C as well as a small amount of the substrate bias are used to obtain dense,
highly oriented PZT. This approach demonstrates a path forward for a PVD deposi-
tion of PZT at high deposition rates with good control over the stoichiometry of the
PZT. Unfortunately, this system is in the experimental stages and no commercially
proven equipment is available on the market.
Sputter deposition from ceramic AlN or ZnO targets can be accomplished only
in the RF-deposition mode. This is due to a need to couple between backing plate
and the insulating target. In order to accomplish this, higher frequency is required.
Unfortunately deposition rate from the ceramic are an order of magnitude slower
that either AC or pulsed-DC deposition. For this reason, as well as cost and diffi-
culty of making a ceramic target, this technique did not find a wide commercial
application.

7.2.3 Electron Cyclotron Resonance Deposition


Electron cyclotron resonance (ECR) has been used to deposit AlN [6] and ZnO
films of high quality. Typically, argon/nitrogen mixture is used with aluminum
target. A magnetic field of about 875G is driven at 2.45 GHz. The advantage of the
technique is that it allows a nearly epitaxial film deposition at temperatures
below 300°C. The disadvantage of ECR is that because deposition rate tends to be
low there are no high-volume, low-cost, production machines available on the
market. Most work reported in the literature is from universities and R&D
laboratories.
188 Thin Films Deposition for BAW Devices

7.2.4 Ion Beam Deposition


Ion beam deposition (IBD) is a well-known technology and is described in detail in
Thin Film Process II [5]. RF or DC source is used to create argon ions that are acceler-
ated through voltages between 500V and 5,000V towards target material. Material
removed from the target is deposited on a substrate. In the last decade ion beam depo-
sition has become a more viable technique, especially for composite dielectric materi-
als such as PZT. The advantage of the IBD over a magnetron deposition is that unlike
magnetron, composition of the material coming of the target remains repeatable over
the target life. Our experience indicates that by adjusting the angle of the source to the
target, deposition rates can be more than doubled for some materials. Figure 7.12
shows dependence of the deposition rate versus source angle for some materials.
In the past, slow deposition rates combined with high-maintenance require-
ments have limited usage of IBD to depositions of about 1,000-Å thickness. In the
last two decades, development of large gridless sources, operating at high power
increased this range to about 10,000-Å range. This makes IBD more practical for
some piezoelectric applications.

7.2.5 Metalorganic Chemical Vapor Deposition


Metalorganic chemical vapor deposition (MOCVD) is one of the methods that have
been successfully used for depositing PZT materials. The advantage of this tech-
nique is that it allows precise composition control and uniformity over a large area
[26]. MOCVD provides conformal step coverage that is highly desired in many
applications. MOCVD uses liquid delivery and flash vaporization to deliver chemi-
cals into the deposition chamber. Low-volatility materials are desired for safety rea-
sons. Difficulty of safely handling materials prevented wide commercial use of
MOCVD for AlN deposition. For PZT there are several chemicals that can be safely
used. Pb(thd)2-pmdeta [Bis(tetramethylheptanedionato)lead with pentamethyldie-

Figure 7.12 Deposition rate as a function of the source to target angle.


7.3 Metal Deposition for BAW Applications 189

thlenetriamine adduct], Zr(thd)4 [Tetra(tetramethylheptaanedionato)zirconium]


or Zr(thd)2(O-iPr)2 [Bis(isopropoxy)bis(tetramethylheptanedionato)titanium].
The films are deposited in oxidizing atmosphere at about 1.5-torr pressure. Deposi-
tion temperature is typically 550°C to 590°C. Because of the high temperature and
oxidizing environment, platinum electrodes are preferred to other commonly used
materials.

7.2.6 Jet Vapor Deposition


Jet vapor deposition (JVD) is a low-cost, high-throughput alternative for depositing
thin film PZT material [27]. The advantage of the system is that it operates in the
low-torr range. Such low-level vacuum allows for low-cost chamber and pumping
setup. Vapor source provides condensable material for the deposition. Molecules of
this material are carried by inert gas at speed around 1,000 m/s towards the sub-
strate. For larger substrates, multiple jets in conjunction with moving substrate can
deposit uniform films on a larger substrate or multiple substrates. Films tend to
have very fine grain structure after the deposition. An anneal at 550°C to 650°C can
increase the grain size to the desired range. So far this technique was only applied to
only PZT depositions.

7.2.7 Nonvacuum Deposition


PZT is the best material for application requiring extremely high coupling coeffi-
cient and relatively low frequencies. Unlike AlN or ZnO, there is a simple
nonvacuum deposition technique that can be used to get reasonably good piezoelec-
tric films. One of the popular techniques of depositing thin PZT layers is a sol-gel
deposition. It is the most widely used method of depositing PZT of intermediate
thicknesses. It is highly desirable because it is compatible with standard semicon-
ductor processing [28–30]. Sol-gel, a metal-organic solution that contains oxide
components in an organic solvent, is usually spun by the same method as used to
deposit photoresist. A small amount of sol-gel is deposited on a spinning wafer. Spin
speed is adjusted to obtain the desired thickness and uniformity. Spin step is fol-
lowed by a low temperature (300°C to 400°C for several minutes) baked, followed
by high temperature (600°C to 750°C for about 30 to 60 minutes) anneal. Ideal
thickness is between 1,000 to 2,000Å. This process can be repeated multiple times
to obtain desired thickness of up to 10 microns. Films deposited by this method
show low void density and high coupling coefficient. Even though sol-gel is consid-
ered the most viable technique currently in use, there are no proven commercial
machines available on the market at the present time. This technique requires care-
ful optimization and a lot of proprietary knowledge to achieve good results,
particularly for thicker films.

7.3 Metal Deposition for BAW Applications

There are a couple of universal issues that impact most metal electrodes used for
BAW applications. Before depositing any electrode it is important to know the con-
190 Thin Films Deposition for BAW Devices

dition and type of surface under the electrode [31]. If the surface has a high degree of
crystal orientation and is extremely smooth (such as prime silicon wafer), it is very
easy to obtain high-quality electrode material. If the surface is an amorphous silicon
oxide or similar material with rough texture, it is important to prepare it before
depositing the electrode material. Some of the most common ways to improve sur-
face smoothness are chemical mechanical polishing (CMP) or plasma etching such
as ion mill. By using CMP techniques it is possible to obtain surface roughness less
than 7Å (see Figure 7.13).
There are other techniques of improving surface smoothness, but the common
goal is to get close to the same level of smoothness as prime Si wafer (about 5Å
RMS). Another common issue with most of the electrodes and particularly with
molybdenum, tungsten, and ruthenium is the grain orientation and surface rough-
ness of the deposited film. Films that tend to incorporate oxygen in the grain bound-
aries can develop poor crystalline orientation and high level of surface roughness. It
is best to deposit films with minimum oxygen levels, but sometimes it is not practical
or extremely difficult to accomplish. In such cases using CMP or plasma surface etch
should be used to improve surface roughness. Mishin [8] shows that surface rough-
ness control of the electrode is critical to achieving good crystallinity of the AlN
films. It is also clear that the type of surface can influence the growth of the electrode
metal. One example is that if molybdenum is deposited on polished PECVD oxide
film, it will have adverse effect on the AlN growth. Using a thin film of AlN on top of
the polished PECVD film eliminates this problem.
Most BAW applications have a need for metal electrodes that have the following
characteristics: acoustic stiffness, low electrical resistance, compatibility with the
standard manufacturing techniques, and a favorable surface to orient the piezoelec-

Figure 7.13 CVD-deposited W film surface roughness after CMP process.


7.3 Metal Deposition for BAW Applications 191

tric layer. For solidly mounted bulk acoustic resonator (SMR) devices it is also
important to have a large mismatch in acoustic impedance between metal and
dielectric in its acoustic mirror stack. Lanz [32] gives a good example of selecting
different materials in order to optimize a particular device. Velocity of sound and
material density all are important in the choice of the electrode for a particular
application. Table 7.2 lists some of the film properties for the films commonly used
in the BAW applications.
The acoustic impedance and stiffness are both very critical parameters in deter-
mining suitability of a given material to be used for a specific BAW application.
When acoustic waves travel between two electrodes it is highly desirable for most
applications to have most of the energy reflected back by the electrode. The acoustic
impedance and stiffness of a material determines how far into the electrode the
acoustic wave will penetrate before it is reflected back. If the acoustic stiffness is
high, very little energy is lost and penetration into the electrode is minimal. In the
SMR applications, acoustic pairs of high and low acoustic impedance are chosen to
create quarter-wavelength reflectors. Typically, two pairs with highly mismatched
impedance such as tungsten and silicon dioxide, for example, are sufficient to reflect
most of the energy back into the stack. On the other hand, when materials with less
acoustic mismatch are used, for example, SiO2/AlN, it takes as many as seven pairs
to achieve the same result [2]. Many metals have been tried for different piezoelec-
tric materials. Materials such as aluminum, gold, and copper have been tried
because of their low electrical resistance and ready availability in many manufactur-
ing facilities. Both gold and copper have been rejected due to their poor acoustic
stiffness. Materials like tungsten, molybdenum, platinum, and ruthenium have been
used because of their relatively high acoustic stiffness and ability to help orient
piezoelectric films. Some additional properties of those metals are shown in Table
7.3 [33].

7.3.1 Aluminum
Aluminum has been used with reasonable success for AlN and ZnO applications. It
is the most readily available material in most fabrication facilities and is easily
deposited with a standard DC-sputter deposition. If the device needs low resistance
and does not require exceptional coupling coefficient, aluminum is a good choice.

Table 7.2 Acoustic Properties of Selected Films


Sonic
Velocity Acoustic
Density V (long.) impedance Stiffness c11
Material (g/cm3) 103 m/s 103 m/s 11
(10 Pa)
AlN(002) 3.26 11.0 3.6 4.2
SiO2 2.2 5.6 1.2 0.7
Pt 21.5 — — 3.5
Al 2.7 6.3 1.7 1.1
Mo 10.2 6.2 6.4 —
W 19.2 5.2 10 —
Cu 8.9 4.7 4.2 —
192 Thin Films Deposition for BAW Devices

Table 7.3 Physical Properties of Selected Metals


Bulk Thermal Thermal
Resistivity, Density, Expansion, Conductivity,
Material Ohm-cm g/cc ppm W/cm/K
Al 2.8 2.7 22.9 2.37
Pt 10.7 21.5 8.9 0.716
Mo 5.7 10.2 5.1 1.38
W 5.39 19.2 4.3 1.73
Ti 55 4.5 8.9 0.46
Ru 7.3 12.2 9.6 1.17

Devices with Kt2 of as high as 5% have been fabricated. Unfortunately, many devices
require Kt2 above 6%. For such devices several materials with relatively high stiff-
ness have been used. Molybdenum, tungsten, platinum, and ruthenium have all been
used to demonstrate high Kt2.

7.3.2 Molybdenum
Molybdenum (Mo) has been used in high-volume manufacturing of BAW resona-
tors with AlN piezoelectric material mainly because it is a very acoustically stiff
material and has moderately low resistance. Mo is refractory metal and has thermal
expansion coefficient similar to aluminum nitride which minimizes impact from
thermal mismatch and residual stress to Mo/AlN stack. Mo has body-centered cubic
crystal structure [33]. It can easily be deposited by either DC or AC sputtering. Care
has to be taken when depositing molybdenum. If the sputtering system has high oxy-
gen or water level during deposition, films will have rough surface, poor resistivity,
and will also prevent growth of highly oriented AlN. It has been observed that
slightly compressive films deposited with either substrate bias or at low pressure
usually produce fairly smooth films with relatively low resistivity. Molybdenum
XRD is a good indicator of the film quality. If XRD is less than 2° and film has not
been severely oxidized before AlN deposition, it will provide a good surface for AlN
deposition. Such film can be left for couple of weeks before AlN deposition and will
not cause a problem with the aluminum nitride deposition. If XRD is significantly
higher than 2° or the molybdenum surface has been severely oxidized in previous
processing, AlN film grown on it will have poor orientation and high XRD.

7.3.3 Tungsten
Tungsten (W) has higher acoustic impedance and acoustic stiffness than molybde-
num, thus making it easy to deposit films with high Kt2. W is refractory metal and
has thermal expansion coefficient similar to aluminum nitride which minimizes
impact from thermal mismatch and residual stress to W/AlN stack. Tungsten has
body-centered cubic crystal structure [33]. Unfortunately, it has several disadvan-
tages. It is denser than molybdenum, thus, requiring thinner film than molybdenum
to produce same frequency loading. When tungsten gets thin, its bulk resistance rises
sharply to as high as 20 to 30 micro-ohm-cm, compared to molybdenum that is
about 10 for the equivalent electrode when sputtered using a standard deposition
7.3 Metal Deposition for BAW Applications 193

system with argon sputtering gas. Using heavier gases such as krypton or xenon it is
possible to control tungsten resistivity in thinner films. Krypton and xenon are not
commonly used in high-volume production environments and poses logistical chal-
lenges for practical use. Another issue with tungsten is surface roughness. If tung-
sten surface is not polished with CMP, AlN deposited on it tends to produce highly
variable coupling coefficient. With appropriate surface treatment this problem can
be eliminated.

7.3.4 Platinum
Platinum (Pt) has face-centered cubic crystal structure. It is one of the best films to
orient the piezoelectric films that are deposited on it. It is one of the few materials
that can withstand the high temperature, oxidizing environment of the MOCVD
process. It is by far the electrode of choice for PZT applications. The most critical
parameter in depositing Pt is temperature. It is usual to use 500°C or higher temper-
ature to get desired Pt film’s properties. One of the critical properties of Pt for PZT
applications is a very tightly packed grain orientation. It has been showed that for
the high-temperature anneals that are frequently used for PZT processing it is criti-
cal to have tight grain orientation in platinum to avoid PZT diffusion through the
grains of platinum. But, at the same time, higher deposition temperature cause
problems with stress and surface roughness (see Figure 7.14).
Much less success was obtained when platinum was used for AlN BAW applica-
tions. Even though very high Kt2 was obtained with Pt electrodes, typically because
of the density of platinum such electrodes tend to be very thin and have high resis-
tance. This has detrimental impact on the Q of the device, making it impractical for
most of the applications.

Figure 7.14 Pt film properties versus deposition temperature.


194 Thin Films Deposition for BAW Devices

7.3.5 Ruthenium
Ruthenium (Ru) has been used in BAW applications. Crystal structure of Ru is hex-
agonal [33], with lattice constant: a = 2.706Å, c = 4.282Å. It has been shown to
produce high Kt2 as well as very high Q. In practice, Ru tends to oxidize fairly
quickly in the ambient atmosphere, especially if it has poor crystal orientation. Once
heavily oxidized, it is critical to remove this oxide before depositing AlN films. If the
oxide is not removed, AlN films tend to have poor orientation. Ru XRD is not a
good indication of the quality of AlN film that will be deposited on it. For example,
XRD of AlN deposited insitu on Ru film that has XRD as high as 12° can be similar
to the XRD of AlN film deposited on molybdenum electrode. But unlike molybde-
num, if Ru film is left in the ambient atmosphere for couple of weeks, AlN films
grown on it will have two to three times higher XRD. Ru is fairly expensive material
compared to the other electrode materials. For these reasons, there are very few peo-
ple who use it in high-volume applications.

7.3.6 Combinations of Metals


Lakin [2] describes a use of a combination electrode to get the best of both worlds:
highly stiff electrode contacting the piezoelectric film and a thin layer of highly con-
ducting material to help reduce the resistance of the electrode. It appears that a
1,000Å layer of aluminum can accomplish this requirement. Since aluminum is a
relatively light material it doesn’t significantly alter the frequency mass of the elec-
trode. If there are no other compatibility reasons, aluminum is an easy choice for this
application.

References

[1] MacDonald, N., et al., “AlN Film Bulk Acoustic Resonators,” UCSB MEMS.
[2] Lakin, K., et al., “Improved Bulk Wave Resonator Coupling Coefficient For Wide Band-
width Filters,” IEEE 2001 Ultrasonics Symp., Paper 3E-5, October 9, 2001.
[3] Larson, J., S. Gilbert, and B. Xu, “PZT Material Properties at UHF and Microwave Fre-
quencies Derived from FBAR Measurements,” IEEE Ultrasonics Symp., 2004, p. 173.
[4] Larson, J ., S. Gilbert, and B. Xu, “PZT Material Properties at UHF and Microwave Fre-
quencies Derived from FBAR Measurements,” IEEE Ultrasonics Symp., 2004, pp.
173–177.
[5] Vossen, J., and W. Kern, Thin Film Process II, New York: Academic Press, 1991.
[6] Nishihara, T., et al., “High Performance and Miniature Thin Film Bulk Acoustic Wave Fil-
ters for 5GHz,” IEEE Ultrasonics Symp., 2002.
[7] Loebl, H., et al., IEEE International Ultrasonics Symp., paper 3E-2, 2001.
[8] Mishin, S., et al., “Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonator:
Relationship Between Surface Quality Microstructure and Film Properties,” IEEE
Ultrasonics Symp., Vol. 2, 2003, pp. 2028–2032.
[9] Loebl, H. P., et al., “Piezoelectric Materials for BAW Resonator and Filters,” IEEE Interna-
tional Ultrasonics Symp., 2001, pp. 807–811.
[10] Uchiyama, A., et al., “Growth of AlN Films by Magnetron Sputtering,” Journal of Crystal
Growth, Vol. 189–190, 1998, pp. 448–451.
7.3 Metal Deposition for BAW Applications 195

[11] Thornton, J., “Influence of Apparatus Geometry and Deposition Condition on the Struc-
ture and Topography of Thick Sputtered Coatings,” Journal of Vacuum Science and Tech-
nology, Vol. 11, 1974, pp. 665–670.
[12] Thornton, J., et al., “Internal Stresses in Metallic Films Deposited by Cylindrical Magne-
tron Sputtering,” Thin Solid Films, Vol. 64, 1979, pp. 110–120.
[13] Hsieh, P., M.S. Thesis, MIT, 1999.
[14] Glocker, D., “Influence of the Plasma on Substrate Heating During Low-Frequency Reac-
tive Sputtering of AlN,” J. Vac. Sci Tech., A 11, Vol. 6, November/December 1993.
[15] Rutz, R., E. Harris, and J. Cuomo, IBM J. Res. Dev., Vol. 17, No. 61, 1973.
[16] Shuskus, A., T. Reeder, and E. Paradis, Appl. Phys. Lett., Vol. 24, No. 4, 1974, pp.
155–156.
[17] Este, G., and W. Westwood, “A Quasi-Current Sputtering Technique for the Deposition of
Dielectrics at Enhanced Rates,” J. Vac. Sci. & Tech., A6, N.3, Part II, May/June 1988.
[18] Oshmyansky, Y., et al., “Sputtering Processes for Bulk Acoustic Wave Filters,” Semicon-
ductor International On-line Addition, March 1, 2003.
[19] Loebl, H., et al., “Solidly Mounted Bulk Acoustic Wave Filters for the GHz Frequency
Range,” IEEE Ultrasonics Symp., 2002, p. 923.
[20] www.ima.umn.edu/talks/workshops/6-5-9.2000/osullivan/ima-release.pdf.
[21] www.cletus.phys.columbia.edu/~windt/papers/2003_JAP_94_263.pdf.
[22] Mishin, S., B. Sylvia, and D. R. Marx, “Improving Manufacturability of AlN Deposition
Used in Making Bulk Acoustic Wave Devices,” IEEE Ultrasonics Symp., 2005.
[23] Cumbo, F., D. Metacarpa, and M. Serry, “Film Bulk Resonator Process Technology,”
Veeco FBAR Appsnotes, 02730.
[24] Suu, K., et al., “Lead Content Control of PLZT Thin Films Prepared by RF Magnetron
Sputtering,” Integrated Ferroelectrics, Vol. 14, 1997, pp. 59–68.
[25] Jacobsen, H., et al., “High-Rate Sputtering of Thick PZT Layers for MEMS Actuators,”
MEMS 2006, Instanbul, Turkey, January 22–26, 2006.
[26] Chen, I. -S., et al., “Metalorganic Chemical Vapor Deposition Pb(Zr,Ti)O3 and Selected
Lower Electrode Structures as a Pathway to Integrated Piezoelectric
Microelectromechanical Systems,” J. Vac. Sci. Tech. B, Vol. 19, No. 5, September/October
2001, p. 1833.
[27] Golz, J., et al., “Jet Vapor Deposition of Lead Zirconate Titanate (PZT) for Thin Film
Pyroelectric Detectors,” Mat. Res. Soc. Symp. Proc., Vol. 284, 1993.
[28] Frederick, A., M.S. Thesis, University of Pittsburg, PA, 2006.
[29] Kim, S. H., et al., “Dielectric and Electromechanical Properties of PB(Zr,Ti)O3 Thin Films
for Piezo-Microelectromechanical System Devices,” Japan. J. Appl. Phys., Vol. 42, Part 1,
No. 9B, 2003, p. 5952.
[30] Zhou, Q., and K. Shung, “Fabrication of Sol-Gel Modified Piezoelectric Thick Films for
High Frequency Ultrasonic Applications,” IEEE International Ultrasonics, Ferroelectrics,
and Frequency Control Joint 50th Anniversary Conf., 2004, p. 1958.
[31] Iriarte, G., et al., “Synthesis of C-Axis Oriented AlN Thin Films on Metal Layers: Al, Mo,
Ti, TiN and Ni,” IEEE Ultrasonics Symp., 2002, p. 315.
[32] Lanz, R., M. Dubois, and P. Muralt, “Solidly Mounted BAW Filters for the 6 to 8 GHz
Range Based on AlN Thin Films,” IEEE Ultrasonics Symp., 2001, p. 844.
[33] Papertech Marketing Group Inc., “Periodic Table of the Elements,” 1994.
CHAPTER 8

Characterization of BAW Devices


Gernot Fattinger and Stephan Marksteiner

8.1 Introduction

As we have seen in the previous chapters, the response of a thin film BAW resonator
can be well predicted (up to first-order effects) by a one-dimensional wave model:
the acoustic field in the resonant layer stack is described by waves that travel per-
pendicular to the various thin film layers. All of these layers contribute somehow to
the shape and resonance frequency of the fundamental acoustic mode. This means
that in addition to the piezolayer itself, every other layer (whether part of the elec-
trodes, part of the trimming layer on top of the resonator or part of the acoustic mir-
ror under the actual transducer), contributes to the electrical behavior. However,
the physical mechanism and the strength of this influence depends strongly on
where the corresponding layer is located and what purpose it serves. The electrodes,
for example, being located directly beneath and above the piezolayer, have a partic-
ularly strong influence on the resonance frequency, especially if they are made from
heavy (or high acoustic impedance) materials [1]. In contrast, the lower layers of an
acoustic mirror have a basically negligible influence on the resonance frequency. On
the other hand, they contribute significantly to the quality factor of the resonance
by reflecting residual energy that leaked through the upper mirror layers. So even if
a layer has very small frequency sensitivity, it does not necessarily mean that large
thickness fluctuations can be tolerated during manufacturing.
The bottom line of this discussion is that a good control of the layer thick-
ness—made possible by proper measurement means—as well as reproducible mate-
rial properties for all constituting layers is of huge importance to optimize the
performance and to guarantee the manufacturing stability of the resonator and
filter response.
In Section 8.2 we will therefore focus on the measurement methods for the char-
acterization of single thin film layers. In Section 8.3 we will discuss methods for
directly characterizing the acoustic properties of the multilayer BAW stack. In
Section 8.4 we will discuss the dominant loss mechanisms related to various physi-
cal effects in SMR/FBAR resonators. In Section 8.5 we will finally turn to the discus-
sion of the electrical characteristics.

197
198 Characterization of BAW Devices

8.2 Single-Layer Material Characterization

8.2.1 Introduction
Any BAW device consists basically of a stack of thin film layers that are deposited
and patterned consecutively on a substrate using standard semiconductor/MEMS
processing technology. Metallic layers are used for electrodes, interconnects, and
potentially also for the high-Z layers in the acoustic mirror. Dielectrics are used
(e.g., for passivation purposes), as frequency trimming layers or low-impedance lay-
ers in the acoustic mirror. And finally, there is the piezoelectric layer which also
belongs to the category of dielectrics. Note that semiconducting layers do not play
an active role in BAW devices (with one exotic exception [2]). The silicon wafers
commonly used for SMR and FBAR manufacturing serve only as low-cost sub-
strates that can conveniently be handled with standard semiconductor equipment.
In contrast, the semiconducting properties of the substrate are unwanted in the sense
that they may result in a parasitic capacitive coupling between the various resona-
tors within an SMR/FBAR filter chip. Therefore, proper care needs to be taken to
avoid both intrinsic ohmic conduction of the silicon itself, as well as oxide and/or
interface charge-induced carriers.
From the simplified BAW resonator model (e.g., the 1D Mason model; see Sec-
tion 3.2.3) it is easy to see that the effect of any layer of thickness t in the BAW stack
is uniquely determined by its acoustic delay τ = t/vL of the longitudinal wave (where
vL is the longitudinal sound velocity), and by its acoustic impedance ZL. If the mate-
rial parameters (vL and ZL) are known, then the geometrical thickness t completely
determines the contribution of the thin film layer to the total acoustic motion of the
stack.
In the following subsections we will discuss methods for characterizing the
properties of BAW thin film layers. Basically, all these methods are standard meth-
ods widely used in semiconductor manufacturing.

8.2.2 Dielectric and Piezoelectric Layers


The by far most important dielectric layers used in BAW manufacturing are silicon
oxide and silicon nitride. They can be deposited with good uniformity and thickness
control, high quality, and excellent reproducibility. The deposition is typically done
by plasma-enhanced chemical vapor deposition (PECVD) at moderate temperatures
(<450°C) or by RF sputtering. Other dielectrics sometimes used as high-Z layers in
acoustic mirrors are tantalum pentoxide, aluminum nitride, or aluminum oxide.
The most commonly used piezoelectric materials are aluminum nitride and zinc
oxide.
In order to measure the thickness of a dielectric layer several methods can be
used. If the thin film is patterned during the process, a direct measurement with a
profilometer is possible. With this method the thickness of the patterned layer is
characterized by softly setting a probe needle on the surface and scanning it across
the etch step. This method is cheap and fast, accurate in the nanometers range (if
properly calibrated), is very localized (the needle tip is a few tens of micrometers
large) and can also deliver information about short-range thickness nonuniformities
(e.g., that occur if chemical mechanical polishing is used in the manufacturing pro-
8.2 Single-Layer Material Characterization 199

cess). However, the accuracy of this measurement is limited by over-etch into the
underlying layers during patterning.
A more convenient way (that can also be used if the dielectric layer is unpat-
terned) is to use ellipsometry. This measurement system is contactless, well localized
(spot size <10 μm in diameter), and can be performed on process control wafers as
well as directly on productive wafers if a proper underlayer provides sufficient
reflectivity.
The method itself extracts the optical thickness by measuring the change in
polarization of a light beam that is reflected off the dielectric layer. Due to the mea-
surement principle, it can simultaneously extract the index of refraction of the
dielectric. In modern semiconductor manufacturing, the index of refraction is used
as a process control monitor for the thin film quality and can therefore be stabilized
within very narrow limits: whenever the measured value is out of the specified
bounds, the deposition tool is inspected (and if necessary reconditioned), and the
process is adjusted until the index of refraction is back within the spec limits again.
A certain drawback of all methods described above, however, is that neither the
geometrical nor the optical thickness is really the relevant physical quantity for
characterizing the BAW layer stack. As stated earlier, it is in strict sense the ratio of
geometrical thickness and longitudinal sound velocity, and the acoustic impedance.
A method that directly determines these acoustic properties is picosecond laser
pulse probing [3]. With this method, an ultra-short laser pulse is divided by a beam
splitter into a first strong excitation pulse, and then a second, much weaker probe
pulse. The first pulse induces a thermal stress wave in the material under investiga-
tion. The second pulse hits the same spot with a certain time delay and thereby tests
if the shock wave from the initial excitation has returned to the surface after being
reflected from the bottom of the investigated layer. By sweeping the time delay of
the probe beam, a measurement signal is generated that shows a multitude of “ech-
oes” of the initial excitation from interface reflections in the stack underneath. The
delays of these echoes are proportional to the thickness of the various layers in the
probed stack. Since the initial stress wave is generated via the heating of conduction
electrons by the excitation pulse, the laser cannot directly induce a stress wave in the
dielectric layer itself. However, the sensitivity of the measurement system is high
enough to resolve several reflections from a multilayer stack. Metallic layers that
are deposited in a BAW process can therefore be used to probe the dielectric layers
above or underneath of them as well.
As stated above, the laser pulse method directly measures the acoustic delay τ =
t/vL and therefore indirectly also measures a material property, namely the (longitu-
dinal) sound velocity vL. It is interesting to know, that also the second important
material property, the acoustic impedance ZL, can be extracted from the acoustic
delay with one additional measurement of the weight of the deposited layer [4]. It
can be calculated by

Z L = ρ ⋅ v L = ( ρ ⋅ t ) ⋅ ( v L t ) = ( w A) τ

where ρ is the mass density of the thin film material and w is the weight of the layer
on a wafer with area A. The weight can be directly measured by weighing the wafer
before and after the deposition with a precision scale.
200 Characterization of BAW Devices

The just-mentioned weighing is yet another way for characterizing the layer
thickness. However, in this case the density of the layer needs to be known in order
to extract the thickness from the measured total weight of the thin film. A severe dis-
advantage of this method, however, is that it is completely delocalized: it only gives
the mean value over the whole wafer.
In the case of piezoelectrics, the thickness is only one of many important proper-
ties that determine the performance of the BAW resonator. Others are the orienta-
tion of the piezoelectric axis, the dielectric constant, and the crystal structure. Even
though these properties can be characterized by various sophisticated methods like
X-ray diffraction (XRD), SEM, or TEM investigation, a direct extraction of the rele-
vant piezoelectric tensor component (which ultimately determines the effective cou-
pling coefficient) is not possible. It is therefore much more practical to extract the
above-mentioned material parameter from the electrical measurement of
appropriate test structures.
At the end of this section it is important to note that the real-world behaviour of
a BAW resonator is not just determined by the delays and longitudinal acoustic
impedances of the constituting layer stack. In reality, nonvertical and
nonlongitudinal waves also play an important role in the physics of the device (see
Chapter 3 and Section 8.3), giving rise to energy leakage and spurious responses in
the electrical characteristics. The behaviour of the corresponding spurious acoustic
modes is determined by the full piezoelectrical, mechanical, and dielectric tensors. A
direct measurement of all tensor components is very difficult and therefore not
suited for monitoring purpose during manufacturing. However, a more practical
way for extracting good estimates for these material parameters will be discussed in
Section 8.3.3.

8.2.3 Metallic Layers


As has been mentioned above, the most elegant and accurate way of characterizing
metallic films in a BAW layer stack is to use the laser pulse probing system. The spot
size is very small (approximately 10-μm diameter) and therefore this method is per-
fectly suited for both process monitor wafers as well as productive wafers. The
thickness resolution is in the nanometer range and it is even able to resolve underly-
ing adhesion layers of only a few tens of nanometers (at least if the change in acous-
tic impedance from the metal to the adhesion layer is large enough).
However, such laser probing systems are very expensive. A more economical
way to extract metallic layer thickness is to measure the sheet conductivity, which
(up to the first order) is directly proportional to the layer thickness. The thin film
conductivity is most accurately measured with a four-point resistance probe. Even
though this measurement method is widely used in semiconductor manufacturing
(here the sheet resistance of the on-chip wiring is of ultimate importance), it has sev-
eral disadvantages for BAW devices. Firstly, this method can only be applied to
unpatterned, flat surfaces and is therefore restricted to process control wafers. Sec-
ondly, the sheet conductivity is a stronger function of the material composition and
crystal structure than the mechanical properties. Changes in conductivity due to
small variations in grain size can accidentally be misinterpreted as thickness
variations.
8.3 Laser Interferometry 201

A somewhat more robust method for determining metal layer thickness is X-ray
fluorescence measurements. This method basically “counts” the number of atoms
in the thin film by irradiating a predefined spot on the wafer with a relatively mono-
chromatic X-ray beam tuned to a low-energy electronic level of the metal under
investigation. The fluorescence signal from the recombination is directly propor-
tional to the number of atoms in the irradiated spot (at least until the thickness of
the layer is smaller than the attenuation length of the X-rays). A drawback of this
method is—similar to the four-point probe—that it can basically just be applied to
unpatterned layers. It is therefore only suited for process monitoring.
Again, an economic method that can as well be used for productive wafer moni-
toring is the above-mentioned weighing of the wafers.

8.3 Laser Interferometry

8.3.1 Introduction
Electrical measurements are an important source to gain hints and clues about the
mechanism and the basic behavior of the acoustic waves in thin film layer stacks.
Nevertheless, without a possibility to observe the mechanical behavior (at least at
the surface), it would be almost impossible to find out why the behavior of a certain
resonator deviates from theory.
A reason for such deviations is the imperfect layer deposition, with respect to
layer quality, structure, and thickness. And even if the stack composition happens to
be perfectly known, there are usually a few not so well-known numbers in the mate-
rial parameters.
Now, the most important detail which can be obtained by such measurements
might be the dispersion relation of a certain layer stack. To be able to predict the
mechanical and electrical response of a resonator it is necessary to know about the
dispersion of the three mainly involved regions on top and around the device. A
method to calculate the dispersion relation of a certain stack from laser
interferometric measurements has been first developed and demonstrated by one of
the authors in [5–7], and since been used and adapted by many others [8, 9].
Besides the resolution of the dispersion type, a useful application of optical mea-
surements is the investigation of side resonances (e.g., from the leads or the pad
areas). The setup briefly described in the next section, which has been developed by
one of the authors during his Master’s thesis, allows us to take a closer look at the
vibration of relatively small details. Due to its very high lateral resolution, the vibra-
tion of resonator parts like the border ring area (usually 1 to 10 micrometers) can be
studied accurately. A more accurate description of the setup can be found in [5–7].
Other setups, allowing for comparable data acquisition capabilities can be
found in [8, 9].

8.3.2 Measurement Setup


The setup is fundamentally based on a modified Mach-Zehnder interferometer; a
sketch of this construction can be seen in Figure 8.1. While the device under test
(DUT) is driven by a signal source, the whole area of interest is scanned by the laser.
202 Characterization of BAW Devices

Figure 8.1 Sketch of the interferometric measurement setup, realized as part of [10].

A 532-nm laser was used as light source, providing both a higher spatial resolution
and a better sensitivity than an ordinary 633-nm HeNe device. The laser beam is
split up into a measurement and a reference beam. While the measurement beam hits
the sample through a microscope objective, the reference beam is reflected by a mir-
ror. Both beams are combined again by a beam splitter after they were reflected by
their respective targets. At this point an interference pattern develops and is subse-
quently detected by a photodetector. In order to minimize losses due to beam split-
ters which are passed more than once by a beam, polarized light was used. In
combination with polarizing beam splitters and retardation plates, it is possible to
adjust the polarization of a beam, so that it passes the beam splitters in the desired
directions with almost no losses. For sample translation motorized stages are used,
similar to recently published systems [11, 12].
There are two major ideas realized, both improving the performance of such a
measurement setup tremendously. First, all earlier published systems had a very lim-
ited measurement speed. Due to the fact, that increasing the spatial stepping speed
could decrease the overall measurement time only by a factor of 2 or 3, another
approach was chosen. A major disadvantage of other systems is that they are limited
to one frequency per measurement cycle. Therefore, the possibility to acquire more
frequencies within one spatial scan was investigated.
Instead of using a spectrum analyzer for photocurrent detection, like in other set-
ups [11, 12], a network analyzer has been used. This configuration has two advan-
tages: First, the possibility of frequency-swept measurements was provided, which
might be also achieved with a sweep generator coupled to a spectrum analyzer. The
second, major advantage, was that if the network analyzer drives the sample with its
own source, it is able to determine the phase of the measured signal. Therefore one
8.3 Laser Interferometry 203

should be able to decide whether the surface displacement is directed up or down at a


designated point. And, this automatically leads us to the second novelty. This mea-
surement technique implicates that the optical setup has to be very stable to avoid
changes in signal due to varying path lengths causing a change of both sensitivity and
phase response. This means that the biasing point of the optical systems has to be
fixed exactly at a path difference of π/2 or 3π/2 due to the maximum slope of the sys-
tem’s response at these points. To get the phase information without any uncer-
tainty, it has to be known to which one of these two the system is locked.
To satisfy the above demands, a phase-locking system was developed, based on
the fact that a sine-shaped artificial interference signal would produce a second har-
monic proportional to the systems deviation from the ideal π/2 to 3π/2-point, respec-
tively. Thus this signal is well-suited for a feedback loop, controlling the length of
one interferometer arm. This can be achieved, for example, by a piezo mirror as done
in this work. As a source for the artificial modulation, a phase-modulator crystal is
used. In addition to the phase control enabled by the second harmonic as an addi-
tional benefit, one can use the signal’s first harmonic to correct for the sample’s sur-
face reflectivity, out-of-focus losses, and optical misalignment during a scan.
Therefore deviations from ideal conditions can be compensated, providing consis-
tent results even with long scan times. If this signal is used to calibrate the amplitude
of the signal produced by the sample vibration, it is possible to calculate the absolute
surface deflection, because the signal produced by the phase modulator is known.
The vibration amplitudes of the sample surface are small compared to the laser
wavelength, thus they cause almost linear response in light intensity and the
photocurrent. Of course this is only true for a system biased at the π/2- or the
3π/2-point, as described earlier. With this method, the possible accuracy for vertical
surface deflections of a DUT is in the region of a few picometers, depending on the
desired measurement speed and the systems signal-to-noise ratio. For resolution
tests, we acquired surface details of one sample with different lateral step resolu-
tions. The results revealed a lateral resolution limit of approximately 250 nm.
With the measured values for amplitude and phase of the photocurrent com-
pared to the driving source signal, the surface deflection can be reconstructed at
every point of the scanned area. The vibration of the surface can then be visualized
in a movie, or studied during a frequency sweep. A sample measurement of a reso-
nator at a certain frequency can be seen in Figure 8.2.

8.3.3 Evaluation of Dispersion


One can gain even more information through closer investigation of the spatial
amplitude distribution over a device area with a certain layer stack composition.
The idea is to perform a two-dimensional Fourier transform of the complex ampli-
tude matrix. If this is done for several different frequencies, one can create a k-vec-
tor versus frequency diagram: the dispersion relation for the lateral components of
the acoustic waves. A comparison of the lateral mode dispersion with theory [7, 13]
provides valuable insights into device physics as well as crucial feedback needed for
layer stack optimization.
For the calculation of the dispersion curves an FFT algorithm is applied to the
dataset, which yields an amplitude distribution over the two-dimensional k-space.
204 Characterization of BAW Devices

(a) (b)

Figure 8.2 (a) Microscope image of circular-shaped resonator, and (b) interferometer measure-
ment result.

An example can be seen in Figure 8.3, at every point the color in the diagram repre-
sents the amplitude of a wave with the corresponding wave number kx, ky. The val-
ues are then sorted with respect to the magnitude of their wave number (see Figure
8.4), represented by the distance from the diagram center. Values with the same
wave number are then averaged which gives for each frequency a distribution of the
wave amplitudes over the propagation constant. Additionally, a special weighting
function may be applied to suppress aliasing. Obviously, aliasing effects of the low-
est order would first appear as parts of a circle mirrored at the boundaries of part of
the k-space consideration in the calculation. The weighting function takes this geo-
metric fact into account in order to avoid predominant first-order aliasing effects.
However, aliasing effects remain a relatively small issue since they can be identi-
fied clearly in the resulting dispersion diagrams. Their direction is in almost every
case perpendicular to the “real” modes, therefore a clear visual distinction between
both cases is possible. By calculating the amplitude distribution versus measured
wave numbers for each frequency point in the range of interest, a complete disper-
sion relation diagram can be assembled. The result can be seen in Figure 8.5 and Fig-
ure 8.6. One can recognize the different vibration modes, the thickness extensional
mode (TE1) is around 1,050 MHz and the thickness shear mode (TS2) is approxi-
mately at 900 MHz. The decision which kind of mode a branch represents was done
in this case by comparing the results to the simulations. There it is possible to calcu-
late the deflection amplitudes, and thus a classification of each mode is viable.

8.4 Loss Mechanisms

8.4.1 Introduction
In this section the focus will be on theoretically possible and actually verified loss
mechanisms in bulk acoustic wave resonators. Both SMR and FBAR will be investi-
gated and a qualitative view of the losses will be given.
8.4 Loss Mechanisms 205

(a)

(b)

Figure 8.3 (a) Active area deflection of a rectangular-shaped resonator, and (b) Fourier transfor-
mation of deflection data.

8.4.2 Acoustic Leakage


In general, various loss mechanisms are possible, one of the most obvious and fre-
quently discussed ones being the loss of energy due to acoustic leakage. The SMR
BAW resonator is especially prone to this kind of losses compared to the FBAR since
the latter lacks an important acoustic loss path: via the Bragg reflector into the
substrate.
206 Characterization of BAW Devices

101

Amplitude/arbitrary units
101

101

101
0 0.5 1.0 1.5 2.0 2.5 3.0
k/m−1 × 106
Figure 8.4 Amplitude versus propagation constant k of the surface deflection of a resonator at a
certain frequency.

Figure 8.5 Measured dispersion of a 1,050-MHz resonator layer stack [10].


8.4 Loss Mechanisms 207

2.0

1.5
f/GHz

1.0

0.5

0 1 2 3 4
k/m−1 ×10
6

Figure 8.6 Measured wideband dispersion diagram of a 1,050-MHz resonator layer stack.

As discussed in Section 8.1, given a sufficient piezoelectric coupling factor, the


resonator quality factor is the main performance parameter deciding about whether
a certain application can be addressed with the BAW technology at hand, or not.
In the case of the ladder filter topology, which makes up the major part of
today’s BAW applications, the available resonator Q has its primary impact on the
steepness of the passband roll-off as well as on the minimum insertion loss of a
filter.

8.4.3 Acoustic Leakage Through the Bragg Reflector


As discussed in Chapter 3, SMR-BAW devices use an acoustic reflector (sometimes
referred to as a Bragg reflector), to isolate the resonating device acoustically from
208 Characterization of BAW Devices

the device substrate. If the reflectivity of the Bragg reflector is not a perfect 100%,
energy will be leaking in the form of acoustic waves from the active region of the
SMR throughout the reflector layers into the substrate. There it will be transported
away from the resonator, scattered or damped on the substrate backside or in the
substrate itself due to imperfections, or reflected back into the resonator
out-of-phase. In any case, since energy that leaked into the substrate cannot be
recovered, this loss mechanism significantly reduces the quality factor of the reso-
nance. [See the earlier definitions (Chapter 5) of resonator Q.]
Experiments [14, 15] have shown that even a perfectly matched quarter-wave-
length reflector, which should in the (simplest) theory reflect close to 100% of the
inbound waves, does show a significantly lower quality factor than expected by
theory.
In order to get information about the energy which is possibly leaking into the
substrate, a resonator with a straight-forward quarter-wavelength reflector which
has been measured interferometrically beforehand, was mounted upside-down into
the interferometric measurement setup. This enabled the measurement of the surface
deflection on the backside of the substrate, caused by the acoustic waves leaking
through the Bragg reflector. A few snapshots of the measured surface deflection are
shown in Figure 8.7.
Although the amplitudes on the substrate backside are approximately a factor of
10 times smaller than those at the front surface, they’re still constituting a significant
contribution to the losses and results in a decrease in the resonator Q, effectively
limiting the Q to the regime of <700.
Now, since obviously a significant part of the wave energy is leaking despite the
fact that in theory the quarter-wavelength Bragg reflector should reflect 100% of the
inbound longitudinal waves, one is inevitably led to the conclusion that a
nonnegligible part of the mechanical energy is stored and traveling in the form of
shear waves.
At the first glance, given the (naive) image of the strictly vertically moving reso-
nator surface, this seems to be unlikely. However, on closer investigation it turns out
that there are a variety of mechanisms promoting the conversion of longitudinal
wave energy into shear waves. Tilting of the wave vector due to lateral mode disper-
sion (see Chapter 3), scattering at layer imperfections and layer roughness, and

Resonator top surface deflection at different frequencies:

(a)

Substrate backside deflection:

(b)

Figure 8.7 Resonator top-surface deflection at different frequencies (a) and corresponding sub-
strate backside deflection patterns (b).
8.4 Loss Mechanisms 209

interface effects adhering to the simple requirements of acoustic boundary condi-


tions on layer interfaces are among the most important ones.
The idea of shear waves leaking energy throughout the acoustic Bragg reflector
has been put to the test first by Infineon’s BAW group [14, 16], and in the mean-
while by several others as well. Countermeasures have been presented as well in the
form of cooptimized Bragg reflectors providing good reflectivity for both, shear and
longitudinal waves.
Using Mason’s model to simulate the longitudinal and shear wave transitivity of
a given Bragg reflector configuration, the resulting limitations to the resonator qual-
ity factor can be calculated. Figure 8.8 shows the resulting Q limits for a quar-
ter-wavelength mirror. In contrast to that, Figure 8.9 shows the same parameters,
this time calculated for a reflector which has been cooptimized for longitudinal and
shear wave reflectivity.
Samples with the different reflector types have been prepared, and the resonator
Q has been measured for each variant respectively. Representative Smith charts of
the measurements are shown in Figure 8.10.
Both resonators have been fitted with a modified BVD model (see Chapter 3).
The shown Q-value represents the maximum achievable Q at any point of the reso-
nance curve in the absence of spurious modes. Note that the Q-value at the serious
resonance frequency is lower than at the parallel resonance frequency, since there’s
a strong influence of electrical (ohmic) losses at this point, which will be discussed
briefly further down. In general, the parallel resonance Q is, given a spurious-free
response, the best parameter to look at while optimizing a BAW device for acoustic
losses.
The Q-value of the optimized reflector resonator is twice that of the standard
quarter-wavelength version. Since the transitivity of the longitudinal waves is calcu-
lated to be almost the same in both cases, and only the shear wave reflectivity
changes, the result suggests that a major part of the losses in the quarter-wavelength
variant has been indeed due to shear waves.
Recent reports from various groups among the BAW (SMR) community [4, 16,
17] confirm that careful cooptimization of the reflector for longitudinal and shear

Figure 8.8 Calculated Q limitation due to Bragg reflector, assuming a quarter-wavelength reflector.
210 Characterization of BAW Devices

Figure 8.9 Calculated Q limitation due to Bragg reflector for a longitudinal and shear wave
cooptimized reflector.

Figure 8.10 Resonator Q measurements for different reflector types. Left Smith chart shows
response of a quarter-wavelength reflector resonator. Right chart shows a resonator incorporation
a longitudinal and shear wave cooptimized reflector. Note that the difference is most obvious in at
the parallel resonance. The quality factor of the series resonance is limited by electrical conductiv-
ity rather than acoustic losses.

waves significantly boosts the usable resonator Q. Without consideration of the


shear wave reflectivity, all groups seem to hit a wall at a quality factor of about
∼700. In this case the losses seem to be dominated indeed by the acoustic reflector
leakage due to shear waves. Careful reflector design on the other hand can easily
boost the resonator Q up to >1,500, some groups reporting quality factors up to
>2,500 [16].
It should be mentioned that this cooptimization of the Bragg reflector for shear
waves goes hand-in-hand with sacrificing some piezoelectric coupling, since usually
layers in the reflector close to the resonator have to be made thicker. This in turn
causes a larger part of the stress field to reside outside the piezoelectric material, thus
reducing the coupling.
8.4 Loss Mechanisms 211

Also, at this point it seems important to reiterate that the quality factor of a res-
onator is always dictated by the (at this point) dominant loss mechanism (see Chap-
ter 3). However, most SMR manufacturers seem to be suffering mainly from shear
wave losses while they roam in the region of Q < 1,000.

8.4.4 Laterally Leaking Waves


Another acoustic loss path is the energy loss by laterally leaking waves. This mecha-
nism received quite a bit of attention since it is important for SMR as well as FBAR
manufacturers. The existence of this type of losses can be verified much easier than
the previously discussed losses, since it shows up immediately in interferometer
measurements of SMR and FBAR resonators. However, the amplitudes of these
waves are considerably smaller than the amplitudes in the active area of the resona-
tor. The difference is more than two orders of magnitude (see Figure 8.11).
It has to be pointed out that accurate laser-interferometric measurement of the
wave amplitudes outside the metallized active resonator region is not straight-for-
ward since the measurement is in most cases done looking through a dielectric (e.g.,
the piezo layer) onto the bottom electrode. Thus, the comparison of the mechanical
deflection amplitudes is to be devoured with great care in addition to the bottom
electrode deflection (e.g., a change of refractive index in the dielectric layers due to
stress/strain might contribute to the measured signal as well).
Experiments [14, 16] have shown that lateral wave leakage is not a dominant
loss mechanism in SMRs operating in the Q-regime up to 2,500. It might very well
become significant above that threshold, though. Also, it has been shown experi-
mentally [4] that energy leaking laterally can indeed be confined by appropriate
measures. However, a confinement of these waves produced additional unwanted
spurious resonances due to standing waves, of course.
Some FBAR groups have shown simulations suggesting scattering of wave
energy at the suspension points into the substrate [18]. Methods to prevent this scat-
tering have been suggested; however, from the authors’ point of view, FBAR resona-
tors have up to now not been limited by lateral acoustic losses, as long as some
fundamental design principles (like keeping the acoustically active region confined
to the suspended area) have been followed.

Figure 8.11 Interferometric measurement of an SMR resonator device, leaking acoustic waver
laterally.
212 Characterization of BAW Devices

8.4.5 Electrical Losses


The other, straight-forward and obvious principle limiting the usable resonator Q
are the electrical losses due to ohmic resistance. This can be ohmic resistance in the
resonator leads from and to the electrodes as well as distribution currents in the elec-
trodes themselves.
Furthermore, once the existence of laterally standing waves is accepted, as a
consequence redistribution currents within the electrodes, between areas of strong
and weak local deflection must exist [19]. These currents result in ohmic losses due
to the finite conductivity of the electrode material.
The finite conductivity of the electrodes in general mostly impacts the quality
factor at the series resonance of a resonator, since its electrical impedance at this
point is low and any series resistance has a direct impact on the usable Q. In order to
decrease the Q at the parallel resonance by electrical means one would have to have
a current path between the two resonator electrodes.
Another electric loss path, which is not so obvious at the first glance, is the cre-
ation of eddy currents in any metallized region exposed to the varying magnetic field
created by the RF current driving the BAW device. The swirling current set up in the
conductor is due to electrons experiencing a Lorentz force that is perpendicular to
their motion. Hence, they veer to their right, or left, depending on the direction of
the applied field and whether the strength of the field is increasing or declining. The
resistivity of the conductor acts to damp the amplitude of the eddy currents, thus,
eddy currents create losses through heating.

8.4.6 Viscoelastic Losses


The materials used in SMR or FBAR [20] devices expose both viscous and elastic
behavior. What this means exactly is best illustrated in Figure 8.12, which shows
how various (theoretical) types of materials behave in the time domain. For a slab of

Figure 8.12 Stress and strain versus time for different material types subject to cyclic loading.
8.4 Loss Mechanisms 213

material with a cross-sectional area, A, and a thickness, T, subject to cyclic loading,


F(t), the corresponding response is given by the displacement function, x(t). The
cyclic stress on the sample material is found by dividing the input load by the
cross-sectional area, and the resulting cyclic strain on the material is found by divid-
ing the displacement by the thickness.
A (theoretical) purely elastic material is one in which all the energy stored in the
sample during loading is returned when the load is removed. As a result, the stress
and strain curves for elastic materials move completely in phase. For elastic materi-
als, Hooke’s law applies, where the stress is proportional to the strain, and the
modulus is defined at the ratio of stress to strain.
A complete opposite of an elastic material would be a purely viscous material,
also shown in Figure 8.12. This type of material does not return any of the energy
stored during loading. All the energy is lost as “pure damping” once the load is
removed. In this case, the stress is proportional to the rate of the strain, and the ratio
of stress to strain rate is known as viscosity, μ. These materials have no stiffness
component, only damping.
Real materials do not fall into either of the above extreme classifications, they
all exhibit a more or less pronounced mixture, called a viscoelastic behavior. Some
of the energy stored in a viscoelastic system is recovered upon removal of the load,
and the remainder is dissipated in the form of heat. The cyclic stress at a loading fre-
quency of g is out-of-phase with the strain by some angle φ, (where 0 < φ < π/2). The
angle φ is a measure of the materials damping level; the larger the angle the greater
the damping. For a viscoelastic material, the modulus is represented by a complex
quantity. The real part of this complex term (storage modulus, E1) relates to the
elastic behavior of the material, and defines the stiffness. The imaginary component
(loss modulus, E2) relates to the material’s viscous behavior, and defines the energy
dissipative ability of the material. Using Hooke’s law to define the modulus for
complex values, we can define the complex modulus, E* as:

σ 0 iφ
E* = E1 + iE 2 = e
ε0

The properties of viscoelastic materials are dependent on many parameters.


They can include: frequency, temperature, dynamic strain rate, static preload, time
effects such as creep and relaxation, aging, and other irreversible effects.
For most parameters important for SMR or FBAR applications, like stiffness or
mass density, there are a number of reliable measurement methods available [21]. In
contrast, for the viscoelastic damping constants people typically use literature val-
ues. Those are mostly based on macroscopic (bulk) measurements instead of thin
film values, possibly refined to a certain degree by measurements of the effects of
those materials on real devices. To the knowledge of the authors, there are no reli-
able values for the typical SMRF/BAR materials published yet.
Today’s SMR-BAW device losses have not been dominated by viscoelastic
losses yet—chances are that this changes once the Q-regime above ∼3,000 is going
to be explored.
214 Characterization of BAW Devices

8.4.7 Scattering Losses


Scattering losses occur on layer material imperfections and surface or interface
roughness, as well as at the lateral device boundaries. The main loss mechanism is
the redirection of vertically moving acoustic energy towards lateral directions. This
causes the waves to leave the active resonator region and dissipate either in the
device substrate or in the regions surrounding the device laterally. It can be shown
that if one goes to extreme roughnesses of hundreds of nm RMS the corresponding
losses will of course be visible in today’s devices. However, to the authors’ knowl-
edge, there is no publication investigating the more subtle effects of roughness varia-
tions in the common range of several nanometers to tens of nanometers RMS
showing a significant impact on the loss.

8.5 Electrical Characterization

8.5.1 Introduction
In Sections 8.2 and 8.3 we discussed several methods for characterizing the proper-
ties of single thin film layers as well as the multilayer properties of the whole
SMR-FBAR layer stack. Ultimately, however, it is the electrical response of the bulk
acoustic wave resonators that counts. The purpose of these measurement methods is
to control and stabilize the manufacturing processes with defined limits and to pro-
vide a geometrical and acoustical characterization of the BAW device that can be
correlated with the electrical response.
In the next two sections we will discuss the basic aspects of the electrical
response of BAW resonators and filters.

8.5.2 Resonator Measurements


As mentioned in the previous chapters, the simplest BAW device is a resonator con-
sisting of a bottom electrode, a piezo layer, and a top electrode (and in the case of an
SMR an acoustic mirror). From an electrical point of view this is a two-pole device
and can therefore be characterized using a one-port scattering parameter measure-
ment setup. A typical device geometry for this measurement is shown in Figure
8.13(a).
Besides this, a resonator can also be measured in a two-port configuration [see
Figure 8.13(b) for a series and Figure 8.13(c) for a shunt setup]. The advantage of
this setup is that a network analyzer has typically a much better sensitivity on the
transmission channel than on the reflection channel. Nevertheless, in most cases the
simple one-port measurement of the resonator structure in Figure 8.13(a) is suffi-
cient. A typical electrical response is depicted in Figure 8.14 on the Smith chart.
From the S-parameters the complex resonator impedance can be calculated
according to the well-known formula

Z = Z ref ⋅ (1 + S 11 ) (1 − S 11 )
8.5 Electrical Characterization 215

Signal

Figure 8.13 (a–c) Typical geometries for electrical characterization of a single BAW resonator.
The lighter shade shows the region of the lower (or bottom) electrode, while the darker shade cor-
responds to the upper (or top) electrode. Note that a via through the piezolayer is needed in order
to contact the ground pad.

Figure 8.14 One-port S-parameter trace of the simple test resonator in Figure 8.13(a).

where S11 is the measured reflection coefficient and Zref is the reference impedance of
the measurement setup (typically 50Ω). Figure 8.15 shows the phase and the abso-
lute value of the impedance of the resonator measurement depicted in Figure 8.14.
The important resonator properties that can be readily extracted from these
plots are the resonance frequency (i.e., the minimum of the impedance curve), the
separation of series and parallel resonance frequencies (proportional to the effec-
tive-coupling coefficient) and the resonator quality factors (most easily extracted
from the steepness of the phase curve at the low- and high-frequency zero-cross-
ings). Even though technically easy, a meaningful determination of these quality
factors turns out to be a fairly tricky thing: first of all, the quality factor at the series
resonance is very sensitive to probe needle and contact lead resistance, making it
216 Characterization of BAW Devices

10 4

10 3
Impedance (Ohms)

10 2

10 1

10 0
1850 1900 1950 2000
Frequency (MHz)
(a)

1.5

0.5
Phase (rad)

−0.5

−1

−1.5

−2
1850 1900 1950 2000
Frequency (MHz)
(b)
Figure 8.15 Impedance and phase curves of the resonator measurement shown in Figure 8.14.

strongly dependent on test structure layout and measurement quality. Secondly, the
frequency points at which the quality factor is most critical (namely at the edges of
the filter passband; see next section) is neither the series nor the parallel resonance
frequency [22, 23]. Instead, for series resonators the position around 7 and 12
o’clock on the Smith chart are most relevant, whereas for shunt resonators, the
positions around 12 and 5 o’clock are important.
It is therefore most convenient to fit a lumped element model (the BVD model,
or its modifications; see Section 3.1.2) to the measured response and then to use this
model in the design of filters intended to be made with these resonators. The diffi-
culty (and well-kept secret of all SMR and FBAR groups); however, lies in the defini-
tion of a proper error function for the fit algorithm. The basic strategy is to put high
weights to the above-mentioned regions that correspond to the passband edges of
8.5 Electrical Characterization 217

the filter in order to extract the motional components of the BVD model. The static
capacitance and other parasitic components (like series resistance and lead induc-
tance) are more conveniently fitted off-resonant.

8.5.3 Filter Measurements


Even though there are many ways for constructing an SMRF or BAR filter (e.g., lad-
der-, lattice-, CRF-type filters) the common feature of all filtering applications is to
transmit electrical signals in a predefined frequency band—called the
passband—and to provide sufficient signal blocking outside. Again, the preferred
measurement system to characterize RF filters is a network analyzer. However, due
to the multiport character of a filter (at least two ports are required—one for the
incoming and one for the outgoing signal), the device under test needs to be charac-
terized by the full-scattering matrix with all its reflection and transmission compo-
nents. The only simplification comes from the passive character of the filter (no
signal gain within the filter). Due to this, the transmission matrix is symmetrical,
meaning that the transmission from port i to port j is the same as the transmission
from port j to port i. In Figure 8.16 an example of a filter attenuation curve is
shown. In this case, the curve depicts the transmission from the power amplifier
port to the antenna port of a US-PCS duplexer [24]. The specs are only drawn for
illustrating the various regions of the plot as discussed next.
The filter response consists of three regions:

1. The passband: This region has low insertion attenuation (IA). The shaded
region under the curve indicates a maximum allowable limit that is typically

−10

−20

−30
Magnitude [dB]

−40

−50

−60

−70

−80
1750 1800 1850 1900 1950 2000
Frequency [MHz]
Figure 8.16 Transmission curve of the TX filter of a PCS duplexer [24]. The gray-shaded regions
depict upper and lower limits on the filter attenuation.
218 Characterization of BAW Devices

derived from system level requirements of the radio system in which the filter
is used. In general, the passband specifications have to be met over an
extended range of operating temperatures (typically from −30°C to +85°C).
Due to a nonzero temperature coefficient of frequency (TCF; see Section
3.3.4) some extra-bandwidth (the so-called “temperature margin”) is needed
below and above the passband to accommodate for the temperature shift of
the BAW resonators. Within the passband the attenuation curve is in general
not flat. The difference of the smallest to the largest value is called the
amplitude ripple and is typically also limited by system requirements.
Another consequence of IA variations is an unwanted dispersion of electrical
signals if the IA changes significantly over a single transmission channel. The
strength of this effect is typically limited by a maximum group delay
specification. Another very important parameter of any acoustic filter is the
maximum achievable passband width. This is the frequency separation of
those two points on the transmission curve, where the insertion loss drops to
the maximum allowed passband attenuation level (to the left and to the right
of the passband). The amount that the maximum achievable passband width
is larger than the specified (or minimum) passband width can be used to
compensate for manufacturing fluctuations, and therefore directly correlates
with production yield.
2. The stopband: Somewhat offset from the passband, the filter is required to
block incoming signals from other RF applications (otherwise they may
overload the low-noise amplifier and thereby block the actual signal) or—if
used as a transmit filter—to clean up the frequency spectrum of the amplified
RF signal (suppression of higher harmonics and power amplifier noise).
3. The guard band: Between the passband and the stopband exists a transition
region in which the filter characteristics changes from transmissive to
reflective. This region of the attenuation curve is called “filter skirt” and the
frequency range over which the transmission drops from the maximum
passband to the minimum stopband attenuation is called the “roll-off.” It is
a direct measure for both the performance of the constituting bulk acoustic
wave resonators as well as for the quality and sophistication of the filter
design. In the guard-bands there are strong limitations on the intended or
unintended radiation of any electronic device in order to avoid interference
between them. Therefore, the guard-band frequency range is in principal
“wasted” transmission spectrum. There is a strong demand from mobile
service providers to come up with filters that provide steeper roll-offs and
thus offer filtering solution that allow for narrower guard-bands and
consequently broader passbands (resulting in more transmission channels).
One example for this is the recent extension of the US-PCS band (TX 1,850
to −1,910 MHz and RX 1,930 to 1,990 MHz) by 5 MHz called BC14 (TX
1850 to 1,915 MHz and RX 1,930 to 1,995 MHz).

Another important property of any filter is the return loss (i.e., the fraction of
the incident electromagnetic energy that is reflected back to the signal source). This
parameter is only relevant in the passband. Figure 8.17 shows the reflection curves
for the filter of Figure 8.16. In the stopband region, the resonators are basically
8.5 Electrical Characterization 219

−5

Magnitude [dB]
−10

−15

−20
1850 1900 1950 2000
Frequency [MHz]
Figure 8.17 Return loss curve of the filter shown in Figure 8.16.

capacitors and therefore the stopband attenuation is established mostly by reflec-


tion of the incident wave (return loss close to 0 dB). In the passband a well-designed
filter shows only a small reflection (since it is supposed to transmit as much of the
incoming signal as possible). The particular shape of the reflection curve with its
several notches depends strongly on the filter topology and resonator areas.

References

[1] Lakin, K. M., et al., “Improved Bulk Wave Resonator Coupling Coefficient for Wide Band-
width Filters,” Proc. 2001 IEEE Ultrasonics Symp., Vol. 1, 2001, pp. 827–831.
[2] Mutamba, K., et al., “Micromachined GaN-Based FBAR Structures for Microwave Appli-
cations,” Proc. 2006 Asia-Pacific Microwave Conference, 2006, pp. 1757–1760.
[3] Antonelli, G. A., et al., “Characterization of Mechanical and Thermal Properties Using
Ultrafast Optical Metrology,” MRS Bulletin, Vol. 31, 2006, pp. 607–613.
[4] Kaitila, J., and G. G. Fattinger, “Measurement of Acoustical Parameters of Thin Films,”
Proc. 2006 IEEE Ultrasonics Symp., 2006, pp. 464–467.
[5] Fattinger, G. G., and P. T. Tikka, “Laser Measurements and Simulations of FBAR Disper-
sion Relation,” Proc. IEEE MTT-S Int. Microwave Symp., 2001, pp. 371–374.
[6] Fattinger, G. G., and P. T. Tikka, “Modified Mach-Zehnder Laser Interferometer for Prob-
ing Bulk Acoustic Waves,” Appl. Phys. Lett., Vol. 79, No. 3, 2001, pp. 290–292.
[7] Fattinger, G. G., “Acoustic Wave Phenomena in Multi-Layered Thin-Film Layer Stacks,”
Ph.D. Thesis, 2000–2003, Johannes Kepler University, Linz, Austria, Infineon Technolo-
gies AG, Munich, Germany, 2001.
[8] Makkonen, T., et al., “Estimating Materials Parameters in Thin-Film BAW Resonators
Using Measured Dispersion Curves,” IEEE Trans. on Ultrasonics, Ferroelectrics, and Fre-
quency Control, Vol. 51, No. 1, January 2004, p. 42.
[9] Telschow, K. L., and J. D. Larson III, “Quantitative Determination of Lateral Mode Dis-
persion in Film Bulk Acoustic Resonators Through Laser Acoustic Imaging,” Proc. IEEE
Ultrasonics Symp., 2006, pp. 448–451.
[10] Fattinger, G. G., “GHz-Laservibrometer for Investigation of Vibrating Semiconductor Lay-
ers,” Master Thesis, 1999–2000, Johannes Kepler University, Linz, Austria, Infineon Tech-
nologies AG, Munich, Germany.
[11] Knuuttila, J., et al., “High Resolution Laser-Interferometric Probing of SAW Devices,”
Proc. IEEE Ultrasonics Symp., pp. 235–238.
220 Characterization of BAW Devices

[12] Tikka, P. T., et al., “Laser Probing and FEM Modeling of Solidly Mounted Resonators,”
1999 IEEE MTT-S International Microwave Symposium Digest, Vol. 3, 1999,
pp. 1373–1376.
[13] Lowe, M. J., “Matrix Techniques for Modeling Ultrasonic Waves in Multilayered Media,”
IEEE Trans. Ultrason., Ferroelect., Freq. Contr., Vol. 42, No. 4, 1995, pp. 525–542.
[14] Marksteiner, S., et al., “Optimization of Acoustic Mirrors for Solidly Mounted BAW Reso-
nators,” Proc. IEEE Ultrasonics Symp., 2005, pp. 329–332.
[15] Fattinger, G. G., et al., “Optimization of Acoustic Dispersion for High Performance Thin
Film BAW Resonators,” Proceedings of IEEE Ultrasonics Symp., 2005, pp. 1175–1178.
[16] Fattinger, G., R. Aigner, and S. Marksteiner, “Everything You Always Wanted to Know
About BAW,” APMC2006 Workshop Proceedings, Yokohama, Japan, December 2006.
[17] Thalhammer, R., R. Aigner, “Energy Loss Mechanisms in SMR–Type BAW Devices,” Pro-
ceedings of IEEE IMS-MTT-S, 2005, pp. 225–228.
[18] Link, A., et al., “Suppression of Spurious Modes in Mirror-Type Thin Film BAW Resona-
tors Using an Appropriate Shape of the Active Area,” Proceedings of IEEE Ultrasonics
Symp., 2005, pp. 1179–1182.
[19] Taniguchi, S., et al., “An Air-Gap Type FBAR Filter Fabricated Using a Thin Sacrificed
Layer on a Flat Substrate,” Proceedings of IEEE Ultrasonics Symp., 2005, pp. 600–603.
[20] Yokoyama, T., et al., “New Electrode Material for Low-Loss and High-Q FBAR Filters,”
IEEE Ultrasonics Symp., 2004, Vol. 1, August 23–27, 2004, pp. 429–432.
[21] Thalhammer, R., et al., “Ohmic Effects in BAW Resonators,” IEEE MTT-S International
Microwave Symposium Digest, 2006, June 11–16, 2006, pp. 390–393.
[22] Ruby, R., “Overview of FBAR Filters, Duplexers, Quintplexers, and Front End Modules
(FEM) at Avago,” Asia-Pacific Microwave Conference Workshops & Short Courses Digest,
2006, pp. 399–407.
[23] Ruby, R., D. Feld, and R. Parker, “A Discussion of Q for FBAR Resonators,” Proc. Intl.
Workshop on Piezo-Devices Based on Latest MEMS Technologies, 2007, pp. 31–40.
[24] Marksteiner, S., et al., “Hybrid SAW/BAW System-in-Package Integration for Mode-Con-
verting Duplexers,” Proc. 3rd International Symp. on Acoustic Wave Devices for Future
Mobile Communication Systems, Chiba University, Japan, 2007, pp. 97–100.
CHAPTER 9

Monolithic Integration
Marc-Alexandre Dubois

9.1 Introduction

The thin film BAW resonators were born from the nearly simultaneous develop-
ment, in the early 1980s, of technologies for etching thin silicon membranes, and for
processing high-quality piezoelectric thin films at moderate temperature. Hence,
instead of the traditional mechanical machining techniques used until then to make
crystal resonators, micromachining technologies could be applied for the manufac-
turing of UHF resonators and filters. In addition to the low thermal budget of these
new technologies, the compact size of the thin film BAW devices contributed to
underline the possible compatibility between this emerging piezoelectric technology
and the fabrication of integrated circuits (IC). So, from the very beginning of the
development of the thin film BAW technology, the monolithic integration was not
only seen as a possibility by most players in the field, but it was even set as a target
by several development teams. The first results were disclosed after a few years by
Toshiba [1] and Iowa State University [2].
One of the main driving forces in this race towards a fully integrated RF sys-
tem-on-chip has been size. Indeed, each new generation of communication system is
required to be smaller than its predecessor, or to bring many more functionalities in
an equivalent volume. Consequently, the space reserved for the RF-passive compo-
nents, and among them the resonators and filters, has been shrinking steadily.
Monolithic integration of piezoelectric BAW components with active circuitry is
one possible way of addressing this challenge. The gain of space can be significant:
A single common package can replace two or more individual packages, depending
on the number of resonators and filters in the system. And this common package
can be of the same size as that of the IC alone, provided that the BAW components
are built over the active part of the circuit, as opposed to reserved areas that would
be void of transistors or integrated passive devices.
But size reduction in itself is not the only advantage of this technology. The
pad-ring of the integrated circuit becomes less crowded, since the connections to
and from the filter are not located at the edge of the silicon die, but rather close to
the corresponding IC blocks. Also, the fact that only one package needs to be sol-
dered on the printed circuit board (PCB), simplifies a lot the design of the latter. Fur-

221
222 Monolithic Integration

thermore, the parasitic losses usually associated with the bonding of each
component to its package are significantly reduced, as are those linked to the PCB’s
interconnecting strips. The result is a potential increase in electrical performance. As
a side effect from the reduction of number of packages is also the fact that the design
of the complete RF system can be alleviated from some complex tasks of
electromagnetic simulation.
As a general rule, the input and output impedance presented by a filter in an RF
system is close to 50 ohms, whatever the technology used for the manufacturing.
This ensures a wide compatibility between the numerous components used in radio
systems. However, this impedance level is not always the best choice in terms of per-
formance. For example, some low noise amplifiers (LNA) exhibit very high input
impedance levels, rendering the introduction of an impedance-matching network
between the 50-ohm filter and the LNA mandatory. This network being composed
of nonideal elements, it contributes to the overall attenuation in the signal path. The
monolithic integration approach enables the engineer to choose the impedance of
the filter at the design level, so that it fits better to the particular requirements of the
circuit with which the filter is meant to operate. There are of course limits to the level
of impedance that can be set by the BAW device itself: Impedance is linked to the size
of the resonators (through the capacitance of the piezoelectric film), as are the key
performances of the latter, such as the coupling coefficient and Q-factors. Neverthe-
less, through a clever codesign of the circuit and the filter, the impedance-matching
network can sometimes be suppressed, reducing further the complexity and the size
of the radio system, while increasing its performance.
Monolithic integration is, however, not readily applicable to each and every
communication system. The main issues are both economical and technical. First of
all, many systems need to handle several different communication standards, mean-
ing several different carrier frequencies. Each of these in turn requires BAW compo-
nents with a specific center frequency. This translates into several different thickness
values for the piezoelectric film, and even maybe for the surrounding layers. The
complexity of processing increases thus significantly for each additional standard.
The larger the number of BAW center frequencies is, the more extreme the challenge
of monolithic integration becomes. Even assuming that all the technical hurdles
could be solved in a satisfactory manner, the cost of such a technology would be
probably high enough to prevent its usage in many multistandard applications.
Another limit of this technology is related to the manufacturing yield. Since
most of the processing steps, if not all, required for the BAW devices are an addition
to the regular manufacturing sequence of the circuit, the fabrication yield of the
monolithic system can only be smaller than that of the microelectronic circuit alone.
This is all the more true that the yield mechanisms are totally different in the BAW
and the microelectronic technologies. For example, the acoustic devices are very sen-
sitive to any thickness variations, or to variations of the coupling coefficient of the
piezoelectric layer, whereas the circuit is virtually unaffected by these phenomena.
On the other hand, the circuit performance is limited often by electrical charges and
defects in the semiconductor, which is not relevant for the resonators. Unfortu-
nately, radio applications most often are borne on high-end IC technology plat-
forms, which are expensive, and any reduction of manufacturing yield has to be
fought seriously.
9.2 Compatibility Issues Between IC and BAW Technologies 223

9.2 Compatibility Issues Between IC and BAW Technologies

Although BAW resonators and filters are processed, usually, on silicon wafers, the
applied technologies are those used in the microelectromechanical systems (MEMS)
world, rather than in the IC fabrication facilities. Among the differences are the
need for different materials—high-resistivity silicon substrates, a piezoelectric layer
and specific electrodes—fabrication tolerances either relaxed or much more strin-
gent depending on the parameter, and possibly the wafers’ size.
Consequently, it is generally not possible to share many processing steps
between the circuit and the acoustic device. So the monolithic integration is often
the concatenation of two manufacturing sequences, one for the electronics, fol-
lowed by one for the BAW devices. Indeed, the latter has to be done at the end,
because the metal electrodes of the resonators would not sustain the very high tem-
perature under which some semiconductor processes, such as the thermal oxida-
tion, the diffusion of doping elements, or the epitaxial growth, are performed.
Completed circuits are however also very sensitive to elevated temperatures.
Therefore, the overall thermal budget experienced by the IC during the post-process
of the BAW devices, must be low enough to avoid any damage to the semiconductor
components. This can be achieved by using magnetron sputtering for the deposition
of the piezoelectric thin film and the electrodes composing the resonators. Indeed, it
is possible to obtain very high-quality films with this method, and at moderate tem-
perature levels, as described in Chapter 7.
The impact of postprocessing can be controlled by measuring, before and after
manufacturing the BAW devices, the IC parameters that might be affected by the
numerous thermal cycles applied to the wafer. The following example of such a
study has been obtained in the case of post-processing of FBAR above BiCMOS
0.35-μm SiGe wafers from AMI Semiconductor, in Belgium. The BAW process is
described in Section 9.3.1.
In that particular case, about 50 different test parameters characterizing glob-
ally the performance of the semiconductor technology have been monitored. Table
9.1 shows an excerpt of this measurement campaign, with only a few relevant
parameters. Values measured before and after post-processing are given, together
with their relative difference.
The stable breakdown voltage Vbd of the transistors shows that there is no sig-
nificant alteration of the gate oxide integrity. The MOS threshold voltage Vt0 is like-

Table 9.1 Impact of BAW Postprocess on BiCMOS Technology


Unit Before After Difference
NMOS 10x0.35 Vbd at 10 nA V 9.4682 9.5689 1.06%
202857 PMOS 10x0.35 Vbd at 10 V −8.6168 −8.6625 0.53%
nA
NMOS 10x0.35 Vt0 V 0.60358 0.59291 −1.77%
PMOS 10x0.35 Vt0 V −0.63017 −0.6334 0.51%
M3/M2 chain of vias Ω/via 0.84329 0.85834 1.78%
M2 resistance mΩ/sq 48.498 48.805 0.63%
HIPO resistor W100L10 Ω/sq 1,090.4 1,073.52 −1.55%
224 Monolithic Integration

wise not affected. The resistance measurements indicate that the thermal cycles
experienced by the circuits do not lead to any intermetallic formation in the inter-
connections, since the resistive paths are nearly unchanged. In general, no major
deviation due to the post-process has been observed in any measured parameter. All
differences are below 2% except for two leakage current measurements, which seem
actually to have been improved by the BAW processing. This shows that the impact
of this particular BAW fabrication sequence upon the BiCMOS circuits is very
limited.
Of course, the influence of the active circuits on the performance of the acoustic
devices should be minimized as well. The different prototypes of monolithic integra-
tion published to date show that the resonators and filters manufactured on IC
wafers can perform very well. Nevertheless, these studies have all in common the
fact that the BAW devices are placed over a die area which is void from any transis-
tor, connecting line, or passive component. So the exact impact of an operating cir-
cuit on the acoustical performance of a BAW device that is set directly above has not
been reported.
Another important issue for the monolithic approach is linked to the substrates:
The type and size of wafers are normally set by the IC technology. The BAW tech-
nology has been carried from the small size wafers of the beginning, up to 200-mm
Si wafers. However, it is unlikely that equipment manufacturers will develop the
necessary tools to move to larger substrates. This will prevent the monolithic
approach from taking advantage of some of the most advanced IC technologies,
available only on 300 mm. Furthermore, stand-alone BAW components perform
better on high-resistivity wafers, because of the reduced electrical losses inherent to
the capacitive coupling to the substrate. But the usual resistivity of the wafers used in
microelectronic manufacturing is in the 10–20 Ω cm range, and it cannot be changed
for the monolithic integration with BAW devices, because bulk resistivity is a key
parameter for the IC performance.

9.3 Practical Implementation

Although the monolithic integration concept had been recognized very early as an
asset of the emerging BAW technology, only a limited number of successful develop-
ments have been published over the years.
The first prototype was announced by Toshiba in 1987 [1]. The chip was a
1-mm2 Colpitts oscillator operating at 400 MHz, based on silicon bipolar transis-
tors and a BAW resonator. The latter was a membrane comprising ZnO as the
piezoelectric medium, Au electrodes, and two layers of SiO2 responsible for stabiliz-
ing the deformation of the diaphragm by reducing the internal stress. This mem-
brane was fabricated by surface micromachining, with a ZnO sacrificial layer.
Owing to the SiO2 layers, the overall TCF was kept below 5 ppm/°C. The main fea-
tures of the complete oscillator were a consumption of 2.5 mA at a 6V source volt-
age, for an output power of −19.4 dB, and a carrier-to-noise ratio of 90 dB at
20-kHz offset.
The same year, a team at the Microelectronics Research Center of Iowa State
University disclosed the successful monolithic fabrication of a 257-MHz Pierce
9.3 Practical Implementation 225

oscillator based on a Si-ZnO resonator and bipolar junction transistors [2]. The
membrane of the resonator, a p Si layer topped by aluminum electrodes and a ZnO
piezoelectric film, was obtained by electrochemical etching of the Si substrate from
the backside. Phase noise was better than −90 dBc/Hz at 1-kHz offset, and tempera-
ture stability was −8.5 ppm/°C. This partial compensation of the thermal drift was
attributed to the Si p layer. The same team described later a technology, also based
on silicon bulk micromachining, to integrate AlN resonators with bipolar junction
transistors [3]. An interesting feature of these developments was the use of the same
metal layers for the electrodes of the resonator as well as the circuit interconnects.
This technology was applied to cointegrate 1.18-GHz resonators with bipolar tran-
sistors [4].
A complete filter was successfully embedded with an integrated circuit by TRW
Electronic Systems Group [5]. This prototype was a stacked crystal filter made of
two AlN layers, connected to a GaAs amplifier based on hetero-junction bipolar
transistors. The membrane was obtained through the bulk micromachining of the
GaAs substrate. The 1.6 × 3.2 mm2 circuit operated at 1 GHz, with a gain of 14 dB
at 12V.
During the following decade, no other results about monolithic integration of
BAW devices were published, probably because the attention of researchers was
mainly focused on the industrialization of the piezoelectric BAW technology.
Much more recently, monolithic integration has been given new attention,
mainly in Europe. Infineon has described a process flow for manufacturing AlN sol-
idly mounted BAW devices over bipolar RF circuits [6]. A particular feature of this
technology is the modification of the IC back-end process flow: a silicon nitride
layer is buried in the oxide surrounding the metal lines of the circuit. This silicon
nitride acts as an etch stop when cavities are carved in the oxide to embed the acous-
tic mirror. The fact that the acoustic mirror is partly buried eases the interconnec-
tion between the IC and the BAW electrodes, since the latter are nearly at the same
level as the topmost IC metal layer. The main limit of this technology is that it
requires that the die area covered by the mirror be free from any metal routing lines,
and hence probably from any transistors. The potential gain in size offered by
monolithic integration is therefore somewhat limited.
A consortium of European research centers, universities, and industrial compa-
nies have teamed up in 2002, and for three years, in order to evaluate the potential
of monolithic integration of FBAR systems, for applications at 2.14 and 5.5 GHz.
The IC technology used in this research effort were the BiCMOS 0.25-μm SiGe:C
technology from ST Microelectronics, and the BiCMOS 0.35-μm SiGe technology
from AMI Semiconductor. The BAW process was developed by CSEM and
CEA-LETI. It is described in more details hereafter.

9.3.1 Technology Description


The FBAR process flow developed by CSEM and CEA-LETI is based on AlN grown
on platinum (Pt). It has been shown that the Pt electrode promotes efficiently the
growth of AlN films with excellent piezoelectric properties. This is due to the hexag-
onal symmetry of the (111)-plane of Pt that matches the (002)-plane of AlN, and to
an extremely smooth surface [7, 8]. The drawback of Pt is its lower electrical con-
226 Monolithic Integration

duction compared to aluminium or molybdenum, which is one of the limiting fac-


tors for the series Q-factor of the BAW resonator. The crystalline properties of AlN
films deposited with this process have been assessed by X-ray diffraction measure-
ments, yielding a very narrow rocking curve FWHM of 1.07° for the (002) peak.
This high crystalline quality has been confirmed by a direct measurement of the
piezoelectric d33,f extensional coefficient with a double beam Mach-Zehnder inter-
ferometer. A value of 5.3±0.22 pm/V has been obtained, representing a potential
coupling coeffsicient keff2 in BAW resonators larger than 6.5%.
The fabrication sequence of the BAW resonators and filters above IC is the fol-
lowing: The BiCMOS process is first completed until the last-but-one step. The cir-
cuits are covered by the passivation layer, but the latter is not yet patterned, so that
the metal pads are not open. Then, a TEOS oxide is deposited and polished by CMP,
in order to get a smooth and flat surface for the FBAR fabrication. A 1-μm
photoresist sacrificial layer is deposited, patterned, and cured at high temperature
for defining the position of each resonator. It is then protected by 0.5-μm of silicon
nitride. Next, the active part of the devices is manufactured, with the subsequent
deposition and patterning of the Pt bottom electrode (0.2 μm), the piezoelectric AlN
layer (1.36 μm), and the Al top electrode (0.2 μm). A protective oxide is deposited
and patterned. Via holes are then etched through the different dielectric layers until
the last metal level of the integrated circuit, and a thick metal interconnect is depos-
ited and patterned to link the BAW resonator to the IC. This interconnect is made of
Ti and Al (0.2 μm and 2 μm, respectively). A thin silicon oxide-loading layer is then
deposited and patterned, to shift down the frequency of selected resonators. This is
required for building lattice or ladder filters. The thickness of the loading layer
depends on the design, but is in the range of 80 nm. Finally, holes are realized
around the resonators, which enable the removal of the sacrificial layer by a dry-etch
process, thus releasing the membranes. The thickness values given above are valid
for a 2.14-GHz operation. At 5.5 GHz, the technology is nearly identical, however
with thinner layers. A schematic cross-section of a FBAR connected to the last metal
level of an IC wafer is shown in Figure 9.1.
The FBAR technology has been kept as simple as possible for these
proof-of-concept integrations. Consequently, additional processing steps that
would be required for large-scale production, such as passivating the devices for
example, have been omitted.
Because of the importance of the resistive losses on the Q-factor of the resona-
tors, as well as on the IL of the filters, the quality of the metal interface between the

Air gap Electrodes


Metal interconnect Loading Metal interconnect

SiO 2
M5 M5

BiCMOS wafer Passivation

Figure 9.1 Cross-section of an FBAR integrated above IC. The holes used for releasing the mem-
brane are not shown.
9.3 Practical Implementation 227

interconnections and the IC metal layer is extremely important. In particular, any


metal oxide naturally present at the surface of the Al contacts of the IC needs to be
removed prior to sputtering the thick Ti-Al interconnect layer. Figure 9.2 shows a
close-up view of such an interface. The bottom level of the Ti layer appears slightly
lower than the TEOS/M5 original interface, which is an indicator of an effective
metal oxide removal. To confirm it, a test structure comprising two IC contact pads,
connected to each other through a line of FBAR interconnection metal, has been
measured. After pad de-embedding, the IL of the test structure has been compared
to a simple R-L series model, where the values of the lumped elements have been cal-
culated with an electromagnetic simulator. The close match between model and
measurement has assessed that the interfacing of the IC and FBAR technologies
does not add any significant resistance in the signal path, and hence should not
degrade the Q-factor of the resonators, or the insertion loss of the filters [9].
This technology has enabled the fabrication of 50Ω FBARs with a coupling
coefficient keff2 and a Q-factor higher than 6.5% and 900 at 2.14 GHz, respectively.
At 5.5 GHz, typical values for 50Ω test resonators are 6.6% and 750.
If the coupling coefficients confirm the high-quality of the AlN layer, the Q-fac-
tors could be further improved with a better thickness and acoustic impedance ratio
between the electrodes and the piezoelectric film and the suppression of the lateral
modes propagating in the membrane. The latter can be seen as wavelets on the
5.5-GHz fundamental resonance circle of a test rectangular FBAR in Figure 9.3. The
large circle is the fundamental resonance, whereas the two smaller ones are harmon-
ics at 12.5 and 17.8 GHz, respectively.

9.3.2 Filtering LNA


This FBAR process has been applied to realize a differential low noise amplifier
(LNA) that comprises two differential broadband current feedback amplifiers con-
nected on each side of a BAW double-lattice filter [9, 10]. The measured perfor-

Figure 9.2 Cross-section (FIB) of metal interface between IC and BAW device.
228 Monolithic Integration

S 11

Frequency [10 MHz–20 GHz]


Figure 9.3 Smith chart of a test FBAR at 5.5 GHz.

mance of the filter by itself is shown in Figure 9.4, with IL level at −3 dB in the
60-MHz passband, very steep skirts, and an out-of-band rejection lower than −50
dB over a large frequency range. This extreme attenuation could be obtained in spite
of the 15-Ωcm Si substrate, by introducing a grounded metal shield under the filter.
The latter is made from a metal layer from the BiCMOS technology, and reduces the
input-output cross-talk by more than 20 dB.
The architecture of the filtering LNA and a picture of the fully processed chip
are shown in Figure 9.5. The summarized performances of this LNA are a power
gain of 21 dB at 2.7-V supply voltage, an input gain compression at 1 dB of −26 dBm
(improved to −15 dBm if the power gain is limited to 16 dB), and a noise figure of 3
dB. The BAW filter is responsible for the out-of-band selectivity larger than 50 dB.
The active circuit has been implemented in the BiCMOS 0.25-μm SiGe:C technology
from ST Microelectronics.

9.3.3 WCDMA RF Front-End


Another and even more complex circuit integrated with this monolithic FBAR tech-
nology has been the receiver part of an RF front-end set at 2.14 GHz. An initial ver-
sion of this front-end circuit contained a low noise amplifier, a single-to-differential
converter, a high rejection double-lattice FBAR filter, a matching network, and a
mixer. For the characterization of the circuit, the differential mixer was fed by an
external signal generator [10, 11].
The inclusion of a differential FBAR-based VCO in this receiver chain has led to
the circuit shown in Figure 9.6. The signal coming from the antenna must be fed to
the second pad from the left on the top of the chip.
The VCO is based on a two-transistor loop structure and exploits two resona-
tors: one is a parallel LC tank and the other is a FBAR in its series-resonant mode
9.3 Practical Implementation 229

−10

−20

−30

−40
S21 [dB]
−50

−60

−70

−80

−90

−100
0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0
Frequency [GHz]

0 0

−2 −4
−4
−8
−6
−12
−8
S21 [dB]

S22 [dB]
−16
−10
−20
−12

−14 −24

−16 −28
−18
−32
−20
2.00 2.05 2.10 2.15 2.20 2.25 2.30
Frequency [GHz]
Figure 9.4 Measured S-parameters of a double-lattice filter.

[12]. Figure 9.7 shows the measured phase noise of the FBAR VCO compared to the
noise of a reference VCO, in which the FBAR is replaced by a second LC tank. The
phase noise has been significantly improved by using the FBAR, with the best phase
noise being 143.7 dBc/Hz at 1-MHz offset from the carrier. A specific feature of this
oscillator architecture is its extended tuning range, compared to usual configura-
tions using piezoelectric resonators with low coupling coefficients. The tuning range
of this differential VCO has been measured at 15 MHz. The single-ended version of
the same oscillator has even shown a 37-MHz tuning range, corresponding to
1.8%. It is not yet sufficient to cover the whole frequency band as required by the
WCDMA standard, but it would be enough for GPS applications.
230 Monolithic Integration

100 Ω Load
OUT + OUT −

BAW
filter
1st LNA

IN + IN −

2nd LNA
Linearity control

Figure 9.5 Schematic view and photograph of the filtering LNA (IC design by P. Vincent,
CEA-LETI).

(a)

(b) (c)

Figure 9.6 Chip micrograph (a) of an integrated WCDMA receiver and SEM inserts of the BAW
filter (b), and resonator (c). (IC design by J.-F. Carpentier, ST Microelectronics, and K. Östman,
Tampere University.)

The functionality of the monolithic WCDMA receiver is shown by Figure 9.8,


which represents the spectrum measured at the output of the mixer with a −40-dBm
signal at 2.1138 GHz fed at the LNA input. The supply voltage and VCO control
voltage have both been set at 2.4V.
9.3 Practical Implementation 231

−70

−80

−90
LV VCO
Phase noise [dBc/Hz]
−100

−110

−120

−130

−140 FBAR VCO

−150

−160
10 100 1,000 10,000
Offset [kHz]
Figure 9.7 Phase-noise measurement of the FBAR-differential VCO compared to a reference LC
VCO.

−10

−20

−30

−40

−50

−60

−70

−80

−90

−100
Center 1.132551683 MHz 179.982 kHz Span 1.79982 MHz

Figure 9.8 Output spectrum of the monolithic WCDMA receiver, with the demodulated output
at 1.13 MHz.
232 Monolithic Integration

9.3.4 WLAN Oscillator


Oscillators have been designed for operating at 5.5 GHz [13, 14]. As an example,
the schematic of a Colpitts oscillator is shown in Figure 9.9, together with a micro-
graph of the chip. The core of the oscillator is a common collector transistor T1, with
the feedback capacitors C1 and C2 ensuring the negative resistance necessary to com-
pensate the losses in the resonating FBAR. Transistor T2 is used as a buffer to isolate
the resonator from the load impedance. The active circuit has been implemented in
the BiCMOS 0.35-μm SiGe technology from AMI Semiconductor.
The active circuit and the ground line have intentionally been kept away from
the resonator to prevent any possible coupling with the FBAR. However, the silicon
area of the oscillator could be reduced significantly by placing the FBAR over the
active elements. In that case, a careful shielding of the sensitive part would be
needed.
The output power of the oscillator is −8.4dBm for a total current consumption
of 4.7 mA at 2.7V, out of which 3 mA are drawn by the buffer amplifier. Figure 9.10
shows the output power spectrum of the circuit. A phase noise of −117.7 dBc/Hz has
been measured at 100-kHz offset from the carrier.

9.4 Conclusion

The few examples described in this chapter show that BAW processing is compatible
with advanced IC technologies, and hence enables the cointegration of RF high Q
passive and active devices on a single chip. Many circuit blocks such as LNAs or
VCOs can take advantage from such a cointegration with high Q BAW devices. The
performances of advanced radio systems could hence be further enhanced through
the reduction of size, the limitation of interconnection parasitics, the simplification
of packaging, or other advantages inherent to that technology.
However, the complexity of the monolithic integration will certainly limit the
fabrication yield in a production environment. So the validity of this cointegration
scheme is probably restricted to niche markets and high-end applications, where the
RF performance or extreme miniaturization aspects outweigh the cost issues.

Vcc

Rb
T1
Cc
FBAR C1
T2 Vout

C2 I I1

Figure 9.9 Chip micrograph (640 × 650 μm ) and schematic of the oscillator (IC design by M.
2

Aissi, LAAS-CNRS).
Acknowledgements 233

−10

−20

−30

−40

Power (dBm)
−50

−60

−70

−80

−90

5.4675 5.4676 5.4677 5.4678 5.4679


Frequency (GHz)
Figure 9.10 Output power spectrum of Colpitts oscillator.

Acknowledgements

The author is indebted to all actors of the MARTINA European Consortium, and
especially to Christophe Billard, Guy Parat, Pierre Vincent, Jean-François
Carpentier, Mohammed Aissi, Kim Ostman, and Hocine Ziad.

References

[1] Satoh, H., et al., “A 400 MHz One-Chip Oscillator Using an Air-Gap Thin Film Resona-
tor,” Proc. of IEEE Ultrasonics Symp., 1987, pp. 363–368.
[2] Burkland, W. A., et al., “A Thin-Film Bulk-Acoustic-Wave Resonator-Controlled Oscilla-
tor on Silicon,” IEEE Electron Device Letters, Vol. 8, No. 11, 1987, pp. 531–533.
[3] Weber, R. J., S .G. Burns, and S. D. Braymen, “A Semiconductor Process for Cointegration
of BAW Thin-Film Piezoelectrics with Microwave BJTS,” Proc. of IEEE Ultrasonics
Symp., Honolulu, HI, December 4–7, 1990, pp. 525–528.
[4] Burns. S. G., R. J. Weber, and S. D. Braymen, “High Frequency Oscillators Using
Cointegrated BAW Thin-Film Piezoelectrics with Microwave BJTS,” Proc. of IEEE Fre-
quency Control Symp., Los Angeles, CA, May 29–31, 1991, pp. 207–211.
[5] Cushman, D., et al., “SBAR Filter Monolithically Integrated with HBT Amplifier,” Proc. of
IEEE Ultrasonics Symp., Honolulu, HI, December 4–7, 1990, pp. 519–524.
[6] Elbrecht, L., et al., “Integration of Bulk Acoustic Wave Filters: Concepts and Trends,”
IEEE MTT-S Digest, Fort Worth, TX, June 7–12, 2004, pp. 395–398.
[7] Dubois, M. -A., and P. Muralt, “Stress and Piezoelectric Properties of Aluminium Nitride
Thin Films Deposited on Metal Electrodes by Pulsed Direct Current Reactive Sputtering,”
J. of Appl. Phys., Vol. 89, 2001, pp. 6389–6395.
[8] Loebl, H. P., et al., “Piezoelectric Thin AlN Films for Bulk Acoustic Wave (BAW) Resona-
tors,” Materials Chemistry and Physics, Vol. 79, 2003, pp. 143–146.
[9] Dubois, M. -A., et al., “Integration of High-Q BAW Resonators and Filters Above IC,”
Proc. of IEEE International Solid-State Circuits Conference, San Francisco, CA, February
6–10, 2005, pp. 392–393.
234 Monolithic Integration

[10] Dubois, M.-A., et al., “Monolithic Above-IC Resonator Technology for Integrated Archi-
tectures in Mobile and Wireless Communication,” IEEE Journal of Solid State Circuits,
Vol. 41, 2006, pp. 7–16.
[11] Carpentier, J.-F, et al., “SiGe:C BiCMOS WCDMA Zero-IF RF Front-End Using an
Above-IC BAW Filter,” Proc. of IEEE International Solid-State Circuits Conference, San
Francisco, CA, February 6–10, 2005, pp. 394–395.
[12] Östman, K. B., et al., “Novel VCO Architecture Using Series Above-IC FBAR and Parallel
LC Resonance,” IEEE Journal of Solid State Circuits, Vol. 41, 2006, pp. 2248–2256.
[13] Aissi, M., et al., “A 5.4GHz 0.35µm BiCMOS FBAR Resonator Oscillator in Above-IC
Technology,” Proc. of IEEE International Solid-State Circuits Conference, San Francisco,
CA, February 6–9, 2006, pp. 1228–1235.
[14] Aissi, M., et al., “A 5 GHz Above-IC FBAR Low Phase Noise Balanced Oscillator,” Proc. of
IEEE RFIC Symp., San Francisco, CA, June 11–13, 2006.
CHAPTER 10

System-in-Package Integration
A. Bart Smolders, Jan-Willem Lobeek, and Nick J. Pulsford

10.1 Introduction

The purpose of this chapter is to show how bulk acoustic wave (BAW) devices can
be used as a key component within a wireless system. First, we will discuss the
trends in front-end integration in wireless applications. It will become clear that
there is a strong need for advanced system-in-package (SiP) technologies. The BAW
devices need to be compatible with this technology.
System integration can be done in several ways with varying miniaturization
levels. The most common way is to provide a reference design for the application. A
reference design contains the layout and assembly of the PCB and corresponding
software drivers. The idea is that the customer exactly copies the reference design
into his application, so that all critical design items have been taken care of. A refer-
ence design is normally not intended to obtain smallest size and/or lowest cost.
More advanced methods of system integration are SiPs or modules, and system-on-
chips (SoCs). Both concepts will be discussed in this chapter. However, the empha-
sis will be on SiP technology for RF applications (RF-SiP), since this fits best with
BAW.

10.2 Trends in Front-End Integration for Wireless Applications

10.2.1 Multiband, Multimode Wireless Systems


Advanced system integration has been, and will remain, as one of the key trends in
wireless applications in the upcoming decade. This is particularly true in cellular
handsets, where the number of features grows very rapidly and where the key-value
drivers are size and cost. This means that a high level of integration is required in
order to meet the market needs.
With the growing number of wireless mobile applications, there is a strong need
for a more efficient system partitioning in order to reduce the total silicon area that
is used, and to increase flexibility and reuse (multimode) of various ICs. Already in
today’s high-end mobile phones we see various wireless applications combined,
such as GSM/EDGE (2/2.5 generation cellular), UMTS (3G cellular), Bluetooth,
FM radio, GPS, and WLAN. This is illustrated in Figure 10.1, where the penetration

235
236 System-in-Package Integration

Applications penetration forecast 2002–2008 (part I)


80%
NFC

70% A-GPS
FM radio
60%
Bluetooth
50% USB

40% WLAN
TV
30%

20%

10%

0%
2002 2003 2004 2005 2006 2007 2008
Figure 10.1 Penetration rate of wireless functions in a mobile handset. (Source: NXP.)

rate of various popular wireless applications in a mobile handset is shown. For


example, the penetration rate of Bluetooth is expected to be more than 65% in
2008.
In today’s phones most wireless applications are added to the cellular system as
“stand-alone” features, where the integration is only done on a software level. No
significant sharing of functions on a hardware level is done yet. In the future mobile
systems this will be different as illustrated in Figure 10.2. The future mobile plat-
form will consist of optimized flexible digital signal processors (software-defined
radio) and reconfigurable RF system-in-package radios (radio-SiP) that can be used
to serve several wireless applications. As shown in the example of Figure 10.2, we
will have a dedicated cellular baseband taking care of the entire signal processing
that is required for 2G, 2.5G, 3G+ cellular standards. In addition, it includes a dedi-
cated signal-processing unit taking care of all the noncellular and emerging stan-
dards (e.g., WLAN, LTE/Wimax). The radio SiPs are partly reconfigurable as well
and could potentially also be used to serve several applications operating at different
frequency bands (multimode/multiband). In addition, two radio-SiPs could be used
for MIMO (multiple-input-multiple-output) and/or diversity purposes as well. The
radios are connected to the digital modem via a high-speed serial interface.
The key challenge in the route towards reconfigurable or software-defined
radios will be in the front-end. Many antennas need to be connected to the
multimode digital signal processor. To illustrate this, let us investigate the potential
evolution of the front-end from 2007 to 2015+. Figure 10.3 shows a typical
front-end of a high-end cellular handset in 2007/2008. Note that only the cellular
pipe is shown here. It consists of a transceiver for the 2G/2.5G mode and another
transceiver for the 3G mode. Both transceivers are connected to a single baseband
processor. In the front-end we have a low-band and a high-band antenna connected
to a front-end-module (FEM) for the 2G/2.5G pipe and to a power-ampli-
fier-with-integrated-duplexer (PAiD) module for the 3G pipe. In this example, the
3G pipe only uses the high band. Further, integration of the front-end of Figure 10.3
10.2 Trends in Front-End Integration for Wireless Applications 237

3G RF
Cellular
Application
BaseBand
engine
processor
2/2.5G
RF

MIPI

OFDM
radio 1
Connectivity
and broadcast
modem engine USB
NFC
OFDM
radio 2 …

Digital
RF-BB
interface
Radio Modem Multimedia
Figure 10.2 Embedding of baseband IP in future cellular platforms. The reconfigurable radio and
corresponding front-end is highly integrated using SiP technology.

Figure 10.3 Cellular front-end of a high-end multimode/band in 2007.

can be done by expanding the FEM with the PAiD and antenna switch. Advanced
SiP technology will be needed to further reduce size and cost.
If we look somewhat further in the future we will see additional frequency
bands and features coming into the front-end. If we use a similar approach/architec-
ture as used in the 2007 front-ends, we will see the following trends:

• Rapid growth of filters/duplexers;


• Multiple RF interfaces;
• Growth in number of power amplifiers;
238 System-in-Package Integration

• Need for higher integration level in the front-end due to size/cost constraints;
• More and broader band antennas due to new frequency bands on system
enhancements like MIMO;
• Coexistence issues with other standards will increase the requirements on the
filters and duplexers.

This would lead to the front-end partitioning in 2010+ as illustrated in Figure


10.4.
The front-end would require 15 filters and 5 power amplifiers (PAs). The contri-
bution of the filters to the total cost of a front-end will grow significantly. It is clear
that there is a strong need in the market for a high-performance, small-size, low-cost
filter technology as a key building block for future FEMs. It is believed that the bulk
acoustic wave (BAW) technology will make this happen, since it offers high-perfor-
mance and is compatible with low-cost standard IC processing.
It is clear that the front-end architecture as shown in Figure 10.4 is not the most
cost-effective solution, since it uses many components. Therefore, if we look some-
what further in the future alternative, front-end architectures can be expected with a
high level of reuse of silicon and other blocks. An example of such a front-end is
shown in Figure 10.5. This reconfigurable SiP combines a multimode transceiver
with a tunable front-end. The interface to the baseband processor is realized using a
low-power high-speed serial link. It is clear that such a partitioning can only be
achieved when high Q “tunable” components are available for adaptive PA match-
ing and adaptive filtering. Both BAW as well as RF-MEMS [1] are considered as
potential technologies that can be used to build such tunable components. Another
function that will be embedded in the future front-end is a low-power high-fre-
quency reference oscillator based on a high Q BAW resonator. In this way, bulky
and expensive crystal-based oscillators are not needed anymore. In addition,
phase-noise performance will be improved significantly.

Figure 10.4 Cellular front-end of a high-end multimode/band in 2010+. Additional frequency


bands and performance-enhancement features (e.g., MIMO) are introduced.
10.2 Trends in Front-End Integration for Wireless Applications 239

Figure 10.5 Reconfigurable radio in 2012+. It consists of a multimode transceiver with digital
high-speed interface to the baseband processor. The front-end uses high Q tunable components
for adaptive PA matching and tunable selectivity.

10.2.2 SiP Versus SoC


Over the past years SoC has been a buzzword in the semiconductor community. In a
SoC, the idea is to integrate both digital and analog blocks into a single monolithic
device. In wireless systems it is often not possible to achieve the required system per-
formance with a single SoC, or it is simply too expensive. For example, a high Q
BAW resonant cannot be easily integrated into a standard advanced CMOS pro-
cess. However, examples in literature have been shown where BAW resonators have
been integrated with (Bi)-CMOS processes [2]. So in principle it is feasible, but for
high-volume production other factors are more relevant. For wireless systems it is
often a better approach to put everything in one package using a system-in-package
technology. SiPs provide some advantages over SoC solutions. First, a SiP offers the
opportunity to integrate a complete system including all the required passive com-
ponents, such as bandpass filters, baluns, decoupling capacitors, switches, and crys-
tals. Even antennas can be integrated on a SiP [3]. This will result in the smallest
possible total solution in the final application. Note that it is estimated that in a
mobile telephone passive components account for more than 80% of the total com-
ponent count. This is illustrated in Figure 10.6, where the large number of compo-
nents (mostly passives) and functional blocks in a GSM handset can be seen
determining its overall size and cost. The challenge is in the passives [4].
Second, the system performance and total cost can be optimized in a SiP by
choosing the best technology mixture for each block in the architecture. For exam-
ple, the digital baseband can be made in an advanced digital CMOS node (like
C090/C065/C045), the active RF function can be realized in a (SiGe) BiCMOS pro-
cess, and the passive components (including BAW devices) can be integrated into a
low-cost silicon-based thin-film technology. This clearly has a cost-benefit, since the
analog part of the system does not have to use the expensive (USD/mm2) CMOS
technology. The passive functionality, such as decoupling and filtering, can be
240 System-in-Package Integration

Baseband, memory, and


Antenna switch power management
and SAW filters functions
Antenna

PA and
power control
function

Transceiver
function
Figure 10.6 GSM handset circuit board after [4].

located extremely close to the active dies, providing the best possible performance
up to and including mm-wave applications. The third advantage of a SiP is related to
development time. We will have a relative fast time-to-market, since a SiP supports
reuse of subsystems and passive building blocks. In addition, an SiP will bring down
the design-in-time and risk at the end customer.
To illustrate the benefit of integrating BAW devices in a SiP, we will look at a
simple example of a SoC versus SiP for a Bluetooth system that uses a BAW
bandpass filter to obtain the required out-of-band blocking. The system consists of a
digital baseband processor, an RF transceiver (radio), a BAW bandpass filter and
some matching and decoupling components. For simplicity, we will assume that the
matching and decoupling components are external. The baseband processor and
transceiver are made in an advanced CMOS090 process (90 nm). Typical 2007
prices are used in the cost calculation. Table 10.1 shows the cost calculation for both
the SoC and the SiP case. It is assumed that each BAW resonator needs to be tuned
during processing and that the final yield of the BAW devices is 80%.
Typical prices are used for high-volume production. The yield of the baseband
plus radio die is assumed to be 95% and the yield of the BAW filter is 80%.
This example clearly shown that putting the BAW device into a SoC is not effec-
tive from a cost point of view, a cost adder of around 30% can be expected. Of
course there are also technical problems when integrating BAW devices on large (12
inch) silicon wafers.

Table 10.1 Cost Comparison of SoC Versus SiP for a Bluetooth Wireless
System Using a BAW Bandpass Filter
Cost Type SiP Cost (USD) SoC Cost (USD)
Active die CMOS090 0.65 0.78 (20% uplift for
(baseband + radio ~10 mm )
2
extra mask steps)
BAW filter in silicon technology 0.03 (incl. bondpads) 0.04 (Embedded)
∼0.5 mm core
2

Assembly, package and test 0.15 0.1


Yield loss 0.05 × 0.83 = 0.04 0.24 × 0.92 = 0.22
Total cost 0.87 1.14
10.3 SiP Technologies 241

10.3 SiP Technologies

The technology platform choice for a SiP product development is determined both
by the technical requirements of the integrated functionality and the commercial
key value drivers being cost, time-to-market, and industrialization. While the tech-
nical requirements typically dictate the platform choice, the industrialization
aspects have to be addressed early in the product development cycle to ensure the
timely introduction of the technology platform into mass production. The technol-
ogy platforms for SiP integration can be grouped according to the material class of
the underlying SiP substrate, as either laminate, LTCC, or thin film as described
below.

10.3.1 Laminate Platform


The first platform is based on a laminate substrate with molded overflow to form an
encapsulated package. The laminate substrate has typically two, four, or six metal
layers commercially available in panel form and is used for the interconnect; and for
the integration of passive RF functionality such as striplines, small inductors, and
coupled balun structures. The integration in laminate offers more design flexibility
and better performance for some functions at high frequencies as compared to sur-
face-mount components (SMDs). In addition, the functionality of the embed-
ded structures is sufficiently well defined with limited RF coupling between
structures for the laminate substrate to be designed by a SiP development team and
manufactured commercially.
The choice of the number of metal layers in the laminate is determined by the
integration requirements, cost, and by thermal considerations. Power amplifier
applications require a high density of thermal vias that tend to limit the number of
metal layers to two or four. Radio transceiver applications require a higher integra-
tion level preferring a choice of four or six metal layers.
Figure 10.7 shows a cross-section of the laminate stack of a 6-layer laminate
which consists of two core layers, a prepreg layer, and two sequential build-up
(SBU) layers. Micro-vias are used in both SBU layers providing a dense intercon-
nect, whereas the other layers use more conventional buried and through-hole vias.
Multiple active dies (CMOS, BiCMOS, GaAs) can be mounted on the substrate,
either as a single die or in a stacked-die configuration. The interconnection from
active-dies to the substrate can be either done with a conventional, low-cost 25-μm
wire-bonding technology or by using a more advanced flip-chip technology with
solder bumps. Most of the passive functions that are required in wireless systems
can be either integrated in the laminate substrate itself or in a dedicated thin-film
based passive IC. The remaining passive functions (R, L, C, and PIN diodes) can be
realized using 0402-size and 0201-size SMD components. SAW filters are typically
mounted in a preformed ceramic CSP. BAW filters are mounted as a wirebond bare
die with a cap. There is a trend to reduce the size of both SAW and BAW packages
by advanced CSP and flip-chip techniques. Molding encapsulation is applied to
make the SiP complete. Figure 10.8 shows all the ingredients of a laminate SiP. The
typical height of the complete package measures only 1.2 mm.
242 System-in-Package Integration

Core

Prepreg

RCC

Figure 10.7 Cross-section of a six-layer laminate stack.

Figure 10.8 Example of a laminate SiP including wirebond dies and SMD components mounted
on a multilayer laminate substrate.

10.3.2 LTCC Platform


The second platform employs low temperature cofired ceramic (LTCC) as a sub-
strate material to increase the functional integration level in the SiP substrate and
reduce the package size and component count [5]. LTCC is a multilayer ceramic
material for hybrid interconnection (connection of Si/GaAs devices and discrete
SMDs) and passive integration (inductors, capacitors, and transmission lines).
Ceramic sheets printed with Cu paste conductor patterns are laminated above each
10.3 SiP Technologies 243

other and cofired to form a ceramic plate. Electrical connection between the pat-
terns on different sheets is made with vias.
Figure 10.9 shows the construction of a five-layer LTCC substrate. The big
advantage of LTCC compared to laminate is the broad choice in dielectric ceramic
materials (dielectric constant 5 to 80), metal layer materials (printed resistors
included), and the LTCC stack definition (layer number and thickness). This mate-
rial combination can be tailored to give broader range RF-embedded functions
extending the capability of laminate to capacitors, resistors, multilayer inductors,
and multilayer filters which would otherwise be assembled as discrete ceramic com-
ponents. In addition, the flexibility in LTCC design leads to a higher integration
density of passive components and the ability to form cavities in the ceramic allows
for two-sided assembly of active dies (wirebond or flip-chip) which further
improves the level of miniaturization.
The high complexity of the LTCC substrate makes the RF design of a LTCC-SiP
product more demanding than a larger laminate-based product due to the increased
RF coupling between structures and the larger number of metal layers. However,
LTCC manufacturers are able to use fast prototype spins to optimize the LTCC-SiP
performance and minimize unwanted parasitic effects before proceeding to produc-
tion. The assembly on LTCC is more demanding than laminate due to potential
warpage of the ceramic substrate plates during cofiring, though strong improve-
ments in plate flatness and uniformity have been achieved in recent years. Both the
challenges in design and assembly have lead to the main LTCC-SiP applications
being driven by companies which have ceramic technology in-house, for example,
Murata, Epcos, and TDK.

10.3.3 Thin Film Platform


A thin film passive integration IC technology has been developed on high-ohmic sili-
con and on glass substrates. The thin film technology features a low number of
mask steps and uses standard back-end IC processing with relaxed lithographic res-
olution in order to minimize the manufacturing cost. The passive dies built from this
technology can be either used as a building block for a laminate-based SiP platform
as described in Section 10.3.1, or they can be used as host substrate forming a com-
plete SiP product. The advantage of thin film processing compared to laminate or

Top side conductor Thermal via


Via

Dielectric layer

Internal conductor Stacked via


Back side conductor
Figure 10.9 Cross-section of 5-layer LTCC stack.
244 System-in-Package Integration

LTCC is the higher lithographic resolution for metal lines and the higher capaci-
tance density. The disadvantage is the inability to make use of the vertical direction
for improving the RF performance by controlling the coupling between metal lines.
In addition, an IC package is required to reliably house the thin film passive integra-
tion substrate unlike laminate or LTCC that can be mounted directly in the
application.
Figure 10.10 shows a cross-section of the NXP semiconductor’s PASSI process
that combines three metal layers and two dielectric layers in five mask steps to form
integrated inductor and capacitor structures. The high-ohmic silicon substrate
(resistivity ρ > 5 kΩcm) combined with a clean interface to the first oxide layer
ensures a minimal loss in the silicon substrate and thus a high-quality passive com-
ponent performance at RF frequencies. The integrated capacitors are formed by the
425-nm thick SiNx dielectric layer between the lower two metal layers with a capaci-
tance density of 145 pF/mm2. For low-frequency decoupling, the capacitance density
is increased dramatically by using NXP semiconductors PICS technology which
implements deep circular pit capacitors into the high-ohmic silicon substrate as
shown in Figure 10.11. This is achieved by etching 20 μm deep vias into the silicon
and coating the inner via surface with a layer of thin film silicon oxide and nitride.
The via is closed by a layer of doped poly-silicon to complete the capacitor pit struc-
ture. Capacitance densities of 100 nF/mm2 are in production with this technique.
This is significantly higher than can be achieved on LTCC. Stripline inductors are
formed from the thick metal layer, which has a very high lithographic tolerance. For
multiturn inductors, feed-throughs are realized with the bottom metal layer, mini-
mizing the parasitic capacitance from the feed-through to the inductor turns.
The passive integration technology is optimized to achieve a high level of RF
performance for the integrated components. A high stripline inductor quality factor
is achieved with values Q > 35 in the frequency range f = 900 MHz to f = 6 GHz,
which covers most cellular and wireless standards. The Q-factor is significantly
higher than can be achieved in a conventional IC process and similar to inductors
integrated in LTCC or laminate. The capacitor RF loss, given by the equivalent
series resistance ESR < 100 mΩ is extremely low compared to standard SMD com-
ponents which have a typical ESR = 300 mΩ. The combination of a low RF loss with
a low production tolerance is the key to achieving high-performance integrated pas-
sive circuits in applications. Because the passive integration technology leaves little
tuning possibility for the designer, accurate predictive simulation tools are vital to

5 μm Al
top metal
SiNx thin film
capacitor

High ohmic
silicon substrate

Figure 10.10 Cross-section of the NXP semiconductor’s PASSI process [6].


10.4 SiP Design 245

Figure 10.11 Cross-section of the NXP semiconductors PICS process.

minimize the number of design cycles in development. The low-loss, thin-film metal
and dielectric layer stack used in passive integration technology is ideally suited to
commercially available planar electromagnetic simulation software, for example
Momentum or Sonnet. These tools are able to accurately and efficiently predict the
passive performance of an integrated RF structure and the simulation results can be
easily incorporated as S-parameter files into a circuit simulation environment, for
example ADS or Spice, to fully simulate the RF-SiP performance. More on this will
be explained in the next section.
Figure 10.12 illustrates a SiP with double flip-chip technology in which one or
more dies (e.g., BAW or an active IC) are mounted on the passive die with solder
bumps. This stack of active and passive dies is then mounted into a standard IC
package with a height of only 0.85 mm.

10.4 SiP Design

Due to the multitechnology nature of SiP, the design is often a rather complicated
matter since it requires a variety of design tools. Let us illustrate this by looking at
the following example.
One of the key applications for BAW filters in SiP is the power amplifier (PA)
with integrated duplexer for WCDMA applications operating in the 1.9-GHz band,
as illustrated in Figure 10.13. Such a product is also often called a front-end module
(FEM). In this case the duplexer is integrated onto the laminate carrier of the PA.
Integration of the duplexer with the PA leads to reduced size, and improved perfor-
mance at the cost of design complications in terms of isolation and matching. When
designing this product the following tools are needed:
246 System-in-Package Integration

Passive IC Active or BAW IC

Figure 10.12 SiP build-up using a passive thin-film IC. A double flip-chip technology with solder
bumps is used in a standard IC package. Multiple (active or BAW) dies can be mounted on the
passive die.

BAW interstage filter BAW duplexer filter

Antenna
PA

Tx band

Filter structure with


BAW resonators

To receiver
Rx band

Figure 10.13 RF-SiP that combines a power amplifier (PA) with integrated BAW duplexer and
BAW-interstage filter operating in the 1.9-GHz band.

• Acoustic models to design and model the BAW resonators (e.g., in MATLAB);
• Circuit-simulators (e.g., ADS, Spectre) for initial design of filter and PA;
• Harmonic balance simulator (e.g., ADS) to model nonlinear behavior;
• Electromagnetic models (EM) to model all interconnect and other embedded
structures (e.g., Momentum, Sonnet, HFSS).

Models to describe the behavior of BAW devices have already been discussed in
previous chapters of this book. We will now give a short introduction into
EM-based models and tools that play a very important role in SiP design for wireless
applications.

10.4.1 Electromagnetic Modeling


An important element in the design of a SiP for RF applications is to have a proper
model for the interconnect between the various components. The interconnect can
be considered as parasitics, or in some cases as functional interconnect (e.g., an
inductor embedded in the laminate substrate). The induced current flow on such
metal structures can be found by solving the Maxwell equations for the electric and
magnetic field.
10.4 SiP Design 247

As an example, let us take a closer look at the design of a duplexer for WCDMA
applications as part of the SiP of Figure 10.13. In Figure 10.14, the complete simula-
tion setup of a duplexer is shown using a commercial electromagnetic (EM) simula-
tion tool. The duplexer is composed of two BAW filter dies (ICs); one for the
transmit (TX) band and one for the receive (RX) band. Both dies are wire bonded
and mounted onto the SiP laminate substrate. The multilayer laminate takes care of
the interconnect and integrates the high-quality RF inductors (typically 1 to 3 nH)
which are required to complete the wideband response of the filter. The wire bonds
are approximated by strings of small rectangular boxes.
There are several commercial tools available to solve for the electromagnetic
fields and corresponding current distribution on the structure of Figure 10.14. As
most SiP design problems are three-dimensional planar, it is usually sufficient to use
EM tools like Momentum or Sonnet to solve these structures. These tools typically
use the method-of-moments (MoM) to solve for Maxwells equations numerically.
For true three-dimensional problem one could use for example HFSS, which uses a
finite-element-method. For most designers, EM tools are considered as
“black-boxes” and even as “black-magic” tools. However, some basic background
information about the method that is used by these tools is required for correct
interpretation of the results that come out of these tools. We will now give a very
brief introduction to the MoM that is used by many commercial EM tools. For more
background info, one is referred to literature [7].
The MoM is a general procedure for solving so-called integral equations. In this
section we will illustrate this method using a simple example of a perfectly conduct-
ing wire (e.g., dipole antenna with radius a and length 2l), located in free-space as
shown in Figure 10.15. We will show how the electrical current distribution on the
wire is calculated.
We will go through the following steps:

• Determine integral equation based on the boundary condition for the tangen-
tial electric field for the wire-antenna problem;
• Expansion of the wire in small segments or so-called subdomains;
• Calculation of the matrix elements.

Figure 10.14 EM-simulation setup of a BAW duplexer, consisting of two BAW ICs which are con-
nected to a laminate substrate using wirebonds.
248 System-in-Package Integration

I(z)

0 y

x 2a

−1
Figure 10.15 Wire (e.g., dipole antenna) in free-space. The wire is modeled as a cylindrical disk
with radius a and length 2λ. Perfect electric conductor is assumed. The excitation is done with a
voltage source in the center of the wire (z = 0).

We will assume that the radius of the wire is much smaller as compared to the
wavelength, that is a << λ0. Because of this, we can neglect the current on the
end-surfaces of the cylinder. The resulting
r current distribution will now only have a
r
component along the z-axis (i.e., J = J z e z ). We can formulate the boundary condi-
tion for our problem:
The total tangential electric field on the perfectly conducting cylinder with
radius a equals zero.
In formula form this is written as:
r r r r r r r r r r
( )
e n × Etot ( r ) = e n × E ex ( r ) + E s ( r ) = 0, r ∈ S0 (10.1)

where
r ex the surface
r s r S0 is the outer surface of the cylinder and where the electric fields r
E ( r) and E ( r ) represent the excitation field and the scattered field, respectively. e n
is the normal on the metallic cylinder. The scattered field is generated by the induced
electrical current on the wire. The excitation field is generated by a voltage source
that is connected in the center of the wire. Since formula (10.1) is based only on the
electric field, this type of equation is called electric field integral equation (EFIE).
The EFIE will form the rbasis of the MoM formulation as we will show next.
r
The scattered field E s ( r ) can be expressed in terms of a surface integral over the
r
yet unknown surface current J and the so-called Green’s function of the configura-
tion. The Green’s function is the response due to a point source. In our example of
r r
Figure 10.15, we can use the free-space Green’s function, represented by G( r , r0 ),
r
where r0 is the location of the point source. The expression for the free-space Green’s
function is relatively simple and can be written in closed form [7, 8]. For more com-
plicated structures like layered media, the Green’s function is represented by an inte-
10.4 SiP Design 249

gral that can be precomputed once for the medium, and can be reused to analyze
any metal structure within the medium [9]. More detail on the Green’s functions is
outside the scope of this book. Important for our example is the observation [7] rthat
the relation between the scattered field and the unknown current distribution J on
the wire is a linear relation and can be written in the following form:
r r
{
E s ( x , y, z ) = L J( x , y, z ) } (10.2)

where L{}is a linear operator.


Equation (10.1) can be solved numerically r by using the MoM. The first step is
to expand the unknown current distribution J on the surface of our metal wire into
so-called expansion functions according to:
r r
J( x , y, z ) = ∑ I n J n ( x , y, z ) (10.3)
n

in
r which In are the mode coefficients that we need to determine. The functions
J n ( x , y , z) are called expansion or basis functions. If we want to determine an exact
r
solution for the current distribution J we would need to have an infinite summation,
which is of course not possible in practice. Therefore, we will try to find an approxi-
mation by limiting to n = Nmax. We can choose all kinds of basis functions. Typi-
cally, we will use local basis functions or subdomain basis functions that are
nonzero only over a small part of the total structure under investigation. An exam-
ple of a subdomain basis function that is often used is shown in Figure 10.16, where
piece-wise linear (PWL) basis functions are shown to approximate the behavior of a
function.
Combining
rs r (10.2) and (10.3) gives the following expression for the scattered
field E ( r ):

r r r
{ }
N max N max
E s ( x , y, z ) = ∑I n L J n ( x , y, z ) = ∑I n E ns ( x , y, z ) (10.4)
n =1 n =1

Substitution of expansion (10.4) into the integral equation (10.1) gives:

r ⎛ N max r r ⎞ r
e n × ⎜ ∑ I n E ns ( x , y, z ) + E ex ( x , y, z )⎟ = 0 (10.5)
⎝ n =1 ⎠

z0 z1 z2 z3 z4 z5
Figure 10.16 Piece-wise linear approximation of a function. The type of subdomain basis func-
tions are called PWL modes in literature (piece-wise linear). In this example four PWL modes are
used to approximate the function.
250 System-in-Package Integration

r
on the outer surface S0 of the wire. Let us now introduce the residue R according to:

r r ⎛ N max r r ⎞
R( x , y, z ) = e n × ⎜ ∑ I n E ns ( x , y, z ) + E ex ( x , y, z )⎟ (10.6)
⎝ n =1 ⎠

This residue has to be equal zero on the entire outer surface of the wire of Figure
10.15. This condition will be relaxed somewhat. r The residue will be weighted to
zero with respect to some weighting functions J m ( x , y , z) such that
r r r r
R; J m = ∫ ∫ R( x , y, z ) ⋅ J ( x , y, z )dS = 0
Sm
m (10.7)

r
for m = 1, 2, ..., Nmax, where Sm is the surface on which the weighting function J m is
nonzero. Note that the set of weighting functions, also called test functions, is the
same as the set of expansion functions. This particular choice is known as Galerkin’s
method. Inserting (10.6) into (10.7) gives a set of linear equations:
N max rs r r ex r
∑ I ∫ ∫ E ( x , y, z ) ⋅ J ( x , y, z )dS + ∫ ∫ E ( x , y, z ) ⋅ J ( x , y, z )dS = 0
n =1
n n m m (10.8)
Sm Sm

for m = 1, 2, ..., Nmax. This set of linear equation can be written in the more com-
pact form:
N max

∑I
n =1
n Z mn + Vmex = 0 (10.9)

for m = 1, 2, ..., Nmax. In matrix notation we get:

[Z][I] + [V ex
] = [0] (10.10)

ex
in which the elements of the matrix [Z] and of the excitation vector [V ] are given
by:
r r
Z mn = ∫ ∫ E ns ( x , y, z ) ⋅ J m ( x , y, z )dS (10.11a)
Sm

r ex r
Vmex =
Sm
∫ ∫ E ( x , y, z ) ⋅ J ( x , y, z )dS
m (10.11b)

The matrix [Z] contains Nmax × Nmax elements, [I] is a vector with the Nmax
ex
unknown mode-coefficients and [V ] is the excitation vector with Nmax elements.
The matrix equation (10.10) can be solved rather easily using standard numerical
routines such as those available in MATLAB. After this matrix equation has been
solved we can determine the current distribution on the wire antenna of Figure
10.15 by substituting the mode-coefficient [I] in (10.3). With this current distribu-
tion we can then calculate the input impedance or S-parameters and other
characteristics.
10.4 SiP Design 251

Now let us go back to the example of a duplexer for WCDMA of Figure 10.14.
This structure is of course much more complicated than the simple wire of our
example, but we can use exactly the same approach for determining the unknown
current distribution on all metallic structures. Of course, commercially available
EM solvers can be used for this.
The BAW filters can be designed by cascading series and shunt resonators, as
illustrated in Figure 10.13. An acoustic-model (see Chapter 3) describing the BAW
resonator can be implemented into commercial available software and used to
design the filter. Both the EM results as well as the acoustic-based results can be
connected on circuit level using an S-parameter representation to simulate the over-
all performance. Figure 10.17 shows an example of the total response of the BAW
duplexer using a full EM simulation as compared to a lumped-element simulation.

10.4.2 Design Methodology


The design methodology of a SiP for RF applications is typically like that indicated
by the flow diagram of Figure 10.18. First, an initial design and topology will be
made based on a simple lumped-element representation. In the case of BAW compo-
nents in a SiP the BVD model can be used. Next, an initial layout needs to be gener-
ated that will be analyzed using a full-wave EM simulation as described in the
previous section. This will require several iterations before the product will be com-
pliant to the specifications. The final stage will be to evaluate hardware and if
required to make a next iteration of the design process.

0
−5
−10
−15
−20
−25
−30
Transmission (dB)

−35
−40
−45
−50
−55
−60
−65
−70
−75
−80
1.80E9
1.81E9
1.82E9
1.83E9
1.84E9
1.85E9
1.86E9
1.87E9
1.88E9
1.89E9
1.90E9
1.91E9
1.92E9
1.93E9
1.94E9
1.95E9
1.96E9
1.97E9
1.98E9
1.99E9
2.20E9
2.21E9
2.22E9
2.23E9
2.24E9
2.25E9

Frequency

Figure 10.17 Predicted response using EM simulation of a BAW duplexer including detailed
modeling of the interconnect.
252 System-in-Package Integration

Start

Define circuit requirements

Topology study

Lumped-element simulation and optimization

Layout design

EM simulation of layout including package

Measurement of hardware

End

Figure 10.18 Design flow for RF-SiP.

10.5 Test and Industrialization, Known-Good Die Concept

When integrating a silicon device like a BAW filter into a SiP that is intended for
high-volume production, it is very important to have a good overall control of the
yield. Due to the nature of BAW devices, the yield is typically significantly lower as
compared to standard digital CMOS IC processes. In standard IC processes the yield
is typically well above 95%, whereas in BAW it is in the range between 80% to
90%. This means that if we would integrate without pretesting a relative low-cost
BAW device together with an expensive digital baseband processor the overall yield
would be determined by the BAW device and would be very low. In this way expen-
sive SiP products that fail the final test would end up as waste.
In order to prevent a low final yield of SiPs the concept of “known-good dies”
(KGDs) has been introduced by the semiconductor industry. Now all devices on a
silicon wafer have been RF pretested completely. The dies that do not comply to the
test specification will be dotted with an ink-mark on the wafer. These failed devices
will not be used during assembly of the SiP products. In this way, the overall yield of
the SiP products can be made very high, comparable to the yield levels of standard
digital IC and packaging technologies. Without KGDs the cost advantage of a SiP as
illustrated in Table 10.1 cannot be achieved. In the case of BAW devices within the
10.6 RF-SiP Examples 253

SiP, this means that in-line trimming to come to acceptable yield levels is required.
Trimming is described in Chapter 4.

10.6 RF-SiP Examples

10.6.1 General Wireless Examples


We will now take a closer look at some examples of SiP products that recently have
been introduced into wireless connectivity applications (i.e., Bluetooth and
WLAN). Figure 10.19 shows a typical application diagram of a Bluetooth radio.
The BiCMOS transceiver IC uses a low-IF architecture in combination with
open-loop modulation giving optimal performance with very high receiver sensitiv-
ity and extremely clear transmit eye-diagram. The VCO with integrated coils oper-
ates around 5 GHz. External functions include a loop filter, de-coupling, baluns,
Tx/Rx switch, matching circuits and a bandpass filter.
If one would build up a complete radio application with a discrete transceiver
IC (packaged in a standard 5 × 5 mm2 HVQFN-package), the required board space
3
in an application will be 200 × 2 mm or more depending on the PCB technology
that is used in the application. When the same functionality is integrated into a SiP,
3
the size of the total solution is reduced to 6 × 6 × 1.2 mm with the laminate plat-
3
form and even to 5 × 5 × 0.85 mm with a thin-film platform. This is illustrated in
Figure 10.20 where a discrete solution is compared with both SiP solutions. The
three Bluetooth solutions have more or less the same performance using the same
transceiver IC and do not require any additional external components, except an
antenna. Note that the design of the discrete solution is not trivial and may require
several spins of the application board.
Figure 10.21 shows the technology mixture that is used in the Bluetooth lami-
nate-based SiP in more detail with all the passive blocks including a bandpass filter,
RX/TX switch, baluns, loop filter, and supply decoupling. Note that the photos
were taken before the molding process. The package has a standard HVQFN-like
footprint. The loop filter, requiring extremely low leakage without any dielectric
relaxation effects, is integrated on a passive die with a thin film technology and is

Decoupling network

Loop Tx
filter Balun
Switch
RF Antenna
+
IC filter
match

Rx
Balun

Figure 10.19 Typical application diagram of a Bluetooth transceiver IC. External blocks are Tx/Rx
baluns, Tx/Rx switch with matching, antenna bandpass filter, loop filter, and decoupling.
254 System-in-Package Integration

(a) (b) (c)


Figure 10.20 Total Bluetooth radio solution with sizes of (a) discrete solution 17 × 14 × 2 mm3,
(b) laminate-based SiP 6 × 6 × 1.2 mm , and (c) thin-film based SiP with double flip-chip technol-
3

ogy 5 × 5 × 0.85 mm . All three solutions cover the application diagram as shown in Figure 10.19.
3

Substrate

Stacked die RF IC

Antenna
filter

Figure 10.21 LAMP-based Bluetooth SiP including all passive components. The HVQFN-package
measures only 6 × 6 mm . The mold encapsulation is not shown here. Total height is 1.2 mm.
2

connected to the transceiver IC using a stacked-die bonding technique. In this way,


parasitics are very low and VCO-pulling problems are avoided. The fourth-order
LC bandpass filter is also integrated into a passive die. This filter includes an addi-
tional notch near 2 GHz to comply with the coexistence specification for mobile
phone applications. Another notch is put around 5 GHz to suppress the second-har-
monic/VCO leakage. The baluns, Rx/Tx switch, and decoupling are realized with
SMD components and by embedding some RF functionality directly (coupling coils
and λ/4 strip lines) in the laminate substrate.
Next step in integrating more functionality in one package is to include the base-
band and clock generation into a SiP. We will describe an example of such a package
for WLAN. Figure 10.22 shows a complete WLAN 802.11b system solution includ-
ing a CMOS baseband, a BiCMOS radio, a BiCMOS power amplifier, crystal, diver-
sity switch, matching circuits, loop filer, and decoupling. A discrete implementation
of this total system using a packaged version of the active dies would measure more
than 500 mm2, whereas this solution measures only 11 × 16 mm2.
10.6 RF-SiP Examples 255

Crystal BiCMOS RFIC

CMOS baseband

BiCMOS PA Antenna filter die

Figure 10.22 WLAN 802.11b-full-system solution including baseband, transceiver, PA, crystal,
and all required passive components. The HVQFN-like package measures only 11 × 16 mm .
2

2
Further size reduction to 10 × 10 mm or smaller is possible for this WLAN sys-
tem by applying a stacked-die concept or by using the passive thin-film IC technol-
ogy. Note that the same passive die is used as in the Bluetooth SiP to realize the
bandpass filter. This reuse of building blocks is one of the important advantages of
using SiPs over SoC solutions.
An example of a complete silicon-based SiP is shown in Figure 10.23, where all
passive functions like decoupling, PA-matching, baluns, and bandpass filter are
integrated into a passive silicon technology (PICS) [10].
In Figure 10.23, a wireless transceiver IC and a PA IC are flipped on a passive
IC, containing capacitors (supply decoupling, RF), inductors and resistors needed to
complete the radio function. This subassembly can be packaged in a standard
HVQFN-type package. The combination (or transceiver function alone) can also be
reused as RF subsystem on a module substrate housing the PA, matching, filtering
and RF switching functions to provide a one package RF system solution.

10.6.2 Examples Including BAW


A first example of a SiP that includes BAW is the BAW duplexer as shown in Figures
10.13 and 10.14. Details have already been discussed in Section 10.4.

Fully integrated radio function


· Transceiver IC
· PA IC
· Complete application
· Matching
· Supply decoupling

Figure 10.23 Fully integrated RF system solution [10].


256 System-in-Package Integration

The second example is a BAW-based high-frequency oscillator [11]. In this case


the BAW resonator is placed on top of passive silicon die using flip-chip technology.
An active BiCMOS die that contains the feedback amplifier and additional control
circuits is also placed on the Si-carrier. The BAW oscillator operates at 2 GHz and
can replace low-frequency crystal-based oscillators. Main advantages are size, cost,
and improved performance (e.g., power consumption and phase noise). Figure
10.24 shows a photograph of the three dies.
In the last example a BAW filter is used to provide the required selectivity in a
wideband tuner concept. Figure 10.25 shows the basic block diagram. The tuner
front-end is a highly linear LNA+mixer that upconverts the input frequency to an IF
frequency. The BAW filter is then used to provide the selectivity and to remove inter-
fering signals. The back-end part of the tuner that converts the IF signals to a digital
representation has now very relaxed specifications. The active circuits are integrated
in a BiCMOS process. The BAW IF filter is put on top of this BiCMOS die by means
of flip-chip technology. Finally, the sandwich of BiCMOS die and BAW die are
mounted in a standard plastic package using wirebond techniques. Figure 10.26
shows an example of such an IF BAW filter with a relative narrow-bandwidth. This

Figure 10.24 Photo of a BAW-based high-frequency oscillator consisting of a BAW die, a BiCMOS
die, and a passive silicon carrier [11]. The BAW and BiCMOS dies are flip-chipped on the passive
silicon carrier.

FE IC BE IC

B
A
W

LO1 LO2
Figure 10.25 A wideband upconverter tuner using a high Q BAW filter to realize the required
selectivity.
10.6 RF-SiP Examples 257

S211 [dB]
S21 [dB]
0
−50

−50
−100

−100
1.16 1.18 1.20
Frequency [GHz]
Figure 10.26 Narrowband BAW filter characteristic with 8-MHz bandwidth used for upconverter
architecture.

up-converter concept can be used to realize low-cost high-performance TV tuners


for DVB-H/T. In addition, this concept could also be a route to solve the future
multimode/band problem as illustrated in Figure 10.4.

References

[1] Rijks, T. G. S. M., et al., “MEMS Tunable Capacitors and Switches for RF Applications,”
Proceedings of the 24th Int. Conference on Microelectronics, 2004, pp. 49–56.
[2] Dubois, M. C., et al., “Above-IC FBAR Technology for WCDMA and WLAN Applica-
tions,” Proceedings of the IEEE Ultrasonics Symp., 2005, pp. 85–88.
[3] Breur, H., et al., “Bluetooth Radio Module with Embedded Antenna Diversity,” Proc. of
the European Microwave Conference, Munich, Germany, October 2003.
[4] Smolders, A. B., et al., “RF SiP: The Next Wave for Wireless System Integration,” Proceed-
ings of the IEEE RFIC Symp., Fort Worth, TX, June 2004, pp. 233–236.
[5] Sutono, A., et al., “High-Q LTCC-Based Passive Library for Wireless System-on-Package
(SOP) Module Development,” IEEE Trans. on Microwave Theory and Techniques, Octo-
ber 2001, pp. 1715–1724.
[6] Pulsford, N. J., “Passive Integration Technology: Targeting Small Accurate RF Parts,” RF
Design, November 2002, pp. 40–48.
[7] Harrington, R. F., Field Computation by Method-of-Moments, New York: IEEE Press,
1993.
[8] Harrington, R. F., Time Harmonic Electromagnetic Fields, New York: McGraw-Hill,
1961.
[9] Kong, J. A., Electromagnetic Wave Theory, New York: John Wiley and Sons, 1986.
[10] van Straten, F., et al., “Multiband Cellular RF Solutions,” IEEE Journal of Solid-State Cir-
cuits, Vol. 39, October 2004.
[11] van Helmont, F., et al., “A 2 GHz Reference Oscillator Incorporating a Temperature Com-
pensated BAW Resonator,” Proceedings of the IEEE Ultrasonics Symp., Vancouver, 2006,
pp. 333–336.
Glossary
Acoustic impedance (Z) Ratio of the stress to the particle velocity associating
with propagating acoustic waves. In homogeneous media, it is given by ρV, where ρ
is the mass density and V is the acoustic wave velocity.
Aluminum nitride (AlN) Piezoelectric material with moderate electromechanical
coupling for the thickness extensional vibration. High-quality AlN thin films can be
deposited by the sputtering method.
Antiresonance frequency (fa) Frequency where the admittance of the shunt
capacitance is cancelled with that motional or series of the equivalent circuit of the
resonator. It is defined as the frequency giving the reactance of the resonator is zero
while the resistance is extremely large. From the modified BVD model, it is given by
the formula: f a = 1 2 π L1 C1 C 0 (C1 + C 0 ).
Antenna duplexer Three-port device where signals in the transmitter (Tx) band
can selectively transmit between the Tx and antenna (ANT) ports while signals in
the receiver (Rx) band can selectively transmit between the Rx and ANT ports.
Balanced input and/or output Signal interface using two dedicated conductors
to provide forward and return paths for signal. Signal is transferred as voltage dif-
ference between two conductors.
Balanced ladder filter Ladder-type filter with balanced input and output inter-
faces.
Balun Functionality or equipment to convert balanced signal to unbalanced sig-
nal or vice versa.
Bulk acoustic wave (BAW) Acoustic wave propagating inside of a medium.
Butterworth-Van Dyke (BVD) equivalent circuit Equivalent circuit consisting of
series elements L1, C1, and R1 in parallel with C0, where L1, C1, and R1 represent the
motional inductance, capacitance and resistance respectively and C0 the shunt
capacitance.
Butterworth-Van Dyke (BVD) model Equivalent to Butterworth-Van Dyke
(BVD) equivalent circuit.
Capacitance ratio ( or r) Ratio of the shunt capacitance to the motional capaci-
tances for BAW resonators. This indicates weakness of the electromechanical cou-
pling. When the modified BVD model is applicable, γ is given by the formula:
{(f }
−1
)
2
γ = C 0 C1 = p fs −1 , where C0 and C1 are the shunt and motional
capacitances, respectively, and fp and fs are the parallel and series resonance frequen-
cies, respectively.
Common signal In-phase components appearing in the balanced interface.

259
260 Glossary

Coupled resonator filter (CRF) BAW filter composed of multiple resonators


acoustically coupled to each other. It supports multiple resonances synthesizing the
passband shape.
Differential input and/or output Equivalent to balanced input and/or output.
Dissipation factor (D) Inverse of quality factor Q.
Double-mode filter Frequency-selective filter using multiport resonator support-
ing two resonances. Proper allocation of their resonance and antiresonance frequen-
cies offers flat passband and good out-band rejection.
Duplexer Equivalent to antenna duplexer.
2
Effective electromechanical coupling factor (Keff ) Electromechanical coupling
factor derived from the impedance characteristics of a resonator, where influences
of electrodes and/or additional layers are taking into account.
Effective electromechanical coupling factor for thickness-extensional vibration
2
(Kteff ) Electromechanical coupling factor for the thickness-longitudinal vibration
estimated from measured or calculated admittance characteristics of a resonator by
2
the formula: K teff ( ) ( )
= πf s 2f p tan πf s 2f p , where fp and fs are the parallel and
series resonance frequencies, respectively.
2
Electromechanical coupling factor (K ) Certain combination of elastic, dielectric
and piezoelectric constants which appears naturally in the expression of impedance
of a resonator. A different factor arises in each particular family of mode of vibra-
tion. The factor is closely related to the relative frequency spacing and is a conve-
nient measure of piezoelectric transduction. Alternatively, the coupling factor may
be interpreted as the ratio of the electrical or mechanical work which can be accom-
plished to the total energy stored from a mechanical or electrical power source for a
particular set of boundary conditions.
Electromechanical coupling factor for thickness-extensional vibration (Kt2) Elec-
tromechanical coupling factor for the thickness-longitudinal vibration. When the
influences of electrodes and/or additional layers are negligible, it is given by the for-
2
mula: Kteff ( ) (
= πf s 2f p tan πf s 2f p . )
where fp and fs are the parallel and series resonance frequencies, respectively.
Equivalent circuit Electrical circuit which has the same impedance as a piezoelec-
tric resonator in the immediate neighborhood of resonance.
Fast shear wave Refer to shear wave.
Figure of merit (FOM or M) Factor indicating performance of the device relative
to the other ones. As for RF BAW devices, Kteff × Q is most often used, where Q is
2

2
the Q-factor and K teff is the effective electromechanical coupling factor. For low-fre-
quency resonators, the value is usually defined by Q/γ, where Q is the Q-factor and
γ is the ratio of capacitances.
Film bulk acoustic resonator (FBAR) RF BAW resonator comprising a
free-standing membrane as a vibrating element.
Fractional bandwidth Ratio of the pass bandwidth to the mid-band frequency in
the case of bandpass filters.
Fundamental resonance The lowest resonance mode in a given family of vibra-
tion.
Glossary 261

Group delay Delay time of the signal envelope from input to output. It is given by
τ = −∂ {∠H (f )} ∂ (2πf ), where H(f) represents the transfer function such as the
scattering coefficient.
Guard band Frequency range between the passband and rejection band.
Half-wavelength resonance Resonance characterized by the field distribution
with the standing wave pattern of circa a half-wavelength.
Harmonic resonance Higher-order resonance appearing at frequencies corre-
sponding to integer times the fundamental resonance frequency.
Higher-order resonance Resonance mode higher than the fundamental one in a
given family of vibration.
Impedance ratio Ratio of the absolute value of the impedance at the parallel res-
onance frequency to that of the impedance at the series resonance frequency.
In-band insertion loss Maximum value of the insertion loss in the passband in
decibels.
In-band ripple Peak-to-peak variation of the insertion loss in the passband in
decibels.
Inharmonic overtone Equivalent to inharmonic resonance.
Inharmonic resonance Higher order resonance excluding harmonic ones.
Insertion loss (IL) Logarithmic ratio of the power delivered to the load imped-
ance before and after insertion of the resonator.
Isolation Signal leakage between the transmitter (Tx) port and the receiver (Rx)
port in antenna duplexer in the Tx or Rx band.
Ladder-type filter Frequency-selective filter composed of multiple one-port reso-
nators mutually connected in ladder-form.
Lattice-type filter Frequency-selective filter composed of multiple one-port reso-
nators mutually connected in lattice form.
Lamb wave Guided wave propagating along a plate and composed of shear-ver-
tical and longitudinal displacement components.
Lead zirconate titanate (PZT) Ferroelectric and piezoelectric material with large
electromechanical coupling for the thickness extensional vibration. Its high-quality
thin film can be deposited by the sputtering method.
Longitudinal resonance mode Resonance caused by the wave excitation to the
thickness direction.
Longitudinal wave Acoustic wave vibrating parallel to the propagation direc-
tion.
Longitudinally coupled resonator filter (LCF) Resonator filter composed of
multiple resonators acoustically coupled in thickness direction.
Long-term stability Aging characteristic: variation of a specific frequency or
amplitude with time, in order of days to years.
Loss tangent (tan Equivalent to dissipation factor D.
Mason’s equivalent circuit An equivalent circuit model for piezoelectric resona-
tors employing the thickness-extensional mode. It is rigorous for the one-dimen-
sional analysis.
Mason’s model Equivalent to Mason’s equivalent circuit.
262 Glossary

Mid term stability Temperature characteristic: variation of a specific frequency


or amplitude with time, in order of minutes to hours.
Minimum insertion loss (ILmin) Minimum value of the insertion loss.
Modified Butterworth-Van Dyke (BVD) model Modified version of BVD model
where a resistance R0 is connected in series with the shunt capacitance C0 for taking
account of energy leakage and/or dielectric loss. Sometimes another resistance Rs is
added in series with the input terminal for taking account of electrode resistance.
Monolithic crystal filter (MCF) Acoustic resonator employing acoustically cou-
pled multiple resonators fabricated on a single chip.
Motional capacitance (C1) Capacitance of the motional or series arm of the
equivalent circuit.
Motional inductance (L1) Inductance of the motional or series arm of the equiva-
lent circuit.
Motional resistance (R1) Resistance of the motional or series arm of the equiva-
lent circuit.
Out-of-band rejection Difference between minimum value of the insertion loss in
the rejection band and maximum value of the insertion loss in the passband.
Overtone Equivalent to higher-order resonance.
Parallel resonance frequency (fp) Equivalent to antiresonance frequency fa.
Parallel resonance Q (Qp) Quality factor estimated at the parallel resonance fre-
quency fp. When the modified BVD model is applicable, Qp is given by the formula:
Qp = 2πf p L1 ( R1 + R0 ).
Passband Frequency range where the insertion loss of a filter shall be equal to, or
less than a specified value.
Passband insertion loss Equivalent to in-band insertion loss.
Passband ripple Equivalent to in-band ripple.
Pass bandwidth Separation of frequencies between which the insertion loss of a
filter shall be equal to, or less than a specified value.
Quality factor (Q) Factor indicating how long stored energy is preserved in a sys-
tem. It is closely related to the steepness of the conductance peak. It is defined as
stored energy
Q = πf .
dissipated power in half cycle
Quarter wavelength resonance Resonance characterized by the field distribution
with the standing wave pattern of circa a quarter-wavelength.
Ratio of capacitances ( ) Equivalent to capacitance ratio.
Rayleigh wave SAW composed of shear vertical and longitudinal displacement
components.
Receiver (Rx) band Frequency range used for receiver.
Reflection coefficient ( ) Amplitude ratio of the reflected signal to the input sig-
nal. When a resonator with the impedance Za is connected to a transmission line
with the characteristic impedance Zb, the reflection coefficient at their connection
point is given by Γ = ( Z a − Z b ) ( Z a + Z b ).
Rejection band Frequency range where the insertion loss of a filter shall be larger
than a specified value.
Glossary 263

Relative bandwidth Equivalent to fractional bandwidth.


Resonance frequency (fr) Frequency of the motional or series arm of the equiva-
lent circuit of the resonator. It is defined as the frequency where the susceptance of
the resonator is zero while the conductance is extremely large. From the modified
BVD model, it is given by the formula: f r = 1 2π L1 C1 .
Return loss (RL) Value of the reciprocal of modulus of the reflection coefficient
Γ, expressed in decibels. Quantitatively, it is equal to: −20log|Γ| [dB].
Series resonance frequency (fs) Equivalent to the resonance frequency fr.
Series resonance Q (Qs) Quality factor estimated at the series resonance fre-
quency fs. When the modified BVD model is applicable, Qs is given by the formula:
Qs = 2πf s L1 R1 .
Shear wave Acoustic wave vibrating normal to the propagation direction. In gen-
eral, two types of shear BAWs exist in solid body, and are distinguished by their
velocities. Namely, fast and slow shear waves.
Shear-horizontal wave Shear BAW with the displacement parallel to the bound-
ary surface.
Shear-vertical wave Shear BAW with the displacement normal to the boundary
surface.
Short term stability Noise characteristic: variation of a specific frequency or
amplitude with time less than a second.
Shunt capacitance (C0) Capacitance in parallel with the motional arm of the
equivalent circuit.
Shunt resistance (R0) Resistance in parallel with the motional arm of the equiva-
lent circuit.
Single-ended input and/or output Signal-interface using a “common” conductor
(ground) as a signal return path. Signal is transferred as voltage difference from the
“common” conductor.
Slow shear wave Refer to shear wave.
Solidly mounted resonator (SMR) RF BAW resonator comprising a vibrating
element solidly mounted on a supporting substrate.
Spurious resonance State of resonance of a resonator other than that associated
with the working frequency.
Stacked crystal filter (SCF) BAW filter comprising acoustically coupled multiple
resonators stacked to the thickness direction.
Surface acoustic wave (SAW) Acoustic wave propagating along the surface of an
elastic substrate, the amplitude of which decays exponentially into the substrate
depth.
Temperature coefficient of frequency (TCF) Ratio of fractional change in a
characteristic frequency (for example, center frequency) of the device under test
with the environmental temperature.
Thickness-extensional (TE) mode Resonance mode associating with the longi-
tudinal-type BAW propagating to the thickness direction in a plate.
Thickness-shear (TS) mode Resonance mode associating with the shear-type
BAW propagating to the thickness direction in a plate.
264 Glossary

Transition band Equivalent to guard band.


Transmitter (Tx) band Frequency range used for transmitter.
Transversally-coupled resonator filter (TCF) Resonator filter composed of mul-
tiple resonators acoustically coupled in the lateral direction.
Transverse resonance mode Resonance caused by the wave excitation to the
in-plane direction. It appears slightly different frequencies.
Transverse wave Equivalent to shear wave.
Unbalanced input and/or output Equivalent to single-ended input and/or out-
put.
Voltage standing wave ratio (VSWR) Another indicator of the reflection coeffi-
cient Γ. It is given by (1 + Γ ) (1 − Γ ).
Zinc oxide (ZnO) Piezoelectric material with moderate electromechanical cou-
pling for the thickness extensional vibration. Its high-quality thin film can be depos-
ited by the sputtering method.
About the Author
Ken-ya Hashimoto was born in Fukushima, Japan, on March 2, 1956. He received
his B.S. and M.S. degrees in electrical engineering in 1978 and 1980, respectively,
from Chiba University, Japan, and Dr. Eng. degree from the Tokyo Institute of
Technology, Japan, in 1989.
In 1980, he joined Chiba University as a research associate, and is now a profes-
sor at the University. In 1998, he was a visiting professor at the Helsinki University
of Technology, Finland. In the winter of 1998/1999, he was a visiting scientist at the
Laboratoire de Physique et Metrologie des Oscillateurs, CNRS, France. In 1999 and
2001, he was a visiting professor at the Johannes Kepler University of Linz, Austria.
In 2001, he served as a guest coeditor of the special issue of IEEE Transactons
on Microwave Theory and Techniques (MTT), Special Issue on Microwave Acous-
tic Wave Devices for Wireless Communication and Sensing. He also served as a
publicity cochair of the 2002 IEEE International Ultrasonics Symposium. He was
appointed as a member of the speaker’s bureau of the IEEE MTT Society. He served
as an international distinguished lecturer of the IEEE UFFC Society from July 2005
to December 2006. He also serves as a distinguished lecturer of the IEEE Electron
Device Society since 2007. He will serve as a general chair of the 2011 IEEE
Ultrasonics Symposium, which will be held in Kobe, Japan.
His current research interests include simulation and design of various high per-
formance surface and bulk acoustic wave devices, acoustic wave sensors and actua-
tors, piezoelectric materials, and RF circuit design.
Dr. Hashimoto is a fellow of the IEEE and a member of the European Micro-
wave Association, the Institute of Electronics, Information, and Communication
Engineers of Japan, the Institute of Electrical Engineers of Japan, and the Acoustical
Society of Japan.

265
266 About the Author

List of Contributors

Robert Aigner Jan-Willem Lobeek


Triquint Semiconductor, Inc. NXP Semiconductors Nijmegen
1818 S. HW 441 Gerstweg 2 Nijmegen
Apopka, FL 32703 The Netherlands
U.S.A. e-mail: jan-willem.lobeek@nxp.com
e-mail: raigner@tqs.com
Stephan Marksteiner
Marc-Alexandre Dubois EPCOS AG
Centre Suisse d’Electronique Anzinger Str. 13
et de Microtechnique CSEM S.A. D-81671 Munich
Jaquet-Droz 1 Germany
CH-2002 Neuchâtel e-mail: stephan.marksteiner@epcos.com
Switzerland
Sergey Mishin
e-mail: marc-alexandre.dubois@csem.ch
Advanced Modular Systems, Inc.
Lueder Elbrecht 7920 Winchester Circle
Avago Technologies GmbH Goleta, CA 93117
Charles-de-Gaulle-Str. 2 U.S.A.
D- 81737 Munich e-mail: s.mishin.ams@cox.net
Germany
Yury Oshmyansky
e-mail: luder.elbrecht@avagotech.com
Avago Technologies, Inc.
Gernot G. Fattinger 499 Nyes Place
Triquint Semiconductor, Inc. Laguna Beach, CA 92651
1818 S. HW 441 U.S.A.
Apopka, FL 32703 e-mail:
U.S.A. yury.oshmyansky@avagotech.com
e-mail: gfattinger@tqs.com
Nick Pulsford
Ken-ya Hashimoto NXP Semiconductors Nijmegen
Dept. EEE, Chiba University Gerstweg 2 Nijmegen
1-33 Yayoi-cho, Inage-ku The Netherlands
Chiba 263-8522 e-mail: nick.pulsford @nxp.com
Japan
Richard C. Ruby
e-mail: k.hashimoto@ieee.org
Avago Technologies, Inc.
Jyrki Kaitila 350 West Trimble Rd
Avago Technologies GmbH San Jose, CA 95131
Charles-de-Gaulle-Str. 2 U.S.A.
D- 81737 Munich e-mail: rich.ruby@avagotech.com
Germany
Bart Smolders
e-mail: jyrki.kaitila@avagotech.com
NXP Semiconductors Nijmegen
Kenneth M. Lakin Gerstweg 2 Nijmegen
P.O. Box 310 The Netherlands
Redmond, Oregon 97756 e-mail: Bart.smolders@nxp.com
U.S.A.
Masanori Ueda
e-mail: kenlakin@ieee.org
Fujitsu Laboratories, Ltd.
64, Nishiwaki, Ohkubo-cho
Akashi 674-8555
Japan
e-mail: maueda@jp.fujitsu.com
Index
A design, 74–89, 91–96
Absorption coefficients, 62 electromechanical coupling coefficient, 91
Acoustical attenuation, 79 frequency distribution, 103–4
Acoustically coupled filters, 37–45 high-frequency, 6
coupled resonator, 42–45 integration in SiP, 240
stacked crystal, 38–42 interconnect losses and parasitics, 94–95
See also Filters material selection, 97–101
Acoustically coupled resonator tuning, 46 nonlinearities, 96
Acoustic Fields and Waves in Solids (Auld), piezoelectric effect, 52
135 power handling, 93
Acoustic impedance, 65 quality factor, 92
Acoustic leakage, 205–7 robustness, 95
BAW resonator, 205 spurious modes, 82–88, 92
Bragg reflector, 207–11 temperature coefficient of frequency (TCF),
Acoustic velocities, 59 88, 89, 93–94
Acoustic waves thin films deposition, 173–94
attenuation, 59–62 yield, 103
reflection, 60 BAW-FBAR
Adatoms, 179 application space, 108–14
Advanced Circuit Simulator (ADS), 129 duplexers, 108–12
AIN manufacturing process, 112
deposition systems, 184 oscillators, 112–13
deposition uniformity, 185 RF filters, 108–12
film stress, 186 sensors, 113–14
grain growth, 183 BAW filters, 110–12
sputtered, 186 advantages, 111
surface roughness, 184 balance, 168
Air gap process, 25–27 frequency position, 102
demonstration test patterns, 26 IF, 256
illustrated, 26 narrowband, 257
test structure, 27 physical structure, 110
Aluminum (Al), 98, 191–92 thin film, 10–11
Aluminum nitride, 100, 174–75 types of, 110
Apodization, 119, 137–40 wideband upconverter tuner, 256
downside, 139 BAW resonators, xi
Q losses and, 140 acoustic leakage, 205
Area efficiency, 94 AIN-based, 96
cross-sections, 3
B loss mechanisms, 78
Balanced bridge filters, 15–16 plate wave modes, 14
Balanced ladder filter, 37 Q-factor, 226
BAW devices simulation, 15
area efficiency, 94 solidly mounted (SMR), xi
basics, 51–89 thin film, 7, 52–58
characterization of, 197–219

267
268 Index

BAW-SMR, 111–12 Code division multiple access (CDMA), 120


dispersion curves, 142 long-term evolution, 157
manufacturing process, 112 service providers, 156–57
TE-1 mode, 141 wideband (WCDMA), 170, 228–31
Beam resonators, 6, 7 Colpitts oscillator, 233
Berlincourt formula, 63 Composite resonators, 12–13
BiCMOS concept, 13
BAW postprocess impact, 223 defined, 12
die, 256 TC, 33
process, 239 See also Resonators
Bluetooth Copper (Cu), 98
LAMP-based, 254 Coupled resonator filters (CRF), 42–45
radio solution, 254 acoustical coupling, 44
transceiver IC, 253 bandwidth, 44
Bonded plate resonators, 11–12 cross-sectional view, 43
Bragg reflector (BR), 143, 207–11 defined, 43
acoustic leakage through, 207–11 electrode effect, 45
cooptimization, 210 equivalent circuits, 46, 47
defined, 207 FBAR, 149–50
Q limitation due to, 209 inductor-tuned two-pole, 47
quarter-wavelength, 208 layout, 44
reflectivity, 208 response, 45
for shear waves, 210 Coupling coefficients, 72
Bridge filters, 15–16 effective, 62, 63, 74–77
Bulk acoustic wave (BAW) electromechanical, 55, 91
defined, 1–2 equation, 82
finiteness, 2 material, 74
piezoelectric materials, 2 spurious modes, 85
propagation modes, 2 Cutoff frequencies, 69–70
support approximation, 1 defined, 69
technology background, 1–11 diagrams, 71
technology driving forces, 10–11 longitudinal, 70
thin film, 19 shear modes, 70
See also BAW resonators; RF-BAW devices spurious resonance-free resonator, 84
Butterworth-Van Dyke (BVD) circuit, 57, 58,
127 D
Deformation sensors, 114
C Detuning layer, 101
Capacitive micromachined ultrasonic Device design, 74–89
transducers (CMUTs), 51 area efficiency, 94
Cascading filters, 48 considerations, 91–96
Characterization, 197–219 effective coupling coefficient, 74–77
electrical, 214–19 electromechanical coupling coefficient, 91
introduction to, 197 interconnect losses and parasitics, 94–95
laser interferometry, 201–4 loss mechanisms, 78–82
loss mechanisms, 204–14 nonlinearities, 96
single-layer material, 198–201 power handling, 93
Chemical/biological sensors, 113–14 quality factor, 92
Chemical mechanical polishing (CMP), 23, Q-values, 78–82
101, 118 robustness, 95
processing, 101 spurious modes, 82–88, 92
for surface smoothness, 190
Index 269

temperature coefficient of frequency (TCF), normalized, 76, 77


88, 89, 93–94 Elastic energy, 63
See also BAW devices Electrical characterization, 214–19
Device fabrication, 97–108 filter measurements, 217–19
chemical mechanical polishing (CMP), 101 geometries, 215
etching process, 100 introduction to, 214
ion milling, 104–5 resonator measurements, 214–17
local etching, 105 See also Characterization
material selection, 97–101 Electrical losses, 78, 212
process controls, 108 Electrically coupled filters, 34–37
SMR resonators and filters, 101–2 balanced ladder, 37
tolerances, 102–8 conventional lattice, 37
trimming, 102–8 ladder, 34–37
See also BAW devices See also Filters
Device under test (DUT), 201 Electrical resistivity, 78
Dielectric layers, 106–7 Electric energy, 63
Dielectrics, 99–100 Electroacoustic conversion, 62–64
Dispersion Electrodes
branches, 67 current path, 94
diagrams, 68 resistance, 30
evaluation of, 203–4 resonator with, geometry, 75
Dispersion curves, 70 Electromagnetic modeling, 246–51
for AIN plate, 134 Electromechanical coupling coefficient, 55, 91
BAW-SMR, 142 Electromechanical coupling factor, 55–56
FFT algorithm, 203 Electron beam (EB) exposure system, 162
TE-1, 137 Electron cyclotron resonance (ECR)
Tungsten electrode resonator, 136 deposition, 187
Dispersion relations, 67–70 Electrostatic discharge (ESD), 95
cutoff frequencies, 69–70 Environmental robustness, 95
multilayered plate, 69 Etching process, 100
resonator design based on, 70–74 Excimer stepper, 162
simple plates, 67, 68
Displacement amplitudes, 71, 72 F
Displacement profiles, 74 FBAR filters, 150–56
Double-lattice filter, 229 coupled resonator, 149–50
Double-mode SAW (DMS), 166 duplexer, 152–56
Dual-target magnetron, 181 interstage, 150–52
Duplexers, 108–12 multiplexer, 152–56
BAW filter dies, 247 performance, 153
ceramic, 121 topology, 151
FBAR, 119–22 FBAR resonators
illustrated, 121 advantage, 155
measurements, 154 center region, 143
PCS, 217 figure of merit (FOM), 124, 125
performance, 152–53 modeling, 126–29
SAW, 124 package, 122–23
topology, 151 Q-circle, 124, 125, 126, 135
for WCDMA, 170 temperature-compensated, 145–49
Figure of merit (FOM), 124, 125
E Film bulk acoustic wave resonator (FBAR), xi,
Effective coupling coefficient, 62, 63, 74–77 117–58
maximized, 76 bulk micromachined, 118
270 Index

Film bulk acoustic wave resonator (continued) partitioning, 238


devices, 77 trends, 237–38
duplexer, 119–22 Full wave-half maximum (FWHM), 175, 177
history of, 117–19
process flow, 225 G
in real world, 123–24 Galerkin’s method, 250
research, 117 Green’s function, 248, 249
structure illustration, 162 Guard band, 218
TCF, 93
H
technology, 124–50
See also FBAR filters; FBAR resonators Hook’s law, 2, 53, 59
Filtering LNA, 227–28 Hybrid filters, 47–48
Filter measurements, 217–19 I
guard band, 218
passband, 217–18 I-line, 162
return loss, 218–19 Inertial sensors, 114
stopband, 218 Input impedance, 250
Filters Insertion loss, 227
acoustically coupled, 37–45 Interconnects
balanced bridge, 15–16 area consumed by, 94
balanced ladder, 37 losses, 94–95
cascading, 48 Interdigital transducers (IDT), 161
in cell phone market, 10 Interstage filters, 150–52
coupled resonator, 42–45 Ion beam deposition (IBD), 188
double-lattice, 229 Ion beam etching, 108
electrically coupled, 34–37 Ion milling, 104–5
fabrication, 101–2 Iridium, 99
FBAR, 150–56 J
hybrid, 47–48
Jet vapor deposition (JVD), 189
insertion loss, 227
interstage, 150–52 K
ladder, 16–17, 35–37 Known-good dies (KGDs), 252
lattice, 17, 37
legacy, topologies, 15–18 L
monolithic, 18 Ladder filters, 16–17, 34–37
RF, 108–12 balanced, 37
SAW, 166–68 circuit diagrams, 36
skirts, steepness, 109 circuits, 17
stacked crystal, 38–42 complicated, 35
wide-bandwidth tuned coupled resonator, experimental results, 34
45–47 formats, 16
Finite element model (FEM), 81, 127 out-of-band rejection, 35
Flyback, 152 series and shunt resonators, 34
Focused ion beam (FIB), 185 summary, 36
Frames, 140–45 See also Filters
Frequency distribution, 103–4 Laminate platform, 241–42
Frequency shift, by DC bias, 96 Laser interferometry, 201–4
Front-end module (FEM), 236, 245 evaluation of dispersion, 203–4
Front-ends introduction to, 201
architecture, 238 measurement setup, 201–3
high Q tunable components, 239 setup illustration, 202
integration, 236–37 Lateral displacement profiles, 74
Index 271

Laterally leaking waves, 211 molybdenum (Mo), 192


Lattice filters platinum (Pt), 193
configuration, 17 ruthenium (Ru), 194
conventional, 37 tungsten (W), 192–93
defined, 17 Metallic layers, 200–201
double, 229 Metalorganic chemical vapor deposition
See also Filters (MOCVD), 188–89
Legacy filter topologies, 15–18 Metals, 97–99
Lithography, 100 Method-of-moments (MoM), 247, 248
Local etching, 105 Microelectromechanical systems (MEMS), 223
Loss mechanisms, 204–14 Mirror transmissivity, 79, 80
acoustic leakage, 205–7 Molybdenum (Mo), 192
acoustic leakage (Bragg reflector), 207–11 Molybedenum (Mo), 99
electrical losses, 212 Monolithic crystal fiber (MCF)
introduction to, 204 BAW implementation, 18
laterally leaking waves, 211 technologies, 5
scattering losses, 214 three-pole, 18
viscoelastic losses, 212–13 Monolithic filters, 18
Low noise amplifiers (LNAs), 222 Monolithic integration, 221–33
differential, 227 applicability, 222
filtering, 227–28 complexity, 232
Low temperature cofired ceramic (LTCC) in Europe, 225
platform, 242–43 filtering LNA, 227–28
introduction to, 221–22
M of piezoelectric BAW components, 221
Mason model, 64–66 practical implementation, 224–32
applying, 73 substrates and, 224
calculations, 79 technology description, 225–27
defined, 64 WCDMA RF front-end, 228–31
implementation in ADS, 127 WLAN oscillator, 232
longitudinal/shear transitivity, 209 Monolithic WCDMA receiver, 230, 231
mechanical force at boundaries, 65 Monte Carlo simulations, 183
for multilayer resonator, 66 Multidimensional effects, 14–15
for piezolayer, 66 Multilayer resonators, 66
Material coupling coefficient, 74 Multiplexers, 152–56
Material selection, 97–101 Mutual energy, 63
lithography, 100
metals, 97–99 N
piezoelectric layers, 100 Narrowband BAW filter, 257
semiconductors and dielectrics, 99–100 Network analyzers, 202
See also Device fabrication Nonlinearities, 96
MATLAB, 250 Nonvacuum deposition, 189
Maxwell equations, 246 NXP semiconductors, 244, 245
mBVD model, 128
fitted, 143 O
fitting resonator with, 133 Organization, this book, xii
reactance terms, 129 Oscillators, 112–13
Mechanical robustness, 95 BAW-based high-frequency, 256
MEMS gyroscopes, 114 chip micrograph, 232
Metal deposition, 189–94 Colpitts, 233
aluminum, 191–92 output power spectrum, 233
combination of metals, 194 schematic, 232
272 Index

Oscillators (continued) Pulsed DC magnetron, 181


TCXO quartz, 149 PZT, 173–74
WLAN, 232 characteristics, 173
Overmodeled resonators (OMR), 3–4 deposition, 187
structure illustration, 174
P
Passband, 217–18 Q
Phase-locking system, 203 Q
Piece-wise linear (PWL) approximation, 249 ascertaining, 129–33
Piezoelectric constitutive relations, 52–56 enhancements, 140
Piezoelectric Crystals, 62 frequency versus, 130, 132
Piezoelectric materials, 2 reasonable, 131
aluminum nitride, 174–75 Q-circles, 124, 125, 126
commonly uses, 173–75 fitting, 131
physical properties, 174 illustrated, 135
PZT, 173–74 measured magnitude, 138
zinc oxide, 173 parasitic modes, 133
Piezoelectric resonators, 7–10 of quadrilateral, 141
Piezolayers, 55 of square resonator, 138
Mason model for, 66 symmetric modes on, 133
materials, 100 Q-factors, 162–65
thickness, 94 BAW resonator, 226
Plasma discharge, 180 examples, 164, 165
Plasma-enhanced chemical vapor deposition as figure of merit (FOM), 164
(PECVD), 198 on ladder-type filters, 164
Plasma etching, 190 passband performances, 163
Plate edge-supported resonators, 21–27 Quality factors, 58, 92
pocket membrane, 24–25 Quartz crystal resonators, 9–10
pothole membrane, 21–23 Quartz crystal thinning, 11
undercut air gap membrane, 25–27 Quartz material, 31–32
See also Resonators Quintplexers, 155, 156
Plate wave (PW) resonators, 4–5 Q-values, 92
Plate waves, 14
Platinum (Pt), 193 R
Pocket membrane, 24–25 Rayleigh-Lamb (RL) modes, 133–37
process illustration, 24 calculating, 135
process with support structures, 25 defined, 133
Poisson ratio, 59 families, 133
Polymers, 100 lateral waves, 143
Pothole membrane, 21–23 symmetric, 133
advanced process, 23 TE-1 mode, 137
disadvantage, 22 Reactive ion etch (RIE) etching process, 24
process illustration, 22 Reactive sputtering, 180
in resonator fabrication, 22 of AIN, 183
See also Plate edge-supported resonators metallic and poison zones, 182
Power-amplifier-with-integrated-duplexer Reflected spectrum measurement, 96
(PAiD), 236, 237 Reflection, 59–62
Power durability, SAW filters, 165–66 Reflection coefficients, 140
Power handling, 93 Removal profiles, 108
Process control monitor (PCM), 108 Residue, 250
Propagation constants, 54 Resonance, 4
Prototype resonator, 52–56 Resonant frequencies, 56
Index 273

Resonator measurements, 214–17 topologies, 163


impedance, 214–15, 216 SAW resonators, 4–5
phase curves, 216 power durability, 165–66
Resonators Q-factors, 162–65
air gap coupled, 3 Smith chart, 163
beam, 6, 7 See also Resonators
bonded plate, 11–12 Scattering losses, 214
composite, 12–13 Semiconductors, 99–100
dead area between, 94 Sensors, 113–14
FBAR, 117–58 chemical/biological, 113–14
geometry for plate wave excitation, 14 deformation, 114
lateral extent, 9 inertial, 114
modeled phase, 10 Shear modulus, 59
piezoelectric, 7–10 Silicon nitride (SiN), 99
plate edge-supported, 21–27 Silicon oxide (SiO2), 99
PW, 4–5 Silicon-oxy-carbide (SiOC), 99–100
Q-factor, 227 Silicon (Si), 99
quartz crystal, 9–10 Simultaneous GPS (S-GPS), 155
SAW, 4–5, 162–66 Single-layer material characterization, 198–201
solidly mounted, 27–29 dielectric and piezoelectric layers, 198–200
spurious resonance-free, 84, 86 introduction to, 198
standing wave distribution across, 16 metallic layers, 200–201
structures, 7 See also Characterization
thin plate, 11–12 Snell law, 60
types of, 5–7 Software-defined ratios, 236
See also BAW resonators Solidly mounted resonators (SMR), xi, 27–29
Return loss, 218–19 acoustic energy, 33
RF-BAW devices, xi acoustic impedance mismatch, 191
RF filters, xi, 108–12 cross-section, 28, 102
application space, 113 displacement versus depth, 28
performance characteristics, 109 experimental results, 33
RF SiP (RF-SiP), 235 fabrication, 101–2
BAW examples, 255–57 laser interferometer analysis of, 81
design flow, 252 reflection spectrum and, 29
design methodology, 251–52 TCF, 94
examples, 253–57 See also Resonators
illustrated, 246 S-parameters, 214, 215
wireless examples, 253–55 calculating, 250
Robustness, 95 double-lattice filter, 229
Ruthenium (Ru), 99, 194 Spurious modes, 82–88, 92
acoustical, 83
S coupling coefficients, 85
SAW filters, 110–12 defined, 92
active area, 112 emergence of, 82
advantages, 111 energy losses and, 92
bandwidth, 110 type I resonator, 82–83
configuration, 167 Spurious resonance-free resonator
design, 166–68 cutoff frequency diagram, 84
design methods, 166 resonant modes, 86
double-mode SAW (DMS) design, 166 Sputtering, 175–83
performance, 167 defined, 175
physical structure, 110 practical aspects, 183–87
274 Index

Sputtering (continued) T
PZT deposition by, 187 Tantalum (Ta), 99
reactive, 180, 182 TE-1 mode, 136
Stacked crystal filters (SCF), 38–42 dispersion curve, 137
circuit models, 41 group velocities, 136
cross-sectional views, 38 SMR-BAW, 141
defined, 38 subresonances, 137
equivalent circuit, 45 Temperature coefficient of frequency (TCF),
fabrication layout, 41–42 88, 89, 93–94
layout illustration, 42 determination, 168
modeled response, 39 FBAR, 93
performance, 41 SAW, 93
Sticking coefficients, 178 SMR, 94
Stopband, 218 Temperature coefficient of velocity (TCV), 168
Stress-free boundary condition, 75 Temperature-compensated resonators, 145–49
Stress profiles, 77 Temperature compensation, 31–34
Surface acoustic wave (SAW), 2 early experiments, 33
application map, 169–70 layouts, 32
center frequency, 168 Thermal expansion coefficient (TEC), 168
devices, xi, 161–70 Thickness
duplexers, 124 characterization, 108
manufacturing process, 168 effect on frequency, 126
structural comparison and features, 161–62 error, histogram, 107
structure illustration, 162 uniformity, 108
TCF, 93 Thickness extensional (TE), 59, 161
technology, 110 Thickness shear modes, 133
temperature compensation, 168–69 Thin film BAW, 19, 51
See also SAW filters; SAW resonators equivalent circuit, 57–58
Surface-mount components (SMDs), 241 filters, 10–11
Surface roughness, 178 parameters, 57–58
System-in-package (SiP), xi, 235–57 piezoelectric constitutive relations, 52–56
BAW device integration in, 240 prototype, 52–56
complexity, 245 resonators, 7, 52–58
cost calculation, 240 technology, 51
design, 245–52 temperature effects, 89
design methodology, 251–52 Thin Film Processes, II, 175
electromagnetic modeling, 246–51 Thin films
integration, 235–37 development, 13–14
known-good dies (KGDs), 252 passive integration IC technology, 243
laminate platform, 241–42 platform, 243–45
LTCC platform, 242–43 Thin films deposition, 173–94
multiband, multimode wireless systems, for BAW applications, 189–94
235–39 electron cyclotron resonance (ECR), 187
RF (RF-SiP), 235 ion beam (IBD), 188
SoC versus, 239–40 jet vapor (JVD), 189
technologies, 241–45 metalorganic chemical vapor (MOCVD),
test and industrialization, 252–53 188–89
thin film platform, 243–45 methods, 175–89
System-on-chip (SoC), xi, 235 nonvacuum, 189
cost calculation, 240 piezoelectric materials, 173–75
SiP versus, 239–40 sputtering, 175–83
Thin plate resonators, 11–12
Index 275

bonded plate, 11–12 W


quartz crystal thinning, 11 Wafer-level packaging technology, 122
Titanium (Ti), 98 Wave modes, 67–70
Transduction process, 3 Wave numbers, 54
Transmission, 59–62 Wave propagation, 59–62, 67
Transmission coefficients, 89 Wave velocity comparison, 110
Transmissivity, mirror, 79, 80 WCDMA
Trimming, 105–6 duplexers for, 170
Tungsten (W), 98, 192–93 monolithic receiver, 230, 231
U RF front-end, 228–31
Wideband upconverter tuner, 256
Undercut air gap membrane, 25–27
Wide-bandwidth tuned coupled resonator
V filters, 45–47
Viscoelastic behavior, 213 Wireless systems, 235–39
Viscoelastic losses, 212–13 key challenge, 236
Viscoelastic materials, 213 penetration rate, 236
Viscosity, 61 WLAN oscillator, 232
Voltage-controlled oscillators (VCO) X
control voltage, 230
X-ray diffraction (XRD), 200
differential FBAR-based, 228–29
phase noise, 229, 231 Z
reference, 229 Zinc oxide, 173
Recent Titles in the Artech House Microwave Library

Active Filters for Integrated-Circuit Applications, Fred H. Irons


Advanced Techniques in RF Power Amplifier Design, Steve C. Cripps
Automated Smith Chart, Version 4.0: Software and User's Manual,
Leonard M. Schwab
Behavioral Modeling of Nonlinear RF and Microwave Devices,
Thomas R. Turlington
Broadband Microwave Amplifiers, Bal S. Virdee, Avtar S. Virdee, and
Ben Y. Banyamin
Computer-Aided Analysis of Nonlinear Microwave Circuits, Paulo J. C. Rodrigues
Designing Bipolar Transistor Radio Frequency Integrated Circuits, Allen A. Sweet
Design of FET Frequency Multipliers and Harmonic Oscillators, Edmar Camargo
Design of Linear RF Outphasing Power Amplifiers, Xuejun Zhang,
Lawrence E. Larson, and Peter M. Asbeck
Design Methodology for RF CMOS Phase Locked Loops, Carlos Quemada,
Guillermo Bistué, and Iñigo Adin
Design of RF and Microwave Amplifiers and Oscillators, Second Edition,
Pieter L. D. Abrie
Digital Filter Design Solutions, Jolyon M. De Freitas
Distortion in RF Power Amplifiers, Joel Vuolevi and Timo Rahkonen
EMPLAN: Electromagnetic Analysis of Printed Structures in Planarly Layered
Media, Software and User’s Manual, Noyan Kinayman and M. I. Aksun
Essentials of RF and Microwave Grounding, Eric Holzman
FAST: Fast Amplifier Synthesis Tool—Software and User’s Guide, Dale D. Henkes
Feedforward Linear Power Amplifiers, Nick Pothecary
Foundations of Oscillator Circuit Design, Guillermo Gonzalez
Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave Design,
John Wood and David E. Root, editors
Generalized Filter Design by Computer Optimization, Djuradj Budimir
High-Linearity RF Amplifier Design, Peter B. Kenington
High-Speed Circuit Board Signal Integrity, Stephen C. Thierauf
Intermodulation Distortion in Microwave and Wireless Circuits, José Carlos Pedro
and Nuno Borges Carvalho
Introduction to Modeling HBTs, Matthias Rudolph
Lumped Elements for RF and Microwave Circuits, Inder Bahl
Lumped Element Quadrature Hybrids, David Andrews
Microwave Circuit Modeling Using Electromagnetic Field Simulation,
Daniel G. Swanson, Jr. and Wolfgang J. R. Hoefer
Microwave Component Mechanics, Harri Eskelinen and
Pekka Eskelinen
Microwave Differential Circuit Design Using Mixed-Mode S-Parameters,
William R. Eisenstadt, Robert Stengel, and Bruce M. Thompson
Microwave Engineers’ Handbook, Two Volumes, Theodore Saad, editor
Microwave Filters, Impedance-Matching Networks, and Coupling Structures,
George L. Matthaei, Leo Young, and E.M.T. Jones
Microwave Materials and Fabrication Techniques, Second Edition,
Thomas S. Laverghetta
Microwave Mixers, Second Edition, Stephen A. Maas
Microwave Radio Transmission Design Guide, Trevor Manning
Microwaves and Wireless Simplified, Third Edition, Thomas S. Laverghetta
Modern Microwave Circuits, Noyan Kinayman and M. I. Aksun
Modern Microwave Measurements and Techniques, Second Edition,
Thomas S. Laverghetta
Neural Networks for RF and Microwave Design, Q. J. Zhang and K. C. Gupta
Noise in Linear and Nonlinear Circuits, Stephen A. Maas
Nonlinear Microwave and RF Circuits, Second Edition, Stephen A. Maas
QMATCH: Lumped-Element Impedance Matching, Software and User’s Guide,
Pieter L. D. Abrie
Practical Analog and Digital Filter Design, Les Thede
Practical Microstrip Design and Applications, Günter Kompa
Practical RF Circuit Design for Modern Wireless Systems, Volume I: Passive Circuits
and Systems, Les Besser and Rowan Gilmore
Practical RF Circuit Design for Modern Wireless Systems, Volume II: Active Circuits
and Systems, Rowan Gilmore and Les Besser
Production Testing of RF and System-on-a-Chip Devices for Wireless
Communications, Keith B. Schaub and Joe Kelly
Radio Frequency Integrated Circuit Design, John Rogers and Calvin Plett
RF Bulk Acoustic Wave Filters for Communications, Ken-ya Hashimoto
RF Design Guide: Systems, Circuits, and Equations, Peter Vizmuller
RF Measurements of Die and Packages, Scott A. Wartenberg
The RF and Microwave Circuit Design Handbook, Stephen A. Maas
RF and Microwave Coupled-Line Circuits, Rajesh Mongia, Inder Bahl, and
Prakash Bhartia
RF and Microwave Oscillator Design, Michal Odyniec, editor
RF Power Amplifiers for Wireless Communications, Second Edition, Steve C. Cripps
RF Systems, Components, and Circuits Handbook, Ferril A. Losee
Stability Analysis of Nonlinear Microwave Circuits, Almudena Suárez and
Raymond Quéré
System-in-Package RF Design and Applications, Michael P. Gaynor
TRAVIS 2.0: Transmission Line Visualization Software and User's Guide, Version
2.0, Robert G. Kaires and Barton T. Hickman
Understanding Microwave Heating Cavities, Tse V. Chow Ting Chan and
Howard C. Reader

For further information on these and other Artech House titles, including
previously considered out-of-print books now available through our
In-Print-Forever® (IPF®) program, contact:

Artech House Publishers Artech House Books


685 Canton Street 16 Sussex Street
Norwood, MA 02062 London SW1V 4RW UK
Phone: 781-769-9750 Phone: +44 (0)20 7596 8750
Fax: 781-769-6334 Fax: +44 (0)20 7630 0166
e-mail: artech@artechhouse.com e-mail: artech-uk@artechhouse.com

Find us on the World Wide Web at: www.artechhouse.com

You might also like