You are on page 1of 17

DEPARTAMENTO DE ELÉCTRICA, ELECTRONICA Y

TELECOMUNICACIONES

CIRCUITOS Y SISTEMAS DIGITALES

LABORATORIO 2.1

APLICACIÓN DE HALF ADDER Y FULL ADDER CON


SUBCIRCUITOS

SEGUNDO PARCIAL

DOCENTE: ING. ZAPATA RODRIGUEZ MIROSLAVA ARACELY

NRC: 9952

NOMBRE: VEGA CASTILLO DIEGO SEBASTIAN

FECHA: 13/12/2021

SANGOLQUÍ – ECUADOR
Tema:

SUMADOR DE 2 NUMEROS DE 7 BITS USANDO HALF ADDER Y


FULL ADDER CON SUBCIRCUITOS

Objetivo General:

• Realizar el Circuito Sumador de 7 bits con Half Adder y Full Adder implementado
con subcircuitos

Objetivos Específicos:

• Comprobar el uso de las compuertas lógicas y subcircuitos para dicho circuito


• Aplicar los conocimientos adquiridos en clase
• Verificar el correcto funcionamiento del sumador usando subcircuitos

Marco Teórico

SUMADORES

• Los circuitos aritméticos posibilitan las operaciones del cálculo en la tecnología


digital y representan la base para el desarrollo de los sistemas computacionales
• En nuestro caso los circuitos digitales sumadores realizan la suma aritmética de
dos números enteros positivos, aunque se pueden desarrollar para otros formatos
de descripción numérica
• No solo existe un tipo de estructura de circuitos digitales sumadores, sino que
existen muchas diferenciándose en su tamaño y velocidad.
• Para operandos de entrada de un bit A y B existen dos estructuras, FULL ADDER
(Sumador Completo) o HALF ADDER (Semi-sumador) que no contiene acarreo
de entrada.
• Existirán dos bits a la salida de cada suma que será S(suma) y el acarreo de salida
(Cout) a partir de la tabla que se genera se puede sacar sus funciones lógicas
siendo las siguientes.
HALF ADDER

Un semi-sumador o medio sumador es un sumador capaz de sumar dos datos de un solo


bit y producir un bit de acarreo de salida como se muestra en el siguiente diagrama de
bloques.

Figura 1 Diagrama de bloques HA

La manera como realiza la suma y produce el acarreo el semi-sumador se desglosa en la


siguiente tabla de verdad.

A B S Cout
La ecuación de los mintérminos para la salida sería
0 0 0 0 0

1 0 1 1 0 𝑆 = 𝐴̅𝐵 + 𝐴𝐵̅

2 1 0 1 0
𝑆 =𝐴⊕𝐵
3 1 1 0 1

Simplificando a su mínima expresión resulta una compuerta XOR Exclusiva para la


salida y para el acarreo de salida sería una compuerta AND

𝐶𝑜𝑢𝑡 = 𝐴𝐵

A continuación, se dispone a graficar el circuito resultante con la ayuda del simulador


Proteus.

Usamos las siguientes


compuertas

AND= 74SL08
XOR EXCLUSIVA=
74LS86
FULL ADDER

El medio sumador no puede ser interconectado con otros medios sumadores para tomar
un sumador mas grande, por ello es necesario diseñar un sumador que admita otra
entrada a parte de los datos a sumar, es decir, un sumador de 3 datos de 1 bit, este se
denomina sumador completo o FULL ADDER y su diagrama de bloques es como se
muestra a continuación.

Figura 2 Diagrama de bloques FA

La manera como realiza la suma con las 3 variables incluido el acarreo de entrada y
produce el acarreo el sumador completo se desglosa en la siguiente tabla de verdad.

A B Cin S0 Cout

0 0 0 0 0 0

1 0 0 1 1 0

2 0 1 0 1 0

3 0 1 1 0 1

4 1 0 0 1 0

5 1 0 1 0 1

6 1 1 0 0 1

7 1 1 1 1 1

La ecuación de los mintérminos con la ayuda del Mapa de Karnaugh para la salida sería
Ao Bo
Cin 00 01 11 10

0 2 6 4

0 0 1 0 1
1 3 7 5

1 1 0 1 0

𝑆0 = ̅̅̅
𝐴0 ̅̅̅
𝐵0 𝐶𝑖𝑛 + ̅̅̅
𝐴0 𝐵0 ̅̅̅̅
𝐶𝑖𝑛 + 𝐴0 ̅̅̅
𝐵0 ̅̅̅̅
𝐶𝑖𝑛 + 𝐴0 𝐵0 𝐶𝑖𝑛

̅̅̅0 ̅̅̅
𝑆0 = 𝐶𝑖𝑛 (𝐴 𝐵0 + 𝐴0 𝐵0 ) + ̅̅̅̅ ̅̅̅0 𝐵0 + 𝐴0 ̅̅̅
𝐶𝑖𝑛 (𝐴 𝐵0 )

𝐴0 ⊕ 𝐵0 = ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
̅̅̅̅̅̅̅̅̅̅̅ ̅̅̅
𝐴0 𝐵0 + 𝐴0 ̅̅̅
𝐵0

̅̅̅̅̅̅̅̅̅̅̅
𝐴 ̅̅̅̅
̅̅̅ ̅̅̅ ̅̅̅ ̅̅̅̅
̅̅̅
0 ⊕ 𝐵0 = (𝐴0 + 𝐵0 )(𝐴0 + 𝐵0 )

̅̅̅̅̅̅̅̅̅̅̅
𝐴 ̅̅̅ ̅̅̅
0 ⊕ 𝐵0 = (𝐴0 + 𝐵0 )(𝐴0 + 𝐵0 )

̅̅̅̅̅̅̅̅̅̅̅
𝐴0 ⊕ 𝐵0 = 𝐴0 ̅̅̅
𝐴0 + 𝐴0 𝐵0 + ̅̅̅
𝐴0 ̅̅̅
𝐵0 + ̅̅̅
𝐵0 𝐵0

= 𝐴0 𝐵0 + ̅̅̅
𝐴0 ̅̅̅
𝐵0

̅̅̅̅̅̅̅̅̅̅̅
𝑆0 = 𝐶𝑖𝑛 (𝐴 ̅̅̅̅
0 ⊕ 𝐵0 ) + 𝐶𝑖𝑛 (𝐴0 ⊕ 𝐵0 )

𝑆0 = 𝐶𝑖𝑛 ⊕ (𝐴0 ⊕ 𝐵0 )

𝑺𝟎 = 𝑨𝟎 ⊕ 𝑩𝟎 ⊕ 𝑪𝒊𝒏

La ecuación de los mintérminos con la ayuda del Mapa de Karnaugh para el acarreo de
salida sería
Ao Bo
Cin 00 01 11 10

0 2 6 4

0 0 0 1 0
1 3 7 5

1 0 1 1 1

𝐶𝑜𝑢𝑡 = ̅̅̅
𝐴0 𝐵0 𝐶𝑖𝑛 + 𝐴0 ̅̅̅
𝐵0 𝐶𝑖𝑛 + 𝐴0 𝐵0

̅̅̅0 𝐵0 + 𝐴0 ̅̅̅
𝐶𝑜𝑢𝑡 = 𝐶𝑖𝑛 (𝐴 𝐵0 ) + 𝐴0 𝐵0

𝑪𝒐𝒖𝒕 = 𝑪𝒊𝒏 (𝑨𝟎 ⊕ 𝑩𝟎 ) + 𝑨𝟎 𝑩𝟎

Se podría agrupar de la manera tradicional (encerrando más unos) pero se agrupa de esta
manera para reutilizar la compuerta XOR Exclusiva para poder realizar correctamente la
suma binaria.

A continuación, se dispone a graficar el circuito resultante con la ayuda del simulador


Proteus

Usamos las siguientes compuertas

AND= 74SL08
XOR EXCLUSIVA= 74LS86
SUBCIRCUITOS

Los Subcircuitos se usan para dar más claridad a un esquema. Es muy útil en circuitos
muy grandes o en circuitos en los que aparece un mismo bloque de componentes varias
veces.
Los pasos que debemos realizar para la creación de subcircuitos son los siguientes:

1. Abrimos el software Proteus y nos dirigimos a la parte izquierda donde dice


modo subcircuito

2. Al seleccionar ese modo procedemos a realizar un cuadrado donde va a estar


nuestro circuito, podemos editar el nombre que nosotros deseemos.

3. Colocamos las entradas y salidas del subcircuito.


4. En la cuadrado que realizamos hacemos clic derecho y nos dirigimos a la
siguiente sección.

5. Al dirigirnos a esa sección encontraremos un espacio de trabajo totalmente vacío


en el cual realizaremos el circuito que deseemos, en este caso un HALF ADDER

La diferencia a los circuitos que hemos elaborado es que debemos poner


terminales de input y output donde correspondan y con el mismo nombre que se
designó en el cuadrado que en teoría viene siendo la carpeta padre.
6. Para regresar debemos hacer clic izquierdo en el espacio de trabajo e ir a la
siguiente sección.

7. A continuación, procedemos a verificar su funcionamiento realizando un circuito


idéntico al que hicimos en la carpeta hija de nuestro circuito (cuadrado).

HALF ADDER
Como podemos observar realizan las mismas funciones así que procedemos a realizar
nuestro circuito FULL ADDER siguiendo los mismos pasos que hicimos para desarrollar
el circuito HALF ADDER, pero en este caso tendrá 3 entradas.

A continuación, procedemos a verificar su funcionamiento realizando un circuito idéntico


al que hicimos en la carpeta hija de nuestro circuito (cuadrado).

FULL ADDER

Como podemos observar realizan las mismas funciones.


CIRCUITO SUMADOR DE 7 BITS

Al verificar el funcionamiento de los circuitos sumadores tanto el FULL ADDER como


el HALF ADDER procedemos a implementar en un circuito sumador de 7 bits, con la
ayuda de los subcircuitos podemos hacer de una manera mas compacta y ordenada dicho
sumador.

En este caso usamos LOGICSTATE para introducir los números en binario que se van a
sumar y LOGICPROBE para obtener el resultado de dicha suma.

Existen 2 formas de realizar este sumador, podemos hacerlo con un HA al principio y el


resto FA o solamente con FA.

SUMADOR HA-FA

Usamos subcircuitos para mejorar la estética de nuestro sumador ya que si lo hacemos de


la forma convencional sería un poco tedioso la comprensión del mismo, en cada caja está
el circuito que desempeña la función de HALF ADDER y FULL ADDER.
La característica de este circuito es que el semi-sumador (HA al principio del circuito) no tiene acarreo de entrada.

COMPROBACION

Si sumamos los números binarios

7 6 5 4 3 2 1 0

0 1 1 1 0 1 1 A
+ 1 0 1 1 0 1 1 B

S
1 0 0 1 0 1 1 0

Al realizar la suma manualmente comprobamos que obtenemos el mismo resultado tanto teórico como practico, en este caso debemos poner los
dígitos desde el menos significativo al más significativo (en el circuito de arriba hacia abajo)
Tomando el principio de los subcircuitos podemos hacer una sola caja en donde estaría el sumador completo HA-FA en este caso de 7 bits

Si sumamos los números binarios

7 6 5 4 3 2 1 0

0 1 1 1 0 1 1 A
+ 1 0 1 1 0 1 1 B

S
1 0 0 1 0 1 1 0

Al realizar la suma manualmente comprobamos que obtenemos el mismo resultado, en este caso debemos poner los dígitos desde el menos
significativo al más significativo (en el circuito de arriba hacia abajo)
SUMADOR FA

Usamos subcircuitos para mejorar la estética de nuestro sumador ya que si lo hacemos de


la forma convencional sería un poco tedioso la comprensión del mismo, en cada caja está
el circuito que desempeña la función de FULL ADDER.

La característica de este circuito es que tiene acarreo de entrada tanto al principio como
al final del circuito.

Para su correcto funcionamiento se debe conectar a tierra el acarreo de entrada en el


inicio del circuito para que dicho acarreo sea 0 como observamos en la figura.

Al igual que en circuito HA usamos los LOGICSTATE y LOGICPROBE tanto en


entradas como en las salidas para comprobar su funcionamiento.
COMPROBACION

Si sumamos los números binarios

7 6 5 4 3 2 1 0

1 1 1 1 1 1 1 A
+ 1 1 1 1 1 1 1 B

S
1 1 1 1 1 1 1 0

Al realizar la suma manualmente comprobamos que obtenemos el mismo resultado tanto teórico como practico, en este caso debemos poner los
dígitos desde el menos significativo al más significativo (en el circuito de arriba hacia abajo)
Tomando el principio de los subcircuitos podemos hacer una sola caja en donde estaría el sumador completo HA-FA en este caso de 7 bits

Si sumamos los números binarios

7 6 5 4 3 2 1 0

1 1 1 1 1 1 1 A
+ 1 1 1 1 1 1 1 B

S
1 1 1 1 1 1 1 0

Al realizar la suma manualmente comprobamos que obtenemos el mismo resultado en este caso debemos poner los dígitos desde el menos
significativo al más significativo (en el circuito de arriba hacia abajo)
RECOMENDACIONES

• Verificar que los terminales de los subcircuitos realizados sean los mismos que en
la caja creada.
• Verificar que las cajas creadas no tengan el mismo nombre o serie ya que puede
ocasionar errores al momento de la ejecución del programa
• Evitar el exceso de cableado al momento de conectar las cajas que contiene los
respectivos circuitos con la ayuda de terminales o un bus de datos para la mayor
comprensión del circuito completo.

CONCLUSIONES

• Se comprobó el uso correcto de subcircuitos para el uso adecuado del espacio de


trabajo y comprensión del circuito completo
• Se verificó que todos los terminales del circuito estén conectados y con su
correspondiente nomenclatura.
• Se comprobó el correcto funcionamiento de los sumadores en las dos
configuraciones con la ayuda de los conocimientos obtenidos sobre suma binaria.

WEBGRAFIA

https://personales.unican.es/manzanom/Planantiguo/EDigitalI/Sum_G5_08.pdf

https://www2.uned.es/ca-
bergara/ppropias/Morillo/web_ing_compu/4_alu/transp_alu.pdf

http://profesores.sanvalero.net/~arnadillo/Documentos/Apuntes/Analogica/UD0_Si
mulacion%20circuitos%20electronicos/8_Creacion%20subcircuitos.pdf

You might also like