You are on page 1of 1

IF Etape12 OR Etape13 THEN

EtapeOu := TRUE;
ELSE
EtapeOu := FALSE;
END_IF;

IF EtapeOu AND Condition THEN


EtapeSuivante := TRUE;
ELSE
EtapeSuivante := FALSE;
END_IF;

You might also like