You are on page 1of 149

Computer Architecture

Faculty of Computer Science & Engineering - HCMUT

Chapter 4: The Processor


Binh Tran-Thanh
thanhbinh@hcmut.edu.vn
This chapter contents
▪ The basic units in the CPU
▪ Functions of the major components in the CPU
▪ Instruction execution at hardware level
▪ Performance and trace off among CPUs
This chapter outcomes
Students who complete this course will be
able to:
▪ Explain the structure of a computer system
and deeply understand how it works at the
hardware level.

8/19/2021 Faculty of Computer Science and Engineering 3


Introduction
▪ CPU performance factors
▪ Instruction count
▪ Determined by ISA and compiler
▪ CPI and Cycle time
▪ Determined by CPU hardware
▪ We will examine two MIPS implementations
▪ A simplified version
▪ A more realistic pipelined version
▪ Simple subset, shows most aspects
▪ Memory reference: lw, sw
▪ Arithmetic/logical: add, sub, and, or, slt
▪ Control transfer: beq, j

8/19/2021 Faculty of Computer Science and Engineering 4


The simplified processor.
0
M
Add u
x
ALU 1
4 Addresult
Shift
RegDst left 2
Branch
MemRead
Instruction [31–26] MemtoReg
Control
ALUOp
MemWrite
ALUSrc
RegWrite
Instruction [25–21] Read
Read register 1
pc address Read
Instruction [20–16] data 1
Read Zero
Instruction 0 register 2
[31–0] M Write Read
ALU ALU Address Read 1
0
Instruction u Register data 2 M result data M
Instruction [15–11] x u
u
memory 1 Write x Data x
data Register 1 memor 0
s Writey
data
16
Instruction [15–0] Sign 32 ALU
extend control

Instruction [5–0]

8/19/2021 Faculty of Computer Science and Engineering 5


MIPS Instruction Execution Cycle
1. Instruction Fetch:
▪ Get instruction from memory
Instruction
▪ Address is in Program Counter (PC)
Fetch Register
Instruction 2. Instruction Decode:
Next Instruction

Decode ▪ Find out the operation required and


control signals
Execution ▪ Get operand(s) needed for operation
Memory 3. Execution:
▪ Perform the required operation
Write 4. Memory:
Back ▪ Access memory (load/store)
5. Write Back:
▪ Store the result of the operation
8/19/2021 Faculty of Computer Science and Engineering 6
Instruction Execution
▪ PC → instruction memory, fetch instruction
▪ Register numbers → register file, read registers
▪ Depending on instruction class
▪ Use ALU to calculate
▪ Arithmetic result
▪ Memory address for load/store
▪ Branch target address
▪ Access data memory for load/store
▪ PC  target address or PC + 4
8/19/2021 Faculty of Computer Science and Engineering 7
CPU Overview
4

Add Add

Data

Register #
PC Address Instruction Registers ALU Address
Register #
Data
Instruction
memory
memory Register #

Data

8/19/2021 Faculty of Computer Science and Engineering 8


Multiplexers ▪ Can’t just join
wires together
4 ▪ Use
Add Add multiplexers

Data

Register #
PC Address Instruction Registers ALU Address
Register #
Data
Instruction
memory
memory Register #

Data

8/19/2021 Faculty of Computer Science and Engineering 9


Control Branch

M
u
x

Add Add M
u
x
ALU operation
Data
MemWrite
Register #
PC Address Instruction Registers ALU Address
Register # M Zero
u Data
Instruction
x memory
memory Register # RegWrite
Data
MemRead

Control

8/19/2021 Faculty of Computer Science and Engineering 10


Logic Design Basics
▪ Information encoded in binary
▪ Low voltage = 0, High voltage = 1
▪ One wire per bit
▪ Multi-bit data encoded on multi-wire buses
▪ Combinational element
▪ Operate on data
▪ Output is a function of input
▪ State (sequential) elements
▪ Store information

8/19/2021 Faculty of Computer Science and Engineering 11


Combinational Elements
▪ AND-gate ▪ Adder
▪ Y = A & B ▪Y = A + B
A
A Y
Y +
B
B

▪ Multiplexer ▪ Arithmetic/Logic Unit


▪ Y = S ? I1: I0 ▪ Y = F(A, B)
A
I0 M
u Y ALU Y
I1 x
B
S F
8/19/2021 Faculty of Computer Science and Engineering 12
Sequential Elements
▪ Register: stores data in a circuit
▪ Uses a clock signal to determine when to update
the stored value
▪ Edge-triggered: update when Clk changes from 0
to 1
Clk
D Q
D AAAA BBBB CCCC
Clk
Q AAAA BBBB

8/19/2021 Faculty of Computer Science and Engineering 13


Sequential Elements
▪ Register with write control
▪ Only updates on clock edge when write control
input is 1
▪ Used when stored value is required later
Clk

D Q Write

Write D AAAA BBBB CCCC


Clk
Q AAAA

8/19/2021 Faculty of Computer Science and Engineering 14


Clocking Methodology
▪ Combinational logic transforms data during
clock cycles
▪ Between clock edges
▪ Input from state elements, output to state element
▪ Longest delay determines clock period
State State
Combinational State Combinational
Element Element
logic Element logic
1 2

Clock cycle

8/19/2021 Faculty of Computer Science and Engineering 15


Building a Datapath
▪ Datapath
▪ Elements that process data and addresses in
the CPU
▪ Registers, ALUs, mux’s, memories, …
▪ We will build a MIPS data-path
incrementally
▪ Refining the overview design

8/19/2021 Faculty of Computer Science and Engineering 16


Instruction type (review)
op rs rt rd shamt funct
6 bits 5 bits 5 bits 5 bits 5 bits 6 bits

op rs rt constant or address
6 bits 5 bits 5 bits 16 bits

op address
6 bits 26 bits
▪ Note:
▪ All MIPS instructions are 32-bit wise
▪ All MIPS instructions contain 6-bit OP
(most significant)
8/19/2021 Faculty of Computer Science and Engineering 17
Instruction Fetch

Add

Read Increment by
PC
address 4 for next
instruction
Instruction

32-bit Instruction Memory


register memory which stores
program
instructions
8/19/2021 Faculty of Computer Science and Engineering 18
Instruction Fetch (cont.)

Add

4
add $t1, $s0, $t0
lbu $t2, 0($t1) Increment by
PC add $t3, $s0, $a0
sb $t2, 0($t3)
4 for next
beq $t2, $zero, exit instruction
addi $s0, $s0, 1
j loop
...

32-bit Instruction Memory


register memory which stores
program
instructions
8/19/2021 Faculty of Computer Science and Engineering 19
R-Format Instructions
Collection of 32
▪ Read two register operands registers, aka
▪ Perform arithmetic/logical operation register file
▪ Write register result
5 Read ALU operation
4
register 1 Read
Register 5 Read data 1
numbers register 2 Zero
Data ALU ALU
5 Write Registers
result
register Read
Write data 2
Data
Data
RegWrite

a. Registers b. ALU

8/19/2021 Faculty of Computer Science and Engineering 20


R-Format Instructions (example)
add $S0, $a0, $t0
R-type 000000 a0=4 t0=8 s0=16 00000 10000
31:26 25:21 20:16 15:11 10:6 5:0

4 5 Read ALU operation


4
register 1 Read content of
8 5 Read data 1 register $a0
register 2 Zero
16 5 Registers ALU ALU
Write
result
register($s0) Read content of
Write data 2 register $t0
Data
RegWrite

8/19/2021 Faculty of Computer Science and Engineering 21


Your turn
▪ Assume: $4 = 104, $5 = 105, … , $31 = 131
▪ What is the value of read data 1 if we assign 6 to read register 1?
▪ What is the value of read data 2 if read register 2 = 12?
▪ If write register = 10 and Write data = 12
▪ Which register is written and 5 Read
▪ What value is that (in case RegWrite = 0/1)? register 1 Read
5 Read data 1
register 2
5 Write Registers
register Read
Write data 2
Data

8/19/2021 Faculty of Computer Science and Engineering RegWrite 22


Load/Store Instructions
▪ Read register operands
▪ Calculate address using 16-bit offset
▪ Use ALU, but sign-extend offset
▪ Load: Read memory and update register
▪ Store: Write register value to memory
MemWrite

Read
Address
data
16 32
Sign-
Data extend
Write memory
data

MemRead
a. Data memory unit b. Sign extension unit
8/19/2021 Faculty of Computer Science and Engineering 23
Branch Instructions
▪ Read register operands
▪ Compare operands
▪ Use ALU, subtract and check Zero output
▪ Calculate target address
▪ Sign-extend displacement
▪ Shift left 2 places (word displacement)
▪ Add to PC + 4
▪ Already calculated by instruction fetch

8/19/2021 Faculty of Computer Science and Engineering 24


Branch Instructions
Just PC + 4 from instruction Datapath
re-routes Branch
Add Sum
wires target
Shift
left 2
Read 4 ALU operation
Instruction register 1 Read
Read data 1
register 2 To branch
Registers ALU Zero control logic
Write
register Read
Write data 2
data
RegWrite

16 Sign- 32
extend
Sign-bit wire
replicated
8/19/2021 Faculty of Computer Science and Engineering 25
Composing the Elements
▪ First-cut data path does an instruction in
one clock cycle
▪ Each datapath element can only do one
function at a time
▪ Hence, we need separate instruction and data
memories
▪ Use multiplexers where alternate data
sources are used for different instructions
8/19/2021 Faculty of Computer Science and Engineering 26
R-Type/Load/Store Datapath
▪ add $S0, $a0, $t0
Read ALU operation
register 1 4
Read MemWrite
data 1
Read MemtoReg
register 2 Zero
Instruction ALUSrc
Registers Read ALU ALU Read
Write 0 Address 1
data 2 result data M
register M
u u
x x
Write 1 0
data Data
Write memory
RegWrite data

16 32 MemRead
Sign-
extend

8/19/2021 Faculty of Computer Science and Engineering 27


R-Type/Load/Store Datapath
▪ lw $S0, 4($a0)
Read ALU operation
register 1 4
Read MemWrite
data 1
Read MemtoReg
register 2 Zero
Instruction ALUSrc
Registers Read ALU ALU Read
Write 0 Address 1
data 2 result data M
register M
u u
x x
Write 1 0
data Data
Write memory
RegWrite data

16 32 MemRead
Sign-
extend

8/19/2021 Faculty of Computer Science and Engineering 28


R-Type/Load/Store Datapath
▪ sw $S0, 4($a0)
Read ALU operation
register 1 4
Read MemWrite
data 1
Read MemtoReg
register 2 Zero
Instruction ALUSrc
Registers Read ALU ALU Read
Write 0 Address 1
data 2 result data M
register M
u u
x x
Write 1 0
data Data
Write memory
RegWrite data

16 32 MemRead
Sign-
extend

8/19/2021 Faculty of Computer Science and Engineering 29


Full Datapath
PCSrc

M
Add u
x
ALU
4 Add result
Shift
left 2

Read
PC
Read register 1
ALUSrc 4 ALU operation
address Read MemWrite
Read data 1
Zero MemtoReg
register 2
Instruction Registers Read ALU ALU Read
Write Address
Instruction data 2 M result data M
register u
memory u
x x
Write
data
Write Data
RegWrite data memory

16 32 MemRead
Sign-
extend

8/19/2021 Faculty of Computer Science and Engineering 30


ALU Control
▪ ALU used for ALU control Function
0000 AND
▪ Load/Store: F = add
0001 OR
▪ Branch: F = subtract 0010 add
▪ R-type: F depends 0110 subtract
on funct field 0111 set-on-less-than
1100 NOR

8/19/2021 Faculty of Computer Science and Engineering 31


Closer look at a 1-bit ALU
Ainvert Operation
ALU control Binvert CarryIn
Function
Ainvert Binvert Operation a
0 0
0 0 00 AND 1

0 0 01 OR 1

Result
0 0 10 ADD b
0 + 2

0 1 10 SUB 1

0 1 11 SLT CarryOut

1 1 00 NOR
Without SLT implementation

8/19/2021 Faculty of Computer Science and Engineering 32


Closer look at a 1-bit ALU
Ainvert Operation
ALU control Binvert CarryIn
Function
Ainvert Binvert Operation a
0 0
0 0 00 AND 1

0 0 01 OR 1

Result
0 0 10 ADD b
0 + 2

0 1 10 SUB 1
Less 3
0 1 11 SLT
Set
1 1 00 NOR Overflow
detection Overflow

With SLT implementation


1-bit ALU [0] 1-bit ALU [31]

8/19/2021 Faculty of Computer Science and Engineering 33


ALU Control
▪ Assume 2-bit ALUOp derived from opcode
▪ Combinational logic derives ALU control
opcode ALUOp Operation funct ALU function ALU control
lw 00 load word XXXXXX add 0010
sw 00 store word XXXXXX add 0010
beq 01 branch equal XXXXXX subtract 0110
R-type 10 add 100000 add 0010
subtract 100010 subtract 0110
AND 100100 AND 0000
OR 100101 OR 0001
set-on-less-than 101010 set-on-less-than 0111
8/19/2021 Faculty of Computer Science and Engineering 34
The Main Control Unit
▪ Control signals derived from instruction
R-type op rs rt rd shamt funct
31:26 25:21 20:16 15:11 10:6 5:0
Load/ 35 or 43
Store
rs rt address
31:26 25:21 20:16 15:0
Branch
4 rs rt address
31:26 25:21 20:16 15:0

opcode always read, write for R- sign-extend


read except for type and and add
load load
8/19/2021 Faculty of Computer Science and Engineering 35
Datapath With Control
0
M
Add u
x
ALU 1
4 Add result
Shift
RegDst left 2
Branch
MemRead
Instruction [31–26] MemtoReg
Control ALUOp
MemWrite
ALUSrc
RegWrite
Instruction [25–21] Read
Read address register 1
pc Read
Instruction [20–16]
Read data 1
Instruction register 2 Zero
[31–0] 0 ALU ALU Address Read
M Write Read 1
Register data 2 0 result
Instruction u M data M
Instruction [15–11] x u
u
memory 1 Write
data x Data x
Registers 1 memory
Write
0
data

16 32
Instruction [15–0] Sign ALU
extend control

8/19/2021 Instruction [5–0] 36


R-Type Instruction
0
M
Add u
x
ALU 1
4 Add
result
Shift
RegDst left 2
Branch
MemRead
Instruction [31–26] MemtoReg
Control ALUOp
MemWrite
ALUSrc
RegWrite
Instruction [25–21] Read
pc Read address register 1
Read
Instruction [20–16]
Read data 1
Instruction register 2 Zero
[31–0] 0 ALU ALU Address Read
M Write Read 1
Register data 2 0 result
Instruction u M data M
Instruction [15–11] x u
memory Write u Data
1 x memory x
data 0
1
Registers Write
data

16
Instruction [15–0] Sign 32
extend ALU
control

8/19/2021 Instruction [5–0] 37


Load Instruction
0
M
Add u
x
ALU 1
4 Add
result
Shift
RegDst left 2
Branch
MemRead
Instruction [31–26] MemtoReg
Control ALUOp
MemWrite
ALUSrc
RegWrite
Instruction [25–21] Read
pc Read address register 1
Read
Instruction [20–16]
Read data 1
Instruction register 2 Zero
[31–0] 0 ALU ALU Address Read
M Write Read 1
Register data 2 0 result
Instruction u M data M
Instruction [15–11] x u
memory Write u Data
1 x memory x
data 0
1
Registers Write
data

16
Instruction [15–0] Sign 32
extend ALU
control

8/19/2021 Instruction [5–0] 38


Branch-on-Equal Instruction
0
M
Add u
x
ALU 1
4 Add
result
Shift
RegDst left 2
Branch
MemRead
Instruction [31–26] MemtoReg
Control ALUOp
MemWrite
ALUSrc
RegWrite
Instruction [25–21] Read
pc Read address register 1
Read
Instruction [20–16]
Read data 1
Instruction register 2 Zero
[31–0] 0 ALU ALU Address Read
M Write Read 1
Register data 2 0 result
Instruction u M data M
Instruction [15–11] x u
memory Write u Data
1 x memory x
data 0
1
Registers Write
data

16
Instruction [15–0] Sign 32
extend ALU
control

8/19/2021 Instruction [5–0] 39


Exercise
▪ What are the values of control signal of
following instructions?
bne $s1, $s2, exit
sw $s1, 4($a0)

8/19/2021 Faculty of Computer Science and Engineering 40


Implementing Jumps
Jump 2 address
31:26 25:0

▪ Jump uses word address


▪ Update PC with concatenation of
▪ Top 4 bits of old PC
▪ 26-bit jump address
▪ 00
▪ Need an extra control signal decoded from
opcode
8/19/2021 Faculty of Computer Science and Engineering 41
Datapath With Jumps Added Instruction [25–0]
Shift Jump address [31-0]
left 2
26 28 PC + 4 [31-28] 0 1
M M
Add u u
x x
1 0
4 Add ALU
result
RegDst Shift
left 2
Jump
Branch
MemRead
Instruction [31–26]
Control MemtoReg
ALUOp
MemWrite
ALUSrc
RegWrite
Instruction [25–21]
pc Read
Read register 1 Read
address data 1
Instruction [20–16]
Instruction Read Zero
0 register 2
[31–0] M Read ALU ALU Address Read
data 2 0 1
Instruction u Write M result data M
Instruction [15–11] x Register
memory u u
1 x Data x
Write memory
data Registers 1 0
Write
data
Instruction [15–0] 16 Sign 32
extend ALU
control

Instruction [5–0]
8/19/2021 42
Performance Issues
▪ Longest delay determines clock period
▪ Critical path: load instruction
▪ Instruction memory → register file → ALU → data
memory → MUX.
▪ Not feasible to vary period for different
instructions
▪ Violates design principle
▪ Making the common case fast
▪ We will improve performance by pipelining
8/19/2021 Faculty of Computer Science and Engineering 43
Your turn
▪ What is critical path of following
instructions:
bne, sw

8/19/2021 Faculty of Computer Science and Engineering 44


Pipelining Analogy
▪ Pipelined laundry: overlapping execution
▪ Parallelism improves performance
▪ Four loads:
▪ Speedup = 8/3.5 = 2.3

▪ Non-stop:
▪ Speedup
= 2n/(0.5n + 1.5) ≈ 4
= number of stages
8/19/2021 Faculty of Computer Science and Engineering 45
MIPS Pipeline
▪ Five stages, one step per stage
▪ IF: Instruction fetch from memory
▪ ID: Instruction decode & register read
▪ EX: Execute operation or calculate address
▪ MEM: Access memory operand
▪ WB: Write result back to register

8/19/2021 Faculty of Computer Science and Engineering 46


Pipeline Performance
▪ Assume time for stages is
▪ 100ps for register read or write
▪ 200ps for other stages
▪ Compare pipelined datapath with single-cycle datapath
Instr Register Memory Register Total
Instr ALU op
fetch read access write time
lw 200ps 100 ps 200ps 200ps 100 ps 800ps
sw 200ps 100 ps 200ps 200ps 700ps
R-format 200ps 100 ps 200ps 100 ps 600ps
beq 200ps 100 ps 200ps 500ps
8/19/2021 Faculty of Computer Science and Engineering 47
Pipeline Performance
Single-cycle (Tc= 800ps)
Program
execution 200 400 600 800 1000 1200 1400 1600 1800
order Time
( in instructions
Instruction Data
lw $1, 100($0) Reg ALU Reg
fetch access

Instruction Data
lw $2, 200($0) 800 ps fetch
Reg ALU
access
Reg

lw $3, 300($0) Instruction


800 ps fetch

800 ps

Pipelined (Tc= 200ps)


Program
execution
order 200 400 600 800 1000 1200 1400
Time
( in instructions
Instruction Data
Reg ALU Reg
lw $1, 100($0) fetch access

Instruction Data
lw $2, 200($0) 200 ps Reg ALU Reg
fetch access

lw $3, 300($0) Instruction Data


200 ps Reg ALU Reg
fetch access

200 ps 200 ps 200 ps 200 ps 200 ps

8/19/2021 Faculty of Computer Science and Engineering 48


Pipeline Speedup
▪ If all stages are balanced
▪ i.e., all take the same time
▪ Time between instructions pipelined
= Time between instructions nonpipelined
Number of stages
▪ If not balanced, speedup is less
▪ Speedup due to increased throughput
▪ Latency (time for each instruction) does not
decrease
▪ What is the value of pipeline CPI?
8/19/2021 Faculty of Computer Science and Engineering 49
Pipelining and ISA Design
▪ MIPS ISA designed for pipelining
▪ All instructions are 32-bits
▪ Easier to fetch and decode in one cycle
▪ c.f. x86: 1- to 15-byte instructions
▪ Few and regular instruction formats
▪ Can decode and read registers in one step
▪ Load/store addressing
▪ Can calculate address in 3rd stage, access memory in 4th
stage
▪ Alignment of memory operands
▪ Memory access takes only one cycle

8/19/2021 Faculty of Computer Science and Engineering 50


Hazards
▪ Situations that prevent starting the next instruction
in the next cycle
▪ Structure hazards
▪ A required resource is busy
▪ Data hazard
▪ Need to wait for previous instruction to complete its
data read/write
▪ Control hazard
▪ Deciding on control action depends on previous
instruction

8/19/2021 Faculty of Computer Science and Engineering 51


Structure Hazards
▪ Assume Instruction Memory and Data Memory are in the same
single memory Memory
conflict
IM REG ALU DM REG

IM REG ALU DM REG

IM REG ALU DM REG

IM REG ALU DM REG

8/19/2021 Faculty of Computer Science and Engineering 52


Structure Hazards
▪ Conflict for use of a resource
▪ In MIPS pipeline with a single memory
▪ Load/store requires data access
▪ Instruction fetch would have to stall for that cycle
▪ Would cause a pipeline “bubble”
▪ Hence, pipelined datapaths require separate
instruction/data memories
▪ Or separate instruction/data caches

8/19/2021 Faculty of Computer Science and Engineering 53


Data Hazards
▪ An instruction depends on completion of data access
by a previous instruction
add $s0, $t0, $t1
sub $t2, $s0, $t3
200 400 600 800 1000 1200 1400 1600
Time

add $s0, $t0, $t1 IF ID EX MEM WB Updated new $s0

sub $t2 $s0, $t3 IF ID EX MEM WB

Decode old $s0


(wrong)
8/19/2021 Faculty of Computer Science and Engineering 54
Data Hazards (bubble, stall, delay)
▪ An instruction depends on completion of data access
by a previous instruction
add $s0, $t0, $t1
sub $t2, $s0, $t3
200 400 600 800 1000 1200 1400 1600
Time

add $s0, $t0, $t1 IF ID EX MEM WB

bubble bubble bubble bubble bubble

bubble bubble bubble bubble bubble

sub $t2 $s0, $t3 IF ID EX MEM WB


8/19/2021 Faculty of Computer Science and Engineering 55
Forwarding (aka Bypassing)
▪ Use result when it is computed
▪ Don’t wait for it to be stored in a register
▪ Requires extra connections in the datapath
Program
execution 200 400 600 800 1000
order Time
(in instructions)
add $s0, $t0, $t1 IF ID EX MEM WB

sub $t2, $s0, $t3 IF ID EX MEM WB

8/19/2021 Faculty of Computer Science and Engineering 56


Pipeline visualization
Time (in clock cycles)
CC 1 CC 2 CC 3 CC 4 CC 5 CC 6 CC 7 CC 8 CC 9

IM REG ALU DM REG


Instruction 1

DM
Instruction 2 IM REG ALU REG

Instruction 3 IM REG ALU DM REG

Instruction 4 IM REG ALU DM REG

Instruction 5 IM REG ALU DM REG

8/19/2021 Faculty of Computer Science and Engineering 57


How many stalls?
▪ Example 1
lw $2, $1, $3
and $12, $2, $5
or $13, $6, $2
add $14, $12, $2
sw $14, 100($2)
▪ Example 2
addi $2, $0, 10
Loop: addi $2, $2, -1
bne $2, $0, Loop

8/19/2021 Faculty of Computer Science and Engineering 58


Load-Use Data Hazard
▪ Can’t always avoid stalls by forwarding
▪ If value not computed when needed
▪ Can’t forward backward in time!
Program
execution
200 400 600 800 1000 1200 1400
order Time
(in instructions)
lw $s0, 20($t1) IF ID EX MEM WB

bubble bubble bubble bubble bubble

sub $t2, $s0, $t3 IF ID EX MEM WB

8/19/2021 Faculty of Computer Science and Engineering 59


Code Scheduling to Avoid Stalls

▪ Reorder code to avoid use of load result in


the next instruction
▪ C code for A = B + E; C = B + F;
lw $t1, 0($t0) lw $t1, 0($t0)
lw $t2, 4($t0) lw $t2, 4($t0)
stall
add $t3, $t1, $t2 lw $t4, 8($t0)
sw $t3, 12($t0) add $t3, $t1, $t2
lw $t4, 8($t0) sw $t3, 12($t0)
stall add $t5, $t1, $t4 add $t5, $t1, $t4
sw $t5, 16($t0) sw $t5, 16($t0)
8/19/2021 13 cycles 11 cycles 60
How many stalls? And …
lw $10, 20($1)
bne $10, $9, else
sub $11, $2, $3
add $12, $11, $4
j exit
else: lw $13, 24($12)
add $14, $5, $12
exit:
8/19/2021 Faculty of Computer Science and Engineering 61
Control Hazards
▪ Branch determines flow of control
▪ Fetching next instruction depends on branch
outcome
▪ Pipeline can’t always fetch correct instruction
▪ Still working on ID stage of branch
▪ In MIPS pipeline
▪ Need to compare registers and compute target
early in the pipeline
▪ Add hardware to do it in ID stage
8/19/2021 Faculty of Computer Science and Engineering 62
Stall on Branch
▪ Wait until branch outcome determined
before fetching next instruction
Program
execution 200 400 600 800 1000 1200 1400
Time
order
( in instructions )
Instruction Data
add $4, $5, $6 fetch
Reg ALU
access
Reg

Instruction Data
beq $1, $2, 40 fetch
Reg ALU
access
Reg
200 ps

bubble bubble bubble bubble bubble

or $7, $8, $9 Instruction


Reg ALU
Data
Reg
400 ps fetch access

8/19/2021 Faculty of Computer Science and Engineering 63


Branch Prediction
▪ Longer pipelines can’t readily determine branch
outcome early
▪ Stall penalty becomes unacceptable
▪ Predict outcome of branch
▪ Only stall if prediction is wrong
▪ In MIPS pipeline
▪ Can predict branches not taken
▪ Fetch instruction after branch, with no delay

8/19/2021 Faculty of Computer Science and Engineering 64


MIPS with Predict Not Taken
Program
execution
200 400 600 800 1000 1200 1400
order Time
(in instructions )
Instruction Data
Prediction add $4, $5, $6 fetch
Reg ALU
access
Reg

correct beq $1, $2, 40


Instruction
Reg ALU
Data
Reg
200 ps fetch access
Instruction Data
lw $3, 300($0) Reg ALU Reg
200 ps fetch access

Program
execution
200 400 600 800 1000 1200 1400
order Time
(in instructions )
Instruction Data
add $4, $5, $6 fetch
Reg ALU
access
Reg
Prediction Instruction Data
beq $1, $2, 40 Reg ALU Reg
incorrect 200 ps fetch access

bubble bubble bubble bubble bubble

or $7, $8, $9 Instruction Data


Reg ALU Reg
400 ps fetch access

8/19/2021 Faculty of Computer Science and Engineering 65


More-Realistic Branch Prediction
▪ Static branch prediction
▪ Based on typical branch behavior
▪ Example: loop and if-statement branches
▪ Predict backward branches taken
▪ Predict forward branches not taken
▪ Dynamic branch prediction
▪ Hardware measures actual branch behavior
▪ e.g., record recent history of each branch
▪ Assume future behavior will continue the trend
▪ When wrong, stall while re-fetching, and update history
8/19/2021 Faculty of Computer Science and Engineering 66
Pipeline Summary
▪ Pipelining improves performance by increasing
instruction throughput
▪ Executes multiple instructions in parallel
▪ Each instruction has the same latency
▪ Subject to hazards
▪ Structure, data, control
▪ Instruction set design affects complexity of
pipeline implementation

8/19/2021 Faculty of Computer Science and Engineering 67


Single clock cycle vs Pipeline vs
Multiple clock cycle
time 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

Lw IF ID E M WB
Sw IF ID E M WB
add IF ID M E WB
Single clock cycle: 3 cycles, cycle time = 5 secs
Lw IF ID E M WB
Sw IF ID E M
add IF ID E WB
Multi clock cycle: 5 + 4 + 4 = 13 cycles, cycle time = 1 secs
Lw IF ID E M WB
Sw IF ID E M WB
add IF ID E M WB
8/19/2021 Pipeline : 7 cycles, cycles time = 1 secs 68
Multiple clock cycle
Instruction #cycles
Load 5 IF ID EXE MEM WB
Store 4 IF ID EXE MEM
Branch 3 IF ID EXE
Arithmetic/logical 4 IF ID EXE WB
Jump 2 IF ID

8/19/2021 Faculty of Computer Science and Engineering 69


MIPS Pipelined Datapath IF: Instruction fetch ID: Instruction decode/
register file read
EX: Execute/
address calculation
MEM: Memory access WB: Write back

Add

4 Add
ADD
result
Shift
left 2

0
Read Read
M register 1
Address data 1
u PC Zero
x Read ALU ALU
1 register 2 Address
Instruction result Read
Registers 0 1
data
MEM Write Read
M
u
Data M
Instruction register data 2 memory u
memory x x
Write 1
data 0
Write
Right-to-left data

flow leads to WB 16 32
Sign-
hazards extend

8/19/2021 Faculty of Computer Science and Engineering 70


Pipeline registers
▪ Need registers between stages
▪ To hold information produced in previous
cycle IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 71


Pipeline Operation
▪ Cycle-by-cycle flow of instructions through the
pipelined datapath
▪ “Single-clock-cycle” pipeline diagram
▪ Shows pipeline usage in a single cycle
▪ Highlight resources used
▪ c.f. “multi-clock-cycle” diagram
▪ Graph of operation over time
▪ We’ll look at “single-clock-cycle” diagrams for
load & store
8/19/2021 Faculty of Computer Science and Engineering 72
IF for Load, Store, … lw

Instruction fetch

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 73


ID for Load, Store, … lw

Instruction decode

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 74


EX for Load lw

Execution

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 75


MEM for Load lw

Memory

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 76


WB for Load lw

Write back

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
Wrong extend

register
number

8/19/2021 Faculty of Computer Science and Engineering 77


Corrected Datapath for Load
IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 78


EX for Store sw

Execution

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 79


MEM for Store sw

Memory

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 80


WB for Store sw

Write back

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 81


Multi-Cycle Pipeline Diagram
▪ Form showing resource usage
Program
execution Time (in clock cycles)
order CC 1 CC 2 CC 3 CC 4 CC 5 CC 6 CC 7 CC 8 CC 9
( in instructions
lw $10, 20($1) IM REG ALU DM REG

sub $11, $2, $3 IM REG ALU DM REG

add $12, $3, $4 IM REG ALU DM REG

lw $13, 24($1)
IM REG ALU DM REG

add $14, $5, $6


IM REG ALU DM REG
8/19/2021 82
Single-Cycle Pipeline Diagram
▪ State of pipeline in a given cycle
add $14, $5, $6 lw $13, 24 ($1) add $12, $3, $4 sub $11, $2, $3 lw $10, 20($1)
Instruction fetch Instruction decode Execution Memory Write-back

IF/ID ID/EX EX/MEM MEM/WB

Add

4 Add Add
result
Shift
left 2

Instruction
0
M
u PC Address Read
x register 1 Read
data 1
1
Read Zero
register 2 ALU ALU
Instruction Read
memory Read Address 0
Write data 2 0 result data
M
register M
Data u
Registers u
Write memory x
data x 1
1

Write
data

16 Sign- 32
extend

8/19/2021 Faculty of Computer Science and Engineering 83


Pipelined Control (Simplified) PCSrc

IF/ID ID/EX EX/MEM MEM/WB

Add
Add
4 Shift result Branch
Left 2

Instruction
0 RegWrite
M
u
PC Address Read Read
x register 1 MemWrite
1 data 1 MemtoReg
Read ALUSrc Zero
register 2 Add ALU Read
Instruction Read 0 result Address data
1
Write data 2 M M
memory register u Data u
x
Write
data
Registers x
1 memory 0

Write
Instruction data

(15–0) 16 Sign- 32 6 ALU


extend control MemRead
Instruction
(20–16) 0
M
ALUOp
Instruction u
x
(15–11) 1

RegDst

8/19/2021 Faculty of Computer Science and Engineering 84


Pipelined Control
▪ Control signals derived from instruction
▪ As in single-cycle implementation
WB

Instruction
Control M WB

EX M WB

8/19/2021 Faculty of Computer Science and Engineering 85


IF/ID ID/EX EX/MEM MEM/WB
Pipelined Control PCSrc

ID/EX
WB
EX/MEM

Control M WB
MEM/WB

EX M WB
IF/ID

Add Add
4 Add

Instruction

RegWrite
Shift result Branch
Left 2
ALUSrc

MemtoReg
MemWrite
0
M
u PC Read
x
Address register 1 Read
1
data 1
Read Zero
register 2 Add ALU Read 1
Instruction Write
Read
data 2
0
M
result Address data M
u
memory register u Data x
Write Registers x
data 1 memory 0

Write
Instruction data
[15–0] 16 Sign- 32 6 ALU
extend control
Instruction MemRead
[20–16] ALUOp
0
Instruction M
u
[15–11] x
1
RegDst

8/19/2021 Faculty of Computer Science and Engineering 86


Data Hazards in ALU Instructions

▪ Consider this sequence:


sub $2, $1, $3
and $12, $2, $5
or $13, $6, $2
add $14, $2, $2
sw $15, 100($2)
▪ We can resolve hazards with forwarding
▪ How do we detect when to forward?
8/19/2021 Faculty of Computer Science and Engineering 87
Dependencies & Forwarding
Time (in clock cycles)
Value of CC 1 CC 2 CC 3 CC 4 CC 5 CC 6 CC 7 CC 8 CC 9
register $2: 10 10 10 10 10/20 20 20 20 20

IM REG ALU DM REG


1.sub $2, $1, $3

IM REG ALU DM REG


2.and $12, $2, $5

IM REG ALU DM REG


3.or $13, $6, $2

4.add $14, $2, $2 IM REG ALU DM REG

5.sw $15, 100 ($2) IM REG ALU DM REG

8/19/2021 Faculty of Computer Science and Engineering 88


Detecting the Need to Forward
▪ Pass register numbers along pipeline
▪ e.g., ID/EX.RegisterRs = register number for Rs sitting in
ID/EX pipeline register
▪ ALU operand register numbers in EX stage are given by
▪ ID/EX.RegisterRs, ID/EX.RegisterRt
▪ Data hazards when Fwd from
▪ 1a. EX/MEM.RegisterRd = ID/EX.RegisterRs EX/MEM
▪ 1b. EX/MEM.RegisterRd = ID/EX.RegisterRt pipeline reg

▪ 2a. MEM/WB.RegisterRd = ID/EX.RegisterRs Fwd from


▪ 2b. MEM/WB.RegisterRd = ID/EX.RegisterRt MEM/WB
pipeline reg

8/19/2021 Faculty of Computer Science and Engineering 89


Detecting the Need to Forward
▪ But only if forwarding instruction will write
to a register!
▪ EX/MEM.RegWrite, MEM/WB.RegWrite
▪ And only if Rd for that instruction is not
$zero
▪ EX/MEM.RegisterRd ≠ 0,
MEM/WB.RegisterRd ≠ 0

8/19/2021 Faculty of Computer Science and Engineering 90


No Forwarding
ID/EX EX/MEM MEM/WB

Registers
ALU

Data
memory M
u
x

a. No forwarding

8/19/2021 Faculty of Computer Science and Engineering 91


Forwarding Path ID/EX EX/MEM MEM/WB

M
u
x
Registers ForwardA
ALU

M Data
u M
x memory
u
x

ForwardB
Rs
Rt
Rt EX/MEM.RegisterRd
Rd
M
u
x
Forwarding
MEM/WB.RegisterRd
unit

b. With forwarding
8/19/2021 Faculty of Computer Science and Engineering 92
Forwarding Conditions
▪ EX hazard
▪ if (EX/MEM.RegWrite
and (EX/MEM.RegisterRd ≠ 0)
and (EX/MEM.RegisterRd = ID/EX.RegisterRs))
ForwardA = 10
▪ if (EX/MEM.RegWrite
and (EX/MEM.RegisterRd ≠ 0)
and (EX/MEM.RegisterRd = ID/EX.RegisterRt))
ForwardB = 10

8/19/2021 Faculty of Computer Science and Engineering 93


Forwarding Conditions
▪ MEM hazard
▪ if (MEM/WB.RegWrite
and (MEM/WB.RegisterRd ≠ 0)
and (MEM/WB.RegisterRd = ID/EX.RegisterRs))
ForwardA = 01
▪ if (MEM/WB.RegWrite
and (MEM/WB.RegisterRd ≠ 0)
and (MEM/WB.RegisterRd = ID/EX.RegisterRt))
ForwardB = 01

8/19/2021 Faculty of Computer Science and Engineering 94


Double Data Hazard
▪ Consider the sequence:
add $1,$1,$2
add $1,$1,$3
add $1,$1,$4
▪ Both hazards occur
▪ Want to use the most recent
▪ Revise MEM hazard condition
▪ Only fwd if EX hazard condition isn’t true

8/19/2021 Faculty of Computer Science and Engineering 95


Revised Forwarding Condition
▪ MEM hazard
if (MEM/WB.RegWrite
and (MEM/WB.RegisterRd ≠ 0)
and not (EX/MEM.RegWrite and (EX/MEM.RegisterRd ≠ 0)
and (EX/MEM.RegisterRd = ID/EX.RegisterRs))
and (MEM/WB.RegisterRd = ID/EX.RegisterRs))
ForwardA = 01
if (MEM/WB.RegWrite
and (MEM/WB.RegisterRd ≠ 0)
and not (EX/MEM.RegWrite and (EX/MEM.RegisterRd ≠ 0)
and (EX/MEM.RegisterRd = ID/EX.RegisterRt))
and (MEM/WB.RegisterRd = ID/EX.RegisterRt))
ForwardB = 01

8/19/2021 Faculty of Computer Science and Engineering 96


Datapath with Forwarding ID/EXE
WB EXE/MEM
Control M WB MEM/WB

IF/ID EX M WB

M
U

Instruction
X
Registers M
Add
Instruction U
PC
memory M X
Data
U
memory
X

IF/ID.RegisterRs Rs
IF/ID.RegisterRt Rt
IF/ID.RegisterRt Rt EX/MEM.RegisterRd
M
IF/ID.RegisterRd Rd
U
X
Forwarding MEM/WB.RegisterRd
unit

8/19/2021 Faculty of Computer Science and Engineering 97


Load-Use Data Hazard
Program
execution Time (in clock cycles)
order
CC 1 CC 2 CC 3 CC 4 CC 5 CC 6 CC 7 CC 8 CC 9
( in instructions

lw $2, 20($1)
IM REG ALU DM REG Need to stall
for one cycle
IM REG ALU DM REG
and $4, $2, $5

IM REG ALU DM REG


or $8, $2, $6

add $9, $4, $2 IM REG ALU DM REG

slt $1, $6, $7 IM REG ALU DM REG

8/19/2021 Faculty of Computer Science and Engineering 98


Load-Use Hazard Detection
▪ Check when using instruction is decoded in ID
stage
▪ ALU operand register numbers in ID stage are given
by
▪ IF/ID.RegisterRs, IF/ID.RegisterRt
▪ Load-use hazard when
▪ ID/EX.MemRead and
((ID/EX.RegisterRt = IF/ID.RegisterRs) or
(ID/EX.RegisterRt = IF/ID.RegisterRt))
▪ If detected, stall and insert bubble

8/19/2021 Faculty of Computer Science and Engineering 99


How to Stall the Pipeline
▪ Force control values in ID/EX register
to 0
▪ EX, MEM and WB do nop (no-operation)
▪ Prevent update of PC and IF/ID register
▪ Using instruction is decoded again
▪ Following instruction is fetched again
▪ 1-cycle stall allows MEM to read data for lw
▪ Can subsequently forward to EX stage

8/19/2021 Faculty of Computer Science and Engineering 100


Load-Use Data Hazard
Program
execution Time (in clock cycles)
order
CC 1 CC 2 CC 3 CC 4 CC 5 CC 6 CC 7 CC 8 CC 9
( in instructions
DM
lw $2, 20($1)
IM REG ALU REG
Stall inserted
bubble here
IM REG ALU DM REG
and becomes nop

IM REG ALU DM REG


and $4, $2, $5

or $8, $2, $6 IM REG ALU DM REG

add $9, $4, $2 IM REG ALU DM REG

8/19/2021 Faculty of Computer Science and Engineering 101


Datapath with Hazard Detection Hazard ID/EX.MemRead
detection
unit

IF/ID.Write
ID/EXE
PCWrite
WB EXE/MEM
M
Control U M WB MEM/WB

IF/ID 0
X M WB
EX

M
U
Instruction
X
Registers
M
Instruction Add
U
PC M
memory X
U Data
X memory

IF/ID.RegisterRs
IF/ID.RegisterRt
IF/ID.RegisterRt Rt
M
IF/ID.RegisterRd Rd
U
ID/EXE.RegisterRt X
Rs Forwarding
Rt
unit

8/19/2021 Faculty of Computer Science and Engineering 102


Stalls and Performance
▪ Stalls reduce performance
▪ But are required to get correct results
▪ Compiler can arrange code to avoid hazards
and stalls
▪ Requires knowledge of the pipeline structure

8/19/2021 Faculty of Computer Science and Engineering 103


Branch Hazards
Program
execution Time (in clock cycles)
order
CC 1 CC 2 CC 3 CC 4 CC 5 CC 6 CC 7 CC 8 CC 9
( in instructions
IM REG ALU DM REG
40 beq $1, $3, 28

IM REG ALU DM REG


44 and $12, $2, $5
Flush these
instructions
48 or $13, $6, $2 IM REG ALU DM REG (Set control
values to 0)

52 add $14, $2, $2 IM REG ALU DM REG

72 lw $4, 50($7) IM REG ALU DM REG

PC

8/19/2021 Faculty of Computer Science and Engineering 104


Reducing Branch Delay
▪ Move hardware to determine outcome to ID stage
▪ Target address adder
▪ Register comparator
▪ Example: branch taken
36: sub $10, $4, $8
40: beq $1, $3, 7
44: and $12, $2, $5
48: or $13, $2, $6
52: add $14, $4, $2
56: slt $15, $6, $7
...
72: lw $4, 50($7)
8/19/2021 Faculty of Computer Science and Engineering 105
Example: Branch Taken (clock 3)
and $12, $2, $5 beq $1, $3, 7 sub $10, $4, $8 before<1> before<2>
IF.Flush

Hazard
detection
unit
ID/EXE
WB EXE/MEM
M
Control MEM/WB
28 U M WB
IF/ID
+ 72 X
EX M WB
44
48
+ Shift M
$4
4 Left 2 $1 U
X

M
Registers
= M
Instruction $3 ALU U
U M $8
72 PC 44 memory Data
X U X
7 memory
X
Sign-
extend

10

Forwarding
unit

8/19/2021 Faculty of Computer Science and Engineering 106


Example: Branch Taken (clock 4)
lw $4, 50($7) Bubble beq $1, $3, 7 sub $10, $4, $8 before<1>
IF.Flush

Hazard
detection
unit
ID/EXE
WB EXE/MEM
M
Control MEM/WB
U M WB
IF/ID
+ X
EX M WB
72
76
+ Shift M
$1
4 Left 2 U
X

M
Registers
= M
Instruction ALU U
U M $3
76 PC 72 memory Data
X U X
memory
X
Sign-
extend

Forwarding
unit

8/19/2021 Faculty of Computer Science and Engineering 107


Data Hazards for Branches
▪ If a comparison register is a destination of 2nd
or 3rd preceding ALU instruction
add $1, $2, $3 IF ID EX MEM WB

add $4, $5, $6 IF ID EX MEM WB

… IF ID EX MEM WB

beq $1, $4, target IF ID EX MEM WB

▪ Can resolve using forwarding

8/19/2021 Faculty of Computer Science and Engineering 108


Data Hazards for Branches
▪ If a comparison register is a destination of
preceding ALU instruction or 2nd preceding
load instruction
▪ Need 1 stall cycle
lw $1, addr IF ID EX MEM WB

add $4, $5, $6 IF ID EX MEM WB

beq stalled IF ID

beq $1, $4, target ID EX MEM WB

8/19/2021 Faculty of Computer Science and Engineering 109


Data Hazards for Branches
▪ If a comparison register is a destination of
immediately preceding load instruction
▪ Need 2 stall cycles
lw $1, addr IF ID EX MEM WB

beq stalled IF ID

beq stalled ID

beq $1, $0, target ID EX MEM WB

8/19/2021 Faculty of Computer Science and Engineering 110


Dynamic Branch Prediction
▪ In deeper and superscalar pipelines, branch penalty
is more significant
▪ Use dynamic prediction
▪ Branch prediction buffer (aka branch history table)
▪ Indexed by recent branch instruction addresses
▪ Stores outcome (taken/not taken)
▪ To execute a branch
▪ Check table, expect the same outcome
▪ Start fetching from fall-through or target
▪ If wrong, flush pipeline and flip prediction

8/19/2021 Faculty of Computer Science and Engineering 111


1-Bit Predictor: Shortcoming
▪ Inner loop branches mispredicted twice!
outer: …

inner: …

beq …, …, inner

beq …, …, outer
◼ Mispredict as taken on last iteration of
inner loop
◼ Then mispredict as not taken on first
iteration of inner loop next time around
8/19/2021 Faculty of Computer Science and Engineering 112
2-Bit Predictor
▪ Only change prediction on two successive
mispredictions
Taken

Not taken
Predict taken Predict taken
Taken

Not taken Taken

Not taken
Predict not taken Predict not taken
Taken

Not taken
8/19/2021 Faculty of Computer Science and Engineering 113
Calculating the Branch Target
▪ Even with predictor, still need to calculate
the target address
▪ 1-cycle penalty for a taken branch
▪ Branch target buffer
▪ Cache of target addresses
▪ Indexed by PC when instruction fetched
▪ If hit and instruction is branch predicted taken,
can fetch target immediately

8/19/2021 Faculty of Computer Science and Engineering 114


Exceptions and Interrupts
▪ “Unexpected” events requiring change
in flow of control
▪ Different ISAs use the terms differently
▪ Exception
▪ Arises within the CPU
▪ e.g., undefined opcode, overflow, syscall, …
▪ Interrupt
▪ From an external I/O controller
▪ Dealing with them without sacrificing performance
is hard
8/19/2021 Faculty of Computer Science and Engineering 115
Handling Exceptions
▪ In MIPS, exceptions managed by a System
Control Coprocessor (CP0)
▪ Save PC of offending (or interrupted) instruction
▪ In MIPS: Exception Program Counter (EPC)
▪ Save indication of the problem
▪ In MIPS: Cause register
▪ We’ll assume 1-bit
▪ 0 for undefined opcode, 1 for overflow
▪ Jump to handler at 8000 00180
8/19/2021 Faculty of Computer Science and Engineering 116
An Alternate Mechanism
▪ Vectored Interrupts
▪ Handler address determined by the cause
▪ Example:
▪ Undefined opcode: C000 0000
▪ Overflow: C000 0020
▪ …: C000 0040
▪ Instructions either
▪ Deal with the interrupt, or
▪ Jump to real handler

8/19/2021 Faculty of Computer Science and Engineering 117


Handler Actions
▪ Read cause, and transfer to relevant handler
▪ Determine action required
▪ If restartable
▪ Take corrective action
▪ use EPC to return to program
▪ Otherwise
▪ Terminate program
▪ Report error using EPC, cause, …
8/19/2021 Faculty of Computer Science and Engineering 118
Exceptions in a Pipeline
▪ Another form of control hazard
▪ Consider overflow on add in EX stage
▪ add $1, $2, $1
▪ Prevent $1 from being clobbered
▪ Complete previous instructions
▪ Flush add and subsequent instructions
▪ Set Cause and EPC register values
▪ Transfer control to handler
▪ Similar to mispredicted branch
▪ Use much of the same hardware
8/19/2021 Faculty of Computer Science and Engineering 119
Pipeline with Exceptions EX.Flush

ID.Flush
Hazard

IF.Flush
detection
unit
M
ID/EXE U
X EXE/MEM
WB 0
M M
Control U M MEM/WB
Cause U WB
IF/ID +
X X 1
0 EX EPC 0 M WB

+ Shift
M
4 Left 2 U
X
Registers = ALU M
M U
Instruction
U PC M X
80000180 memory Data
X U
memory
X
Sign-
extend

M
U
X
Forwarding
unit

8/19/2021 Faculty of Computer Science and Engineering 120


Exception Properties
▪ Restartable exceptions
▪ Pipeline can flush the instruction
▪ Handler executes, then returns to the instruction
▪ Refetched and executed from scratch
▪ PC saved in EPC register
▪ Identifies causing instruction
▪ Actually PC + 4 is saved
▪ Handler must adjust
8/19/2021 Faculty of Computer Science and Engineering 121
Exception Example
▪ Exception on add in
40 sub $11, $2, $4
44 and $12, $2, $5
48 or $13, $2, $6
4C add $1, $2, $1
50 slt $15, $6, $7
54 lw $16, 50($7)

▪ Handler
80000180 sw $25, 1000($0)
80000184 sw $26, 1004($0)

8/19/2021 Faculty of Computer Science and Engineering 122


Exception Example (clock 6)
lw $16, 50($7) slt $15, $6, $7 add $1, $2, $1 or $13, $2, $6
EX.Flush and $12,…
ID.Flush
Hazard
IF.Flush detection
unit
M 00
ID/EXE U
0 10 X EXE/MEM
WB 0
M M 10
Control 0 000
U M WB MEM/WB
Cause U
IF/ID +
X 50 X 1
0 0 EX EPC 0 M WB
54
58
+ Shift
M
$2
4 Left 2 $6 U
X

12
Registers = ALU M
M $7 U
Instruction
U PC M X
80000180 54 memory $1 Data
X U
80000180 memory
X
Sign-
extend

13 12
M
15 $1 U
X
Forwarding
unit

8/19/2021 Faculty of Computer Science and Engineering 123


Exception Example (clock 7)
sw $26, 1000($0) bubble (nop) bubble bubble
EX.Flush or $13, $2, $6
ID.Flush
Hazard
IF.Flush detection
unit
M 00
ID/EXE U
0 0 X EXE/MEM
WB 0
M M 00
Control 0 000
U M WB MEM/WB
Cause U
IF/ID +
X X
0 0 EX EPC 0 M WB
80000180 58
+ Shift
M
4 Left 2 U
X

13
Registers = ALU M
M U
Instruction
U PC M X
80000180 72 memory Data
X U
80000184 memory
X
Sign-
extend

13
M
U
X
Forwarding
unit

8/19/2021 Faculty of Computer Science and Engineering 124


Multiple Exceptions
▪ Pipelining overlaps multiple instructions
▪ Could have multiple exceptions at once
▪ Simple approach: deal with exception from earliest
instruction
▪ Flush subsequent instructions
▪ “Precise” exceptions
▪ In complex pipelines
▪ Multiple instructions issued per cycle
▪ Out-of-order completion
▪ Maintaining precise exceptions is difficult!

8/19/2021 Faculty of Computer Science and Engineering 125


Imprecise Exceptions
▪ Just stop pipeline and save state
▪ Including exception cause(s)
▪ Let the handler work out
▪ Which instruction(s) had exceptions
▪ Which to complete or flush
▪ May require “manual” completion
▪ Simplifies hardware, but more complex handler
software
▪ Not feasible for complex multiple-issue
out-of-order pipelines
8/19/2021 Faculty of Computer Science and Engineering 126
Instruction-Level Parallelism (ILP)

▪ Pipelining: executing multiple instructions in parallel


▪ To increase ILP
▪ Deeper pipeline
▪ Less work per stage  shorter clock cycle
▪ Multiple issue
▪ Replicate pipeline stages  multiple pipelines
▪ Start multiple instructions per clock cycle
▪ CPI < 1, so use Instructions Per Cycle (IPC)
▪ E.g., 4GHz 4-way multiple-issue
▪ 16 BIPS, peak CPI = 0.25, peak IPC = 4
▪ But dependencies reduce this in practice

8/19/2021 Faculty of Computer Science and Engineering 127


Multiple Issue
▪ Static multiple issue
▪ Compiler groups instructions to be issued together
▪ Packages them into “issue slots”
▪ Compiler detects and avoids hazards
▪ Dynamic multiple issue
▪ CPU examines instruction stream and chooses
instructions to issue each cycle
▪ Compiler can help by reordering instructions
▪ CPU resolves hazards using advanced techniques at
runtime

8/19/2021 Faculty of Computer Science and Engineering 128


Speculation
▪ “Guess” what to do with an instruction
▪ Start operation as soon as possible
▪ Check whether guess was right
▪ If so, complete the operation
▪ If not, roll-back and do the right thing
▪ Common to static and dynamic multiple issue
▪ Examples
▪ Speculate on branch outcome
▪ Roll back if path taken is different
▪ Speculate on load
▪ Roll back if location is updated

8/19/2021 Faculty of Computer Science and Engineering 129


Compiler/Hardware Speculation
▪ Compiler can reorder instructions
▪ e.g., move load before branch
▪ Can include “fix-up” instructions to recover from
incorrect guess
▪ Hardware can look ahead for instructions to
execute
▪ Buffer results until it determines they are actually
needed
▪ Flush buffers on incorrect speculation
8/19/2021 Faculty of Computer Science and Engineering 130
Speculation and Exceptions
▪ What if exception occurs on a speculatively
executed instruction?
▪ e.g., speculative load before null-pointer check
▪ Static speculation
▪ Can add ISA support for deferring exceptions
▪ Dynamic speculation
▪ Can buffer exceptions until instruction
completion (which may not occur)
8/19/2021 Faculty of Computer Science and Engineering 131
Static Multiple Issue
▪ Compiler groups instructions into “issue
packets”
▪ Group of instructions that can be issued on a single
cycle
▪ Determined by pipeline resources required
▪ Think of an issue packet as a very long
instruction
▪ Specifies multiple concurrent operations
▪  Very Long Instruction Word (VLIW)
8/19/2021 Faculty of Computer Science and Engineering 132
Scheduling Static Multiple Issue

▪ Compiler must remove some/all hazards


▪ Reorder instructions into issue packets
▪ No dependencies with a packet
▪ Possibly some dependencies between packets
▪ Varies between ISAs; compiler must know!
▪ Pad with nop if necessary

8/19/2021 Faculty of Computer Science and Engineering 133


MIPS with Static Dual Issue
▪ Two-issue packets
▪ One ALU/branch instruction
▪ One load/store instruction
▪ 64-bit aligned
▪ ALU/branch, then load/store
▪ Pad an unused instruction with nop
Address Instruction type Pipeline Stages
n + 00 ALU/branch IF ID EX MEM WB
n + 04 Load/store IF ID EX MEM WB
n + 08 ALU/branch IF ID EX MEM WB
n + 12 Load/store IF ID EX MEM WB
n + 16 ALU/branch IF ID EX MEM WB
n + 20 Load/store IF ID EX MEM WB
8/19/2021 Faculty of Computer Science and Engineering 134
MIPS with Static Dual Issue
M
u
4 x
ALU

M
M Registers u
80000180 u Instruction x
PC
x memory
Write
data
Sign- ALU
Data
extend Sign-
memory
extend
Address

8/19/2021 Faculty of Computer Science and Engineering 135


Hazards in the Dual-Issue MIPS
▪ More instructions executing in parallel
▪ EX data hazard
▪ Forwarding avoided stalls with single-issue
▪ Now can’t use ALU result in load/store in same packet
add $t0, $s0, $s1
lw $s2, 0($t0)
▪ Split into two packets, effectively a stall
▪ Load-use hazard
▪ Still one cycle use latency, but now two instructions
▪ More aggressive scheduling required
8/19/2021 Faculty of Computer Science and Engineering 136
Scheduling Example
▪ Schedule this for dual-issue MIPS
Loop: lw $t0, 0($s1) # $t0=array element
addu $t0, $t0, $s2 # add scalar in $s2
sw $t0, 0($s1) # store result
addi $s1, $s1,–4 # decrement pointer
bne $s1, $zero, Loop # branch $s1!=0
ALU/branch Load/store cycle
Loop: nop lw $t0, 0($s1) 1
addi $s1, $s1,–4 nop 2
addu $t0, $t0, $s2 nop 3
bne $s1, $zero, Loop sw $t0, 0($s1) 4
◼ IPC = 5/4 = 1.25 (c.f. peak IPC = 2)
8/19/2021 Faculty of Computer Science and Engineering 137
Loop Unrolling
▪ Replicate loop body to expose more parallelism
▪ Reduces loop-control overhead
▪ Use different registers per replication
▪ Called “register renaming”
▪ Avoid loop-carried “anti-dependencies”
▪ Store followed by a load of the same register
▪ Aka “name dependence”
▪ Reuse of a register name

8/19/2021 Faculty of Computer Science and Engineering 138


Loop Unrolling Example
▪ IPC = 14/8 = 1.75
▪ Closer to 2, but at cost of registers and code size
ALU/branch Load/store cycle
Loop: addi $s1, $s1,–16 lw $t0, 0($s1) 1
nop lw $t1, 12($s1) 2
addu $t0, $t0, $s2 lw $t2, 8($s1) 3
addu $t1, $t1, $s2 lw $t3, 4($s1) 4
addu $t2, $t2, $s2 sw $t0, 16($s1) 5
addu $t3, $t4, $s2 sw $t1, 12($s1) 6
nop sw $t2, 8($s1) 7
bne $s1, $zero, Loop sw $t3, 4($s1) 8
8/19/2021 Faculty of Computer Science and Engineering 139
Dynamic Multiple Issue
▪ “Superscalar” processors
▪ CPU decides whether to issue 0, 1, 2, … each
cycle
▪ Avoiding structural and data hazards
▪ Avoids the need for compiler scheduling
▪ Though it may still help
▪ Code semantics ensured by the CPU
8/19/2021 Faculty of Computer Science and Engineering 140
Dynamic Pipeline Scheduling
▪ Allow the CPU to execute instructions out of
order to avoid stalls
▪ But commit result to registers in order
▪ Example
lw $t0, 20($s2)
addu $t1, $t0, $t2
sub $s4, $s4, $t3
slti $t5, $s4, 20
▪ Can start sub while addu is waiting for lw
8/19/2021 Faculty of Computer Science and Engineering 141
Dynamically Scheduled CPU Instruction fetch In-order issue Preserves
and decode unit
dependencies

Hold pending
Reservation Reservation Reservation Reservation
station station ... station station operands

Functional Floating Load- Out-of-order execute


Integer Integer ...
units point store

Results also sent


to any waiting
reservation stations
Commit In-order commit
Reorders buffer for unit
register writes
Can supply
operands for
issued instructions
8/19/2021 Faculty of Computer Science and Engineering 142
Register Renaming
▪ Reservation stations and reorder buffer effectively
provide register renaming
▪ On instruction issue to reservation station
▪ If operand is available in register file or reorder buffer
▪ Copied to reservation station
▪ No longer required in the register; can be overwritten
▪ If operand is not yet available
▪ It will be provided to the reservation station by a function
unit
▪ Register update may not be required

8/19/2021 Faculty of Computer Science and Engineering 143


Speculation
▪ Predict branch and continue issuing
▪ Don’t commit until branch outcome determined
▪ Load speculation
▪ Avoid load and cache miss delay
▪ Predict the effective address
▪ Predict loaded value
▪ Load before completing outstanding stores
▪ Bypass stored values to load unit
▪ Don’t commit load until speculation cleared
8/19/2021 Faculty of Computer Science and Engineering 144
Why Do Dynamic Scheduling?
▪ Why not just let the compiler schedule code?
▪ Not all stalls are predicable
▪ e.g., cache misses
▪ Can’t always schedule around branches
▪ Branch outcome is dynamically determined
▪ Different implementations of an ISA have
different latencies and hazards

8/19/2021 Faculty of Computer Science and Engineering 145


Does Multiple Issue Work?
▪ Yes, but not as much as we’d like
▪ Programs have real dependencies that limit ILP
▪ Some dependencies are hard to eliminate
▪ e.g., pointer aliasing
▪ Some parallelism is hard to expose
▪ Limited window size during instruction issue
▪ Memory delays and limited bandwidth
▪ Hard to keep pipelines full
▪ Speculation can help if done well
8/19/2021 Faculty of Computer Science and Engineering 146
Fallacies
▪ Pipelining is easy (!)
▪ The basic idea is easy
▪ The devil is in the details
▪ e.g., detecting data hazards
▪ Pipelining is independent of technology
▪ So why haven’t we always done pipelining?
▪ More transistors make more advanced techniques
feasible
▪ Pipeline-related ISA design needs to take account of
technology trends
▪ e.g., predicated instructions
8/19/2021 Faculty of Computer Science and Engineering 148
Pitfalls
▪ Poor ISA design can make pipelining harder
▪ e.g., complex instruction sets (VAX, IA-32)
▪ Significant overhead to make pipelining work
▪ IA-32 micro-op approach
▪ e.g., complex addressing modes
▪ Register update side effects, memory indirection
▪ e.g., delayed branches
▪ Advanced pipelines have long delay slots
8/19/2021 Faculty of Computer Science and Engineering 149
Concluding Remarks
▪ ISA influences design of datapath and control
▪ Datapath and control influence design of ISA
▪ Pipelining improves instruction throughput
using parallelism
▪ More instructions completed per second
▪ Latency for each instruction not reduced
▪ Hazards: structural, data, control
▪ Multiple issue and dynamic scheduling (ILP)
▪ Dependencies limit achievable parallelism
▪ Complexity leads to the power wall
8/19/2021 Faculty of Computer Science and Engineering 150

You might also like