You are on page 1of 26
oe Mist do FEdveaton National delaFormatonProfessionnae ‘éeEnselgnement Spérour et dla Recherche Scortiique Centre National de evaluation et des Examens “nn = EXAMEN NATIONAL DU BREVET DE TECHNICIEN SESSION : 2020 Filiére : SYSTEMES ELECTRONIQUES (SE) Epreuve : ELECTRONIQUE Durée :4 heures Coefficient : 35 Consignes : - Les calculatrices programmables ne sont pas autorisées. = Aucune communication ni échanges de matériel entre candidats ne sont permis. ~ Ilsera tenu compte, lors de la correction, de la propreté des copies et de la clarté des réponses. ~ Le sujet se compose de 25 pages, dont 4 pages de documents réponses, & rendre obligatoirement avec la copie, et 10 pages des annexes. = Le candidat est invité a vérifier qu’il est en possession de toutes les pages du sujet. Examen National du Brevet de Technicien Supérieur Session 2020 - Sujet - ‘Systémes Electroniques - SE - Durée: | 4 Heures Electronique Coefficient |] __35 Projet du parc Eolien de Jbel KHALLADI Dans le cadre de sa stratépie énergétique, le Maroc s'est engagé depuis 2010, dans un vaste Programme Pefficacité énergétique dans le éotien, pour accompagner le développement des énergies renouvelables et de pays, Le Projet Marocain Intégré de l'Energie Eolienne, sétalant sur une période de 10 ans pour un savestissement total estimé & 31,5 milliards de dirhams, permettra au pays de porter Ia puissance électrique de 280 MW en 2010 & 2000 MW a horizon 2020. 0 MW, de nouveaux pares éoliens ont éié prévus dans le cadre de ce installée, d’origine éolienne, Pour le développement de 172 projet éolien : Tarfaya (300 MW), Akhfenir (200 MW), Bab El Oued (50 MW), Haouma (50 MW), Jbel Khalladi (120 MW), Tanger 2 (150 MW), Koudia BI Baida & Tétouan (300 MW), Taza (150 MW), Tiskrad & Laayoune (300 MW) et Boujdour (100 MW). Collant A cette stratégie nationale de développement des énergies renouvelabl en juin 2018, le pare éotien Khalladi & 30Km de Tanger, ayant une produetion annuelle de 380 GWh/an (soit Ja consommation dune ville de 400.000 habitants). les, Le Maroc a inauguré Figure 1 : Image du pare éolien Jbel KHALLADI. @une capacité individuelle de sortie de 3 MW, produisant ainsi Ce pare est composé de 40 éoliennes, une puissance potentielle totale de 120 MW. L’empreinte du pare éolien, couvre une zone équivalente a 180 ha principalement autour de Ia créte du Jbel Sendoug (Meloussa) Khalladi. Le modéle de I’éolienne utilisée est de type Vestas V90-3000, qui posséd le trois pales d’une longueur de 44 m et une tour de hauteur 80m, ¢ rotor avec ses pales, la nacelle avec son générateur, L’€olienne se compose des éléments suivant: Vanémomeétre et la girouette comme indiqué sur la figure 2 suivante : Sujet de I'Examen National du Brevet de Technicien Supérieur - Session 2020 - Filigre : Systemes Electroniques - SE - Epreuve : Electronique Ache primaire Arte seconde émultiplicateur Anémométre Gireuette Figure 2 : composants d'une éolienne, La surveillance du pare se fait & plusieurs niveaux : Surveillance locale des parametres du site, supervision a distance du site et surveillance électrique. Les données, nécessaires pour contréler I'éolienne et la production d'énergie, sont assurées par différents capteurs mesurant : Conditions météorologiques : direction, vitesse et température du vent, détecteurs de foudre ; Conditions de la machine : températures, niveau et pression d'huile, niveau d'eau de refroidissement, vibrations ; Activité du rotor : vitesse et position des pales ; Connexion au réseau électrique : puissance active, puissance réactive, tension, courant, fréquence. Les échanges de données entre les différents blocs s’effectuent sur plusieurs liaisons comme indiqué sur la figure 3 suivante : Contréleur Contréleur orientation réseau Nacelle électrique ulna Capteurs de Calculateur Seton — direction du vent Bus CAN i Interface sans CAN Réseau WAN <——>| Y Interface sans Calculateur fil pour bus R a otor Figure 3 : Schéma Bloc de l’architecture simplifié d’une éolienne V90-3000. mn 2020 - [ Page jen Supérieur Epreuve : Electronique Sujet de I’Examen National du Brevet de Tech Filigre : Systemes Electroniques ~ SE- L. Analyse de installation électrique de l’éolienne La société ACWA Power a sélectionné des éoliennes Vestas modéle ‘90-3000 (voir ANNEXE 1) QI. Sur le tableau du document réponse DRI, Citer les caractéristiques de la génératrice utilisé dans ’éolienne Vestas V90-3000 (voir ANNEXE 1). 2. Déterminer le nombre d’éoliennes nécessaire pour produire une Puissance maximale de 120MW. Q3._ Relever la vitesse du vent a partir de InquelleI'Gotienne Vestas 190-3000 délivre sa puissance nominale 3000W. JI. Etude du capteur de vent de I’éolienne. 1. Conditionnement du transmetteur et récepteur ultrason: La nacelle est équipée de deux capteurs de vent ultrasoniques redondants, afin d'augmenter la fiabilité et la précision des mesures de vent. Ces capteurs mesurent la direction et la vitesse du vent. Ils sont situés au sommet de la nacelle. Q4. A partir du document constructeur du capteur fournt en ANNEXE 2, Déterminer I’étendue de mesure de la vitesse et de la direction du vent. ‘La mesure s’effectue parle calcul du temaps de déplacement du son mis entre I’émetteur et Te récepteur (distants de L=0,2 m). La vitesse et la direction du vent influent directement sur les temps de transmission entre ’émetteur et le récepteur. La figure 4.a, montre une image du capteur de vent et Ia figure 4.b montre un exemple de mesure des durées de transmission des ondes ultrason. Figure 4.4 Sujet de Examen National du Brevet de Technicien Supérieur - Session 2020 - | Pave Filiére : Systemes Electroniques - SE- Epreuve : Electronique me Te principe de fonctionnement consiste ce que le microcontrOleur du capteur ‘génére un signal ultrason de fréquence 40KHz (appelé BURST), ce signal est envoyé & tour de role aux ransmetteurs ultrasons (NORD, SUD, OUEST et EST). Au bout d'un temps, le signal BURST est capté par le éeepteur ultrason correspondant (exemple : récepteur SUD si l’émetteur est NORD). Figure 5 : exemple transmission et réception du signal ultrason (BURST) Le microcontroleur mesure la durée T1 nécessaire au BURST pour parcourit la distance de 20 cm qui sépare I'émetteur NORD (EST) du récepteur ultrason SUD (QUEST) et la durée T2 nécessaire au BURST pour parcourir la distance de 20 om qui sépare I’émetteur SUD (OU EST) du récepteur ultrason NORD (EST). Par la suite, le microcontréleur détermine la vitesse du vent en calculant la composante V1 (selon la direction NORD vers le SUD) et la composante V2 (selon la direction EST vers le OUEST). Qs. A partir de la formule de calcul de vitesse donnée en ANNEXE 2, et sachant que Jes transducteurs du capteur de vent sont distants de L=0.2m, complétez le tableau du document réponse DRI. La figure suivante montre le principe de calcul de la vitesse (module de ‘V) et la direction (angle 6) du vent par la représentation vectorielle. sha Figure 6 : représentation vectorielle du vent Q6. Calculez la vitesse du vent (module de V) en m/s, puis en kan/h. Q7. Calculez l’angle @ (direction du vent) en degré. Sujet de I Fxamen National du Brevet de Technicien Supérieur Session 2020- Filiére : Systmes Electroniques ~ SE- fpreuve : Electronique 7 schéma suivant représente Pamplificateur de puissance du transmetteur ultrason vpp=+12¥ sooeess EST B Boa ae Figure 7: Amplificateur de puissance de transmission signal ultrason (BURST) Q8. Calculez le courant Ip sachant que Ve=0.7V et BURST=SV. Q9. Calculez le courant Ic sachant que le transistor est saturé (VCE=0.2V), et 'impédance du transmetteur ultrason (BUZ1), est infinie. Q10, Sachant que Bnis=100, montrer que Ia condition de saturation du transistor est satisfaite. Le schéma suivant représente le conditionnement du signal ultrason regu + 2_VB Figure 8 : Conditionnement de réception signal ultrason (BURST) Les ampli-op sont supposés parfaits. Q11. Exprimer VA en fonction de VR et Vref. Q12, Exprimer VB en fonction de VR, RI, R2 et Vref. Q13. Exprimer VC en fonction de VR, R1, R2, R3, Ré et Vref. Q14. Montrer que : VC = Vref + G.VR et Caleuler la valeur numérique du gain G. Q15. L’ampli op 3 est monté en comparateur, Calculer le seuil de comparaison V". Q16. Surle document réponse DRI, tracer les signaux VD et BURST en fonetion du signal VC, Sachant que Jasortie du comparateur ne peut étre égale qu’a +Vsat=12V ou -Vsat-OV. 2, Mesure de la vitesse et direction du vent 4 l'aide du PICL6F876 La figure suivante représente le schéma de principe du capteur de vent réalisé & base du microcontroleur PICL6F876. Epreuve : Electronique jeur ean ome ovens sears jet de I’Examen National du Brevet de Technicien Supéri sgneume poo sso “anaes ove ffessesees Figure 9 : Carte de commande du capteur de vent ultrason , Sie Ge Examen National du Brevet de Technicien Supérieur - Session 2020 - | Page 25 Filidre : Systémes Electroniques ~ SE - Eprenve : Electronique Te PIC géntre & périodes d'un signal PWM, de fréquence 40KHz, sur la sortie BURST_OUT (CCP2) ezmecure le temps qui sépare ’envoi de ce signal et sa réception sur "entrée BURST IN (CCP1) Le PICIOF876 est doté d'un compteur 8 bits appelé TMR2. La figure suivante donne une présentation du schéma bloc du compteur TMR2 : Note 1:TWR register output can be sofware selected by the BSP module ae a bar cock. Figure 10 : Schéma bloc du TMR2 du PIC 017, Surle document réponse DR2, Determiner la valeur binaire & mettre dans T2CON (Voir ANNEXES) permettant d’activer V'interface TMR2 avec une horloge interme Fosc/4, un prescaler de 4, ct un postscaler de 1/1 18, Sachant que la fréquence de du quartz est : Fax=16MHz, caleuler Ia fréquence Frome de Phorloge & entrée du compteur TMR2 Reg. En déduire la période Tram. La figure suivante représente le schéma bloc du CCP en mode PWM : oy On Ronn COPIED corm =| Cae) te 4: The 34 sa i corel wih 2 at Ba Sze tm psc tc Hn Be 7 Ee Figure 11 ; Schéma bloc du module CCP en mode PWM Q19. Sur le document réponse DR2, Déterminer la valeur binaire, & mettre dans CCP2CON (Voir ANNEXES) permettant d’activer le mode PWM pour module CCP2, et mettre a 0 les bits CCPIX:CCPLY. jet de I'Examen National du Brevet de Technicien Supérieur ~ Session 2020 - [ Page _] Filigre : Systémes Electroniques - SE~- Epreuve : Electronique 25 Ta période et le rapport cyelique du signal généré par le module CCP en mode PWM, sont définis par Je constructeur comme indiqué sur la figure 12: T = (PR2 + 1) * 4 * Tosc * prescalerTMR2 ton = CCPRIL * 4+ Tosc * prescalerTMR2 Figure 12 : Signal PWM du PIC Le signal BURST que le PIC doit générer ‘est de fréquence Faurst= 40KHz et de rapport cyclique égale 450%. Q20. La sortie CCP2 passe A | et le compteur TMR2 est remis & 0, dés que sa valeur est égale ala valeur du registre PR2. Calculer la valeur & mettre dans le registre PR2 pour générer un signal de fréquence égale a40KHz. Q21. La sortie CCP2 est remise & 0 & chaque fois que la valeur du compreur TMR2 est égale a la valeur de CCPRIH (qui regoit la valeur de CCPRIL). Calculer la valeur & mettre dans le registre CCPRIL pour avoir un rapport cyclique de 50% LePIC16F876 est doté d'un compteur 16 bits appelé TMRI. La figure suivante donne une présentation du compteur TMRI Set ag ot Sri on Overt ion ReomT1os0rT1cx! osc Fad Revriosicor2 : ost el Noe 4: When the TIOSCEN te lard, ha reir tuned oT Figure 13 : Schéma bloc du TMR1 du PIC Q22. Sur le document réponse DR2, déterminer la valeur binaire & mettre dans TICON (Voir ANNEXE 3) permettant @’activer Pinterface TMRI avec tne horloge interne fose/4 et un presealer de 4 Q23. Sachant que la fréquence de du quartz est : Fow=16MHz, calculer la fréquence Fruri de Phorloge & Yentrée du TMR1. En déduire la période Trae. fie de Examen National du Brevet de Technicien Supérieur - Session 2020 - | Pa Filiére : Systémes Electroniques - SE- Epreuve : Electronique Ta figure 14 donne le schéma bloc du module CCP en mode Capture eae Setteguaccetr 0 erie) haan capes ge sted aie | Ta {exten Figure 14 : Schéma bloc du module CCP en mode capture Q24, Sur le document réponse DR2, déterminer Ja valeur binaize & mettre dans CCPCON (Voir ANNEXE 3), pour activer le mode capture sur chaque front montant (rising edge) du signal d'entrée Le PICI6F876 permet de mesurer la durée Tl ou T2, l'aide du TMRI et du modules CCP1. Le PIC commence la transmission du BURST et mis & 0 le TMRI, et dés la détection du premier front sur [entrée CPI, la valeur du TMRI est copiée automatiquement dans le registre CCPRI. Cette valeur représente la durée (en us) de transmission du BURST (T1 ow T2). Q25, Sur le document réponse DR2, Compléter la fonction int mesure_T() en respectant Yalgorithme suivant - Activer le signal PWM sur la sortie CCP2 = Meitre G0 TMRI ~ Attemte de 200us qui correspond & 8 périodes du signal de fréquence 40K Hz = Désactiver le signal PWM a la sortie CCP2. = Attendre jusqu’a ce que le CCPIIF du registre PIRI passe & 1 = Mise a0 du flag CCPIIF. = CCPRI dans la variable T Retour de la valeur de T 3, Multiplexage et démultiplexage du signal BURST Le signal BURST_OUT généré par le PIC est dirigé, & tour de réle, vers l'un des transmetteur ultrason, grace au démultiplexeur 1 parmi 4 réalisé & base du circuit 74HCT238 (Voir ANNEXE 4). Les signaux BURST, regus des différents récepteurs ultrasons, sont dirigés vers lentrée BURST_IN du PIC grice au multiplexeur 4 vers 1 réalisé a base du circuit 74LS151(Voir ANNEXE 4). Use us * Hi WEEB cana eS Fo erst © ESSE einer ovr curse : fe a tL). ee og Figure 15 : Multiplexage et démultiplexage Jajet de Examen National du Brevet de Technicien Supérieur ~ Session 2020 - Filitre : Systémes Electroniques - SE- Fpreuve : Electronique {Q26, Sur le document réponse DR3, Compléter Ia Table de vérité du démultiplexeur 1 parmi 4 Q27, Tracer le schéma logique Clogigramme) du démultiplexeur. On se propose de réaliser ce démultiplexeur par un circuit logique programmable, Son bloc fonetionnel est le suivant : BURST_OUT_PIC ——> |___+ BURST_OUT_NORD DEMUXL_4 |——+ BURST_OUT_SUD AL |___, BuRST_OUT_OUEST 40 |» BURST_OUT_EST Q28. Sur le document réponse DR3, Completer Pentité et architecture du démultiplexeur 1 parmi 4. on se propose de réaliser le multiplexeur 4 vers 1 par un circuit logique programmable. Sa table de Best: ‘Al | AO BURST_IN_ PIC =| ofo BURST_IN_SUD ol|1 BURST_IN_NORD_ 1/0 BURST_IN_EST fee BURST_IN_OUEST ‘Son bloc fonctionnel est : : a al BURST_IN_SUD ——>| BURST_IN_NORD BURST_IN_PIC BURST_IN_EST BURST_IN_OUEST ——>| MUX4_1 Al AQ ——>| Q29. Sur le document réponse DR3, Compléter Pentité et l'architecture du circuit multiplexeur 4 vers 1 4. Sorties analogiques du capteur Le capteur de vent fournit également la vitesse et la direction du vent sous forme analogiques gréce au convertisseur numérique analogique MAX5822L, selon le schéma de principe de la figure 9. La sortie analogique OUTA correspond a la vitesse du vent mesurée (vitesse_analog_out), tandis que la sortie analogique OUTB correspond a la direction du vent (direction_analog_out). Q30. Sur le tableau, document réponse DR4, Indiquer les caractéristiques du convertisseur MAX5822L (Consulter Annexe 5). ujet de I’Examen National du Brevet de Technicien Supérieur - Session 2020 - Filigre : Systémes Electroniques - SE - Epreuve : Electronique ~Draprés le schéma de la figure 9 et Pannexe 5, déterminer l'adresse I2C de esclave (circuit MAXS822L). . Sachant que la vitesse du vent mesurée par le PIC est égale a 30m/s (en hexa Ox01E), Compléter sur le document réponse DR4, la trame d’écriture 12C de la donnée vitesse sur la sortie OUTA. . Sur le document réponse DR4, compléter Ia fonction void CNA_vitesse(int vitesse) qui permet denvoyer la trame de la question Q31 sur le bus 12. NB : les fonctions 12C_Start(), 12C_Stop(), char 12C_write(char donnee) et char 12C_read(char ack) sont prédéfinies. Tl. Communication Bus CAN de l’éolienne. Le calculateur Nacelle transmet périodiquement les données relatives aux capteurs de vitesse et de direction de vent sous forme d’une trame standard CAN 2.0B, HIGH SPEED (Voir ANNEXE 6), ayant un ID égale 0x132 pour la vitesse. 034, Déterminer les niveaux de tension correspondant aux bits dominant et récessif en complétant le tableau du document réponse DR4. La trame suivante, représente la transmission de la donnée relative 4 la direction du vent sans les bits de stuffing SOF J 00100110101 0 00 0010 00000000 11001001] CRC16 [01 1111111 Q35, Sur le tableau du document réponse DR4, Préciser les valeurs des champs ID, DLC et la donnée de Ia trame. Q36. Donner le principe utilisé pour les bits de stuffing. Q37. Sur le document réponse DR4, Rééerire la trame en respectant le principe du bit de stuffing, Q38. Sachant que la vitesse du vent est égale 0xOOIE (£erite sur 2 octets), compléter, sur le document réponse DR4, la trame de données correspondante sans bits de stuffing. Baréme de notation [1 point [Q9 1.5 point Q2 | 1 point | Q10 1 point 3 [1 point | QUI 1 point Q4 [1 point | Q12 LS point Q5 [1 point | Q13 1.5 point Q6 | 1 point | Q14 | 1 point Q7 [1 point | Q15 1 point Q8 | 1 point | Q16 1 point Sujet de I’Examen National du Brevet de Technicien Supérieur Filire : Systémes Electroniques - SE- Epreuve : Electronique Document réponse DRI : QL. Caractéristique de la génératrice utilisée dans I’éolienne Vestas V90-3000 Type de la génératrice Puissance maximale | Tension généré Fréquence de la tension QS. Vitesse du vent : Direction du vent Vitesse des composantes du vent (m/s) Axe NORD-SUD Vi= Axe EST-OUEST V2= BURST_IN ¢ Sujet de Examen National du Brevet de Technicien Supérieur Session 2020 - Filiére : Systemes Electroniques - SE- Epreuve : Electronique Document réponse DR2 : Qi6. T2CON TOUTPST T2CKPSI | TICKPSi TIOSCEN TMRICS 1s Q24. int mesure_T(){ ccp2co eee + // activer CCP2 en mode PWM // mise de TMRIL a 0 // mise de TMRIH a 0 // attente de 200us cep2col — // désactiver le module CCP2 while ( - // attente jusqu’a ce que CCPLIF=1 // mise & 0 de CCP1IF // 7 recoit 1a valeur de CCPRI // retour de la valeur de T ‘Sujet de I’Examen National du Brevet de Technicien Supérieur - Filiére : Syst@mes Electroniques - SE - Epreuve : Electronique Session 2020 - Q25. Document réponse DR3 : AL BURST_OUT NORD BURST OUT SUD BURST_OUT_OUEST BURST_OUT EST BURST_OUT PIC 0 q7. libra: ry ieee; use ieee.std_logic 1164.all; entit: y DEMUX1_4 is port ( BURST_OUT_NORD, BURST_OUT_SUD, BURST_OUT_OUEST, ve end DEMUX1_4; architecture rtl of DEMUX1_4 begin Q28. libra: ry ieee; use ieee.std_logic_1164.al1; entity MUX4 I is port (BURST_IN SUD, BURST_IN_NORD, end M BURST _IN EST, BURST_IN_OUEST : UX1_47 architecture archi_MUX4_1 of begin BURST OUT EST : out std_logic IN std_logic: Sujet de I’Examen National du Brevet de Technicien Supérieur - Session 2020 - Filire : Systémes Electroniques - SE - Epreuve : Electronique Document réponse DR4 = Q29. Résolution du CNA’ Fréquence max du bus I2C Q31. s] 32. void CNA_vitesse(int vitesse) { char a 12¢_start() a=I2¢_write (.. if (a=-0) { T2C_WEAte (soon T2C_WEtE (sumo Tension CANH, Tension CANL ‘Niveau Dominant Niveau récessif Q34. Binaire Hexadécimal o1 init Sujet de I’Examen National du Brevet de Technicien Supérieur - Session 2020 - Filiére : Syst#mes Electronique - SE- Epreuve : Electronique ANNEXE 1 : Eolien Vestas V90 3000 Technical specifications ot cooler © sence crane © Mechanica dsc brake Piten tnder Wate cooler for generator @- Generator ® macnine foundation @_ Hub contoter High voltage tanstormer composite dsc coupling lade bearing Utrasonle wind sensors @ vow gears © nae no» vip-tep controtier © coarvor © aise with converter Power curve v90-3.0 MW Wnt ee a) Sujet de Examen National du Brevet de. Filidre : Systémes Electroniques - SE - ‘echnicien Supérieur ~ Session 2020 - Epreuve: Electronique Tower Hb ig 8005 105m eee Re Operational data Chin wind seed ams "Nominal wind spe 2.000%) 15 m/s Gomtvind peed: 28 nib Generator Bee ‘Aarmecnan with comer Gearbo» x pe ‘ro planeta and one hil sage YS905.0 SW allows the rotor send ovary thin « rang of appreximay 60 port in rata ‘emia Th the tr sed con ary ye suchas 30 porcent above and low syncronos sped. Ths minions both rented Pctuatons in ‘the eulbt th grid supply and the lade om the ‘alps of he eonsinaion Control Miroproceuorased conta ft he turbine frcions wih the opcoh af emote ont ion an Some Ha ete CIA MECHA pm pimen 2m wor 2 10: 105m = seas, mt ome DB uoers ate only approved fr Germany Sujet de Examen National du Brevet de Technicien Supérieur Filidre : Systémes Electroniques - SE - Session Epreuve : Electronique de Météo-France Caraciéristiques du capreur : Vitecoe : Etendue de mesure : 1-85m/s. Domaine duttioation :-40°C ...70°C Richauffage : 24V-70W Fixation sur tube 1'** (40440 en mm) "ORIN Organisation Mi ANNEXE 2 : Capteur de vitesse et direction du vent Cate chatne de mesure du vent est utlisée dans fe réseau national Pricision 2 0.mis Jusqu'a Smis £ 2% au-deld de Smis Dirgation = Etendue de mesure = 0-360" Pricision at iéorologique Mondiale “OAC! Organisation de rAvistion Civile Internationale Les capteurs adaptés au givrage utlicent un anémomitre uitrasonique Pouvant se réchautfer Facilement. Ges équipements sont principale- mant destinés a dire installés en montagne. Les capteurs réalisent des mesures de vitesse et de direction du vent 2 fois par secondes et effectuant les calculs nécesssires 4 Fexploita- tion du vent eonformément aux régies de TOMM” et de !OACI™. Principaux calouis effectuée > Vitesse maximum du vent et s3 direction associts, asiculées sur une et 10 minutes Variation calcuiée sur 10 minutes de la vitesse et de Is direction du vent Vitesse moyenne du vent et Fargument du veeteur vent moyen sur 2- Sujet de I’Examen National du Brevet de Technicien Supérieur Filire : Systémes Electroniques - SE - ~ Session 2020 - Epreuve : Electronique ANNEXE 5: MAXIM Dual, 12-Bit, Low-Power, 2-Wire, Serial General Description The MAXS822 is a dual, 12-bit, votage-output, digitalto- analog converter (DAC) with an &C-compatible, 2-wire interface that operates at clock rates up to 400kH2. The device operates from a single 2.7V to 5.5V supply and draws only 115pA at Voo = 3.6V. A power-down mode decreases current consumption to less than 1pA. The MAXS822 features three software-selectable power- down output impedances: 1000, 1k0, and high imped- ‘ance. Other features include internal precision rai-to~ail ‘output butlers and a power-on reset (POR) circuit that powers up the DAC in the 100k®. power-down made. ‘The MAX5822 features a double-buffered C-compatible serial interlace that allows multiple devices to share a single bus. All logic inputs are CMOS-logic compatible and buffered with Schmitt tigers, allowing direct inter- facing to optocoupled and transformer isolated inter- faces. The MAXSB22 minimizes digital noise feedthrough by disconnecting the clock (SCL) signal from the rest of the device when an address mismatch is detected. The MAXS822 is speciied over the extended tempera- ture range of -40°C to +85°C and is available in a minia- ture &:pin uMAX® package. Rofer to the MAXE821 data sheet forthe 10-bit version and the MAXS820 data sheot forthe Bi version. Applications Digital Gain and Offset Adjustments Programmable Voltage and Current Sources Programmable Attenuation VCONaractor Diode Control Low-Cost Instrumentation Battery-Powered Instrumentation Pin Configuration Voltage-Output DAC Features 4 Ultra-Low Supply Current 145 pA at Voo = 3.6V 135UA at Voo = 55V ‘4 300nA Low-Power Power-Down Mode 4 Single 2.7V to 5.5V Supply Voltage + Fast 400kHz ?C-Compatible 2-Wire Serial Interface 4 Schmitt-Trigger Inputs for Direct Interfacing to ‘Optocouplers ¢ Rall-to-Rail Output Buffer Amplifiers 4 Three Software-Selectable Power-Down Output Impedances 100k0, 1k2, and High Impedance + Read-Back Mode for Bus and Data Checking + Power-On Reset to Zero ¢ Pin pMAX Package vo] [3 ove om [2] Atmpcuan 7 om wo] [a] sc oi [5] ezssxvn Sujet de I'Examen National du Brevet de Technicien Supérieur Filiare : Syst#mes Electroniques - SE- - Session 2020 - Epreuve : Electronique Stave Address The MAX5822 has four different factoryluser-pro- grammed addresses (Table 2). Address bits AG through At are preset, while AO is controlled by ADD. ‘Connecting ADD to GND sots AD = 0. Connecting ADD to Vpp sets AO = 1. This feature allows up to four MAX5822s to share the same bus. Table 2. MAX5822 I2C Slave Addresses DEVICE ADDRESS: (06.0) 177 000. PART Vao0 WANSIPAL, ‘ND Extended Command Mode ‘The MAX5822 features an extended command mode that is accessed by setting C3-CO = 1 and D11-D8 = 0. The next data byte writes to the shutdown registers s[els]uls]e a Figure 4 Slave Adress Bye Detndion WANSS22L_ MAXSEZ2N O17 001 7071 000 Yoo. ‘eno. fella] on MaxsE=a Yoo) 1011091 zt Write Data Format in write mode (RAW = 0), data that follows the address byte controls the MAXS822 (Figure §). Bits C3-CO con- figure the MAX5822 (Table 3). Bits D11-D0 are DAC ata. Input and DAC registers update on the falling ‘edge of SCL during the acknowledge bit. Should tne write cycle be prematurely aborted, data is not updated land the write cycle must be repeated. Figure 6 shows two example write data sequences. Figure & Command Byie Dation 18 wi ele oe | or ca Doreen Dx sence Figure 6. Exeriple Write Sequonces Table 3. Command Byte Definitions ‘SERIAL DATA INPUT co Dre FUNCTION e bac ‘Load OAC A input and DAC regisiore wih now data CContons of DAC 8 input rogistes are wanslerred othe DAC register, Bath outputs are update. {aac DAC B inp and DAC registore wah new dala ‘Conionis of DAC & Input regaere ae raatored othe DAC register. Both oupuls are updated simultaneously Sujet de I’Examen National du Brevet de Technicien Supérieur - Session 2020 - Filire : Systemes Electroniques - SE- Epreuve : Electronique ANNEXE 6: DOCUMENTATION Bus CAN Exemple de configuration de bus CAN automobile. Le bus CAN est composé de 2 fis (lorsadés) et de 2résistances de ferminaison RT de 120 0 environ (permet éviter le phénoméne de a [ réflexion). Le principe de fonctionnement est simple, les signaux sont complémentaires mals ea Tas 0 et 1 logiques ne sont pas, rai ws] siiués aux méme tensions pour CAN-H et CAN-L. En réalisant ta ‘soustraction des 2 signaux, on recompose le signal de départ C'est un bus série de type multi - maitre, c'est-a-dire que toutes les stations reliées au bus peuvent émettre un message lorsque le bus est libre. Le message contient un identificateur qui permet d'en définir la priorité. Le message de plus haute priorité prendra possession du bus. Toutes les stations recevront le message, mais seule celle concemée (reconnue grace 4 Videntificateur) le traite. Le débit varie de 125kbits/s & 1 Mbit/s pour le protocole HS (« High Speed »). Le coupiage physique au réseau est fait sur le principe du « OU cablé », c'est-a-dire qu'un niveau bas écrase un niveau haut en cas d’émission simultanée. On ne parlera donc pas d'état logique 0 et 1, mais d’états dominants et récessifs. L'état 0 correspond a un état dominant et état 1 a un état récessif. Trois types de trame peuvent circuler sur le bus: trame de requéte, trame de données et trame d'erreur. La norme 2.08 définit deux formats de protocole, fa version standard et étendue. La version 6tendue permet d’avoir un plus grand nombre de stations sur le réseau, le nombre maximum octets de données est inchangé. Les deux formats sont compatibles et peuvent se trouver sur un méme réseau. Une trame de données au format 2.08 est constituée selon un des modéles ci-dessous. Format standard 2.08 - treme de données ‘Champ Champ do cha Champ Finds | Bus tardtrage commande Gomger’__ S® ORE ACK trame re “Sea oT Format étendu 2.08 — wame de données Champs Game Finds es Connect’ G8GRE ack trame Int fee Some eet ibut de trame : SOF Constitué par un seul bit de niveau dominant qui indique aux stations le début du dialogue. Gelles-ci doivent se synchroniser sur le front de la transition. Sujet de I’Examen National du Brevet de Technicien Supérieur ~ Session 2020 - Filigre : Systémes Electroniques - SE- Epreuve : Electro Champ ¢’arbitrage Constitué des bits de lidentificateur et des bits RTR (« Remote Transmission Request bit »), plus les bits SRR (« Subtitute Remote Request bit ») et IDE (« Identifier Extension bit ») pour le format étendu. Uidentificateur est de 11 bits pour un format standard et de 29 bits pour un format étendu (le bit MSb étant transmis en téte). Le bit RTR indique une requéte de transmission a distance, il est au niveau dominant pour une trame de donnée et au niveau récessif pour une trame de requéte. Le bit SRR est état récessif pour les trames de données et de requétes. Le bit IDE ne se trouve dans le champ d'arbitrage que pour une trame au format étendu, il est alors au niveau récessif. Le champ d'arbitrage permet de reconnaltre la priorité du message. En cas d’émission simultanée, le premier message qui aura un bit dominant (alors que l'autre a un bit récessif) prendra la priorité sur la ligne. de commande Constitué de 6 bits : ~ Le bit IDE ne se trouve dans le champ do commande que pour une trame au format standard, i est alors au niveau dominant. Les bits +1 (format étendu uniquement) et r0 sont réservés: Pour une évolution future du protocole. is sont toujours au niveau dominant. Ensuite les 4 autres bts forment le champ DLC et indiquent le nombre doctets qui seront transmis dans le champ de données. DATA Constitué de 0 a 8 octets de données utiles (MSb est transmis en téte). Champ CRC : Constitué de 15 bits suivi d'un délimiteur au niveau récessif. C’est un code de contréle qui est transmis. hamp d’acquittement : ACI Constitué de 2 bits « ACK Slot » au niveau dominant et « Delimiter » au niveau récessif. Constitué de 7 bits au niveau récessif. I permet d'identifier la fin de la trame. Technique de « Bit Stuffing » : Pour éviter d'avoir une succession trop importante de bits dans le méme état, et ceci pour améliorer la synchronisation, on insére un bit d'un état opposé dés que l'on a transmis cing bits identiques 4 la suite. Trame & esters ~ LLLLL IIIT iii iii Tecan Trame | nde) « stuffée » Uti a ; Bits de « stuffing » Trame a estuters ~ LLLLISTITLLLT TT Trame « stuffée » ULL LTT TLL LT Bits de « stuffing » Caractéristiques électriques pour une transmission de type HS. {a transmission de données est effectuée sur une paire filaire différentielle. Elle est constituée de deux fils : CAN-L et CAN-H. (Note: 2.6V

You might also like