You are on page 1of 168

IEEE MICROWAVE MAGAZINE IMS2023 MICROWAVE WEEK 11—16 JUNE 2023 SAN DIEGO, CALIFORNIA VOLUME 24 • NUMBER 5 • MAY

5 • MAY 2023
/3=9'36燧燥燧燨
燧燥燧燨/+++3::9/TZKXTGZOUTGR3OIXU]G\K=UXQYNUV9KXOKYUT
'J\GTIKJ3GZKXOGRYGTJ6XUIKYYKYLUX8,GTJ:.`'VVROIGZOUTY

4U\KSHKX燦燨燦燪燧燥燧燨)NKTMJ[)NOTG
)GRRLUX6GVKXY
NZZV ]]]KSIUTLIUSOS]YGSV狤狢狤狥

Organizing Committee IEEE MTT-S International Microwave Workshop Series on Advanced Materials and Processes for RF and
THz Applications (IMWS-AMP 2023) is organized by University of Electronic Science and Technology of
General Chair China, co-sponsored by National University of Singapore Research Institute (Chongqing), IEEE Microwave
Yu Jian Cheng, University of Electronic Science and Technology Theory and Technology Society (IEEE MTT-S), and IEEE Chengdu Joint AP/MTT Chapter. IMWS-AMP 2023 is
a continuation of a series of annual international events held in Suzhou, China (2015), Chengdu, China
of China, China
(2016), Pavia, Italy (2017), Michigan, USA (2018), Bochum, Germany (2019), Virtual (2020), Chongqing,
China (2021) and Guangzhou, China (2022). The purpose of this platform is to boost technical and
General Co-Chair educational activities as well as exchanges and collaborations within the international microwave
Yong Xin Guo, National University of Singapore, Singapore community. IMWS-AMP2023 will be held in Chengdu, China on Nov. 13-15, 2023. IMWS-AMP2023 will
Wen Quan Che, South China University of Technology, China feature both invited and contributed papers. Distinguished researchers will be invited to deliver keynote
speeches on technology trends and significant advances in relevant topics. Contributed papers are solicited
TPC Chair for the same topics as listed below:
Cheng Wang, University of Electronic Science and Technology Topics
of China, China The topics include, but are not limited to, the following technical areas:
Advanced Materials
TPC Co-Chairs  Wide bandgap and other emerging semiconductor materials based electronic devices and circuits
Qiang Cheng, Southeast University, China  Advanced materials for RF electronics and antennas
Kuang Zhang, Harbin Institute of Technology, China  Engineered metamaterials and plasmonics for absorption, cloaking, and wave manipulation
 Spin-wave and magnetic crystal materials
Lin Sheng Wu, Shanghai Jiao Tong University, China
Emerging Devices & Circuits
Ming Chun Tang, Chongqing University, China
 Emerging electronic and optoelectronic devices
Bing Zhang, Sichuan University, China  Advanced silicon, integrated passive devices and integrated circuits
 Cryogenic devices and circuits for quantum sensing & control
ISC Co-Chairs  Passive/active microwave and terahertz devices and circuits
Maurizio Bozzi, University of Pavia, Italy  Compound semiconductor monolithic integrated circuits
Christophe Fumeaux, University of Adelaide, Australia Progressing Packaging:
Ke Wu, Polytechnique Montréal, Canada  Integrated passive devices and advanced packaging for RF applications
Kwai Man Luk, City University of Hong Kong, Hong Kong,  Low-temperature co-fired ceramic and liquid crystal polymer based microwave devices and circuits
 Large-area printing, inkjet printing and 3D printing materials and processes for RF and THz applications
China
 Fan-out wafer/panel level packaging for 5G mmWave and IoT, etc.
Mauro Ettorre, Michigan State University, USA
Large-scale Antennas & Microsystems
Yue Ping Zhang, Nanyang Technological University, Singapore  Antennas with advanced/complex/artificial materials and processes
Richard W. Ziolkowski, University of Technology Sydney,  Microwave and millimeter wave antennas and antenna arrays
Australia  Analog, RF, millimeter wave and THz microsystems
Electronic Paper Submission
Award Committee Chair Prospective authors are invited to submit manuscripts in electronic (PDF) format only. All papers must be
Kai Kang, University of Electronic Science and Technology of written in English and limited to three pages including text, references, and figures. A template is available
China, China on the IMWS-AMP 2023 website. Papers submitted will be peer reviewed and all papers presented at the
conference will be included in IEEE Xplore pending quality review. Note that one-page abstracts can also be
acceptable, but it will not be included in IEEE Xplore.
Award Committee Co-Chairs
Special Issues in IEEE Transactions on Microwave Theory and Techniques (IEEE T-MTT):
Zhang Ming Zhu, Xidian University, China Authors of all papers presented at IEEE IMWS-AMP 2023 are invited to submit an expanded version of their
Wen Hua Chen, Tsinghua University, China papers to a Mini-Special Issue of IEEE T-MTT. A significant extension of the conference paper is required, so
Wen Jie Feng, South China University of Technology, China that the novelty and quality of the manuscript is the same as that of regular manuscripts of IEEE T-MTT.
Zhi Hao Jiang, Southeast University, China Every paper will be reviewed in the same manner as all other regular submissions.
Best Paper Awards
Publication/Web Co-Chairs: Awards for Best Student Papers will be presented to the winners at the conference. The Awards Committee
will judge the papers primarily on originality, significance, technical soundness, presentation, and reviewers’
Ya Fei Wu, University of Electronic Science and Technology of
reports. To qualify for the Best Student Paper, the author must be a full-time student who presents, as the
China, China first author, the paper at the conference.
Xiao Wei Zhu, Southeast University, China Special Sessions
Special sessions of Young Professionals (YP) and Women in Microwaves (WiM) will be organized in the
Sponsorship/Publicity Chair conference. The other special sessions are welcome and the requests to organize should be submitted to
Bo Zhang, University of Electronic Science and Technology of the committees no later than July 10, 2023.
China, China PhD Student Initiative Program
PhD Student Initiative is sponsored by IEEE MTT-S Education Committee. Through this scheme, the plan is
to invite mid-stage PhD students (without any paper at IMWS-AMP 2023) from universities or institutes in
Local Arrangement Chair
China to participate in this conference. The registration fee for the selected candidates will be waived, and
Qiao Liu, University of Electronic Science and Technology of they will be allowed to attend all the events during the conference.
China, China Exhibitions
Xia Qin Li, University of Electronic Science and Technology of Exhibition of company products is solicited for the areas related to the topics. Interested parties could
China, China contact the Conference Secretariat.
Important Dates
Finance Chair Paper Submission Deadline: Aug. 1, 2023
Qin Wan, University of Electronic Science and Technology of Notification of Acceptance: Sept. 15, 2023
Pre-registration: Oct. 15, 2023
China, China

Digital Object Identifier 10.1109/MMM.2023.3243479


Microwave Magazine and MTT Society Officers
Editor
Robert H. Caverly, Villanova University,
USA, microwave.editor@ieee.org
Assistant Editor
Sharri Shaw, JWM Consulting LLC, USA,
microedt@outlook.com
Associate Editors
Nuno Borges Carvalho, University of Aveiro,
Portugal, nbcarvalho@ua.pt Volume 24 • Number 5 • May 2023 • ISSN 1527-3342
Simone Bastioli, RS Microwave, NJ, USA,
sbastioli@rsmicro.com
Chia-Chan Chang, National Chung-Cheng features
University, Taiwan, ccchang@ee.ccu.edu.tw
Ali Darwish, American University in Cairo,
Egypt, ali@darwish.org 22 The Challenge to Develop the Perfect Flat Panel
Christian Fager, Chalmers University of Technology,
Sweden, christian.fager@chalmers.se
Satellite Communications Terminal
Kenneth E. Kolodziej, MIT Lincoln The Perfect SATCOM Terminal
Laboratory, kenneth.kolodziej@ll.mit.edu Ryan Jennings
Jianguo Ma, Guangdong University of
Technology, China, mjg@gdut.edu.cn
Alfy Riddle, Quanergy Systems, Inc., Sunnyvale,
30 Integrated Circuits for Wireless Communications:
CA, USA, alfred.riddle@quanergy.com Research Activities at the University of
Luca Roselli, University of Perugia, Italy,
urlofi@tin.it; luca.roselli@unipg.it California, San Diego
Kamal Samanta, Sony Europe, U.K., Circuits Research for Wireless Communications
kmlsamanta@googlemail.com at the University of California, San Diego
Almudena Suarez, University of Cantabria,
Spain, almudena.suarez@unican.es Peter Asbeck, Dinesh Bharadia, Ian Galton,
Anding Zhu, University College Dublin, Drew Hall, Hanh-Phuc Le, Patrick Mercier,
Ireland, anding.zhu@ucd.ie and Gabriel Rebeiz
Columns and Departments
MicroBusiness
Fred Schindler, Newtonville, MA, USA,
45 An Overview of RF and Microwave
m.schindler@ieee.org Research in Latin America
Health Matters Scanning Latin American Research on Microwaves
James C. Lin, University of Illinois-Chicago,
Chicago, IL, USA, lin@uic.edu José E. Rayas-Sánchez and J. Apolinar Reynoso-Hernández
Microwave Surfing
Rajeev Bansal, University of Connecticut, Storrs,
CT, USA, rajeev@engr.uconn.edu
58 RF and Microwave Technology Development
Book Reviews at the Naval Information Warfare Center
James Chu, Kennesaw State University, Marietta, RF Technology Development at the Naval Information
GA, USA, jameschu@bellsouth.net
Education Corner Warfare Center
Wenquan Che, Nanjing University of Science Jia-Chi Samuel Chieh, Everly Yeo, Raif Farkouh,
and Technology, China, yeeren_che@163.com Randall Olsen, and Alex Phipps
Women in Microwaves
Sherry Hess, Cadence Design Systems, Inc.,
sherry.hess.us@ieee.org
Membership News
Bela Szendrenyi, Advantest, San Jose, CA,
USA, bela.szendrenyi@advantest.com
New Products IMS2023
Ken Mays, The Boeing Company, WA, USA,
microwave.newproducts@ieee.org 74 IMS2023 Guest Editor’s Welcome
In Memoriam Contributions ■ Fritz Raab
Jerry Hausner, USA, j.hausner@ieee.org
Ombuds Officer 77 IMS2023 General Chairs’ Welcome: Coolest
Edward C. Niehenke, Niehenke Consulting,
USA, e.niehenke@ieee.org Ideas Under the Sun
■ John Wood and Gayle Collins
IEEE Periodicals Magazines Department
AndreAnna McLean, Managing Editor 80 The IMS2023 Technical Program Encourages
Katie Sullivan, Senior Manager, Journals Production
Janet Dudar, Senior Art Director Industry Participation
Gail A. Schnitzer, Associate Art Director ■ Dominique Schreurs and Pete Zampardi
Theresa L. Smith, Production Coordinator
Felicia Spagnoli, Advertising Production Manager 84 IMS2023 Focus and Special Sessions
Peter M. Tuohy, Production Director ■ Alessandra Costanzo and Anding Zhu
Kevin Lisankie, Editorial Services Director
Dawn Melley, Senior Director, Publishing Operations
(continued on page 3)
Advertising Sales
Mark David, Director, Business Development—
Media & Advertising
+1 732 465 6473, fax +1 732 981 1855
on the cover:
Digital Object Identifier 10.1109/MMM.2022.3231517 ©SHUTTERSTOCK.COM/MANUELA DURSON

IEEE prohibits discrimination, harassment, and


bullying. For more information, visit http://www.ieee.
May 2023 org/web/aboutus/whatis/policies/p9-26.html. 1
I E E E I nternati onal M i crowave B i omed i cal Conf erence

September 11-13, 2023


2023
Leuven, Belgium
CALL FOR PAPERS
Organizing Committee Th e 2023 I E E E I nternati onal M i crowave B i omed i cal Conf erence ( I M B i oC 2023 ) will be held on
G eneral Ch ai r September 11-13, 2023, in Leuven, Belgium in a physical mode. IMBioC is an international forum to exchange ideas and
Dominique Schreurs information on state-of-the-art research in RF and microwave, antennas and electromagnetic theory and technology,
G eneral Co-Ch ai r that bridge the science and engineering gap as applied to biomedical systems. IMBioC 2023 is organized by KU Leuven.
Tomislav Markovic IMBioC 2023 is the continuation of a series of IEEE MTT-S biomedical focused events held in Suzhou (2022), Toulouse
Tech ni cal P rog ram Co-Ch ai rs (2020), Nanjing (2019), Philadelphia (2018), Gothenburg (2017), Austin (2016), Taipei (2015), San Diego (2015), London
Ping Jack Soh, (2014), Newport Beach (2014), Singapore (2013), Austin (2013), Santa Clara (2012) and Phoenix (2011). IMBioC 2023
Marco Mercuri will feature both invited and contributed papers. Distinguished researchers will be invited to deliver keynote speeches
Fi nance Ch ai r on technology trends and significant advances in relevant topics. Contributed papers are solicited for the topics as
Xuezhi Zheng listed below.
Award s Commi ttee Ch ai r
Rocco Giofre Topi cs of i nterest i nclud e, b ut are not li mi ted to
Focus S essi ons Ch ai r » RF/microwave/THz circuits and systems for biomedical applications
Hendrik Rogier I mportant d ates » Antennas and propagation for biomedical applications
W omen i n M i crowaves Ch ai r » Bio-Electromagnetics
Alessandra Costanzo
P aper sub mi ssi on » Electromagnetic imaging and magnetic resonance imaging
P ub li cati on Co-Ch ai rs » Radar and radio sensor applications for biomedical applications
Maede Chavoshi › M ay 1, 2023 » Wireless power transfer and wireless communication technologies for
Ben Harkinezhad Nalivan biomedical applications
P ub li ci ty Co-Ch ai rs P aper noti f i cati on » Wearable and bio-implantable antennas and wireless devices
Ben Harkinezhad Nalivan › J uly 1, 2023 » Interaction of electromagnetic fields with biological materials at the tissue,
Marie Mertens
cellular and molecular levels
I nd ustry Ch ai r Fi nal manuscri pt » Pathological, physiological and biochemical studies with electromagnetic waves
Greet Bilsen
Y oung P rof essi onals Ch ai r
› Aug 1, 2023 » Electromagnetic safety studies and regulatory compliance
» Electromagnetic compatibility and interference for biomedical applications
Giacomo Paolini
» Internet of Things (IoTs) for biomedical applications
I T S upport Ch ai r » Body Sensor Network and body-centric communications
Matko Martinic
» Other related topics
W eb si te Ch ai r P aper sub mi ssi on
Yasser Mohammadi Qaragoez Authors are invited to submit three-page manuscripts in PDF format. All papers must be written in English and describe
S oci al M ed i a Ch ai r clearly the concept and results. The template is available on the IMBioC’s website. Papers submitted will be peer
Michal Cifra reviewed. All presented papers at the conference will be submitted to IEEE Xplore.
L ocal Arrang ement Co-Ch ai rs B est S tud ent P aper contest
Pouya Mehrjouseresht A “Best Student Paper” award, sponsored by GAAS, will be presented at the conference. The awards committee will
Maede Chavoshi
judge the papers on originality, significance, technical soundness, and presentation. To qualify, the author must be a
S oci al E vents Ch ai r full-time student who presents the paper as the first author.
Marie Mertens
S peci al I ssue i n I E E E J -E R M
Conf erence S ecretari at
Natalie Buyckx
Authors presenting at IMBioC 2023 are invited to submit an expanded version of their papers to a special issue in the
IEEE Journal of Electromagnetics, RF and Microwaves in Medicine and Biology (J-ERM): ieee-jerm.org.
E x ecuti ve Commi ttee
J.-C. Chiao, Katia Grenier,
Alessandra Costanzo, Robert
Caverly , Yong Xin Guo,
Dominique Schreurs, Milica
Popovic, Hong Hong, Chung-Tse
Michael Wu, Dietmar Kissinger

imbioc-ieee.org
IMBioC2023@gmail.com

Digital Object Identifier 10.1109/MMM.2023.3243449


IMS2023 (Continued)
86 IMS2023 Connected Future Summit
IEEE Microwave Theory and Technology Society ■ Debabani Choudhury, Upkar Dhaliwal,
The IEEE Microwave Theory and Technology Society (MTT-S) is Peiying Zhu, Ashutosh Datta, and Timothy Lee
an organization, within the framework of the IEEE, of members
with principal professional interests in the field of microwave
theory and technology. All Members of IEEE are eligible for 88 Workshops at IMS2023
membership in the Society. Information about joining IEEE ■ Pere L. Gilabert and Ethan Wang
or the Society is available on the web, http://www.ieee.org/
membership.
100 Lunch Is More Than Just a Meal
MTT-S AdCom at IMS2023
The Society is managed by an Administrative Committee
(AdCom) consisting of 22 elected members of the Society ■ Tomislav Markovic
plus additional ex-officio members as provided in the MTT-S
Constitution and Bylaws, which is available on the web,
http://www.mtt.org.
104 IMS2023 Panel and Rump Sessions
■ Nuno Borges Carvalho and Ke Wu
Officers
President: Nuno Borges Carvalho
President-Elect: Maurizio Bozzi 107 Boot Camps at IMS2023 in
Secretary: Valentina Palazzi San Diego, CA, USA
Treasurer: Kamran Ghorbani ■ Larry Dunleavy, Joanne Mistler, and Ulf Johannsen
Elected Members
Jaleel Akhtar Jasmin Grosinger 110 New for IMS2023: Preconference
Joseph Bardin Amelie Hagelauer
Maurizio Bozzi Sridhar Kanamaluru Video “Teaser” Tutorials
James Buckwalter Dietmar Kissinger ■ Matt Ozalas
Nuno Borges Carvalho Imran Mehdi
Robert H. Caverly Frederick H. Raab
Goutam Chattopadhyay Jose Rayas-Sanchez 111 IMS2023 Paper Competitions
Wenquan Che Tushar Sharma ■ Holger Maune, Michael Roberg, Freek van Straaten,
J.C. Chiao Naoki Shinohara R. Neil Braithwaite, Jonas Urbonas,
Terry Cisco Anding Zhu
Kamran Ghorbani and Paolo de Falco
Xun Gong

Ex-Officio Members 113 IMS2023 Student Design Competitions


Immediate Past Presidents: Rashaunda Henderson* (2022) ■ Paweł Barmuta and Koen Buisman
Gregory Lyons* (2021)
Alaa Abunjaileh* (2020) 114 Our Seventh IMS Microwave Week
Honorary Life Members* 3MT Competition
(max. three votes): Józef Modelski
John T. Barr IV ■ Jimmy G.D. Hester, Aline Eid, John W. Bandler,
Peter Staecker Erin M. Kiley, and Daniel Tajik
Richard Snyder
Manfred Schindler
118 IMS2022 Plenary Session, Receptions,
MTT-S Publications and Closing Session
IEEE Trans. Microwave
Theory & Techniques Editor: Almudena Suarez Rodriguez* ■ John Wood and Gayle Collins
IEEE Microwave & Wireless
Components Letters Editor: Roberto Gomez-Garcia*
IEEE Microwave Magazine Editor: Robert H. Caverly 120 Young Professionals Reception
IEEE Trans. Terahertz Science & and Activities
Technology Editor: Nuria Llombart Juan* ■ Tushar Sharma, Jonas Urbonas,
IEEE Journal of Electromagnetics,
RF, and Microwaves in Medicine and Paolo de Falco
and Biology Editor: Yongxin Guo
IEEE Journal on Multiscale and
Multiphysics Computational
122 Women in Microwaves at IMS2023
Techniques Editor: Costas Sarris ■ Johana Yan and Jasmin Grosinger
IEEE Journal of Microwaves Editor: Peter Siegel*
*Indicates voting AdCom ex-officio member 123 Calling All Hams to San Diego!
■ Michelle Thompson

IEEE Microwave Magazine (ISSN 1527-3342) (IEMMFF) is published 12 times 124 Join Our IMS2023 “Journals” Reception
a year by the Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park
Avenue, 17th Floor, New York, NY 10016-5997 USA. Responsibility for the contents
and Panel Session in San Diego
rests upon the authors and not upon the IEEE, the Society, or its members. IEEE Service ■ Peter Siegel
Center (for orders, subscriptions, address changes): 445 Hoes Lane, Piscataway, NJ 08854.
Telephone: +1 732 981 0060, +1 800 678 4333. Individual copies: IEEE members US$20.00
(first copy only), nonmembers US$38.00 per copy. Subscription rates: Subscriptions for
127 MTT-S Awards and the IMS2023
Society members are included with membership dues. Nonmember subscription rates Awards Banquet
available upon request. Copyright and reprint permissions: Abstracting is permitted ■ Robert Weigel
with credit to the source. Libraries are permitted to photocopy beyond the limits of U.S.
Copyright law for the private use of patrons those articles that carry a code at the bottom
of the first page, provided the per-copy fee is paid through the Copyright Clearance 130 The 2023 RFIC Symposium
Center, 222 Rosewood Drive, Danvers, MA 01923 USA. For other copying, reprint, or ■ Donald Y.C. Lie, Danilo Manstretta,
republication permission, write Copyrights and Permissions Department, IEEE Service
Center, 445 Hoes Lane, Piscataway, NJ 08854 USA. Copyright © 2023 by the Institute of and Hua Wang
Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals postage paid at
New York, N.Y., and at additional mailing offices. Ride along enclosed. Postmaster: Send
address changes to IEEE Microwave Magazine, IEEE Operations Center, 445 Hoes Lane,
Piscataway, NJ 08854 USA. Canadian GST #125634188
PRINTED IN THE USA
Digital Object Identifier 10.1109/MMM.2022.3231539

May 2023 3
IMS2023 (Continued)

133 2023 Spring/Summer ARFTG Microwave 142 Looking for Some Fun in the Sun?
Measurement Conference Be a Student Volunteer!
■ Marco Spirito, Jeffrey Jargon, Jon Martens, ■ Spyridon “Spyros” Pavlidis
and Dennis Lewis
144 The Ph.D. Student Sponsorship Initiative
134 The IMS2023 Exhibition at IMS2023 and RFIC2023
■ Carl Sheffres ■ Rashaunda Henderson

136 The MicroApps Seminars 145 IMS2023 MTT-S Historical Exhibit


■ Joseph Staudinger and David W. Runton ■ Steven N. Stitzer and Steve Huettner

138 IMS Industry Focus 147 IMS2023 Marketing, Publicity, Publications,


■ Damon Holmes and Gayle Collins and Promotions Activities
■ Ryan Baker and Judy Warner
140 IMS2023 Project Connect:
10 Years of Awesome 148 Guest and Hospitality Suite—Join Us in
■ Rhonda Franklin, Rashaunda Henderson, San Diego 11–16 June!
Netra Pillay, Heena Rathore, Abhay Samant, ■ Maggie Caverly and Karleen Mays
Alex Stutts, and Tom Weller

columns & departments


6 From the Editor’s Desk 20 Women in Microwaves
■ Robert H. Caverly ■ Pei Qin, Wanchen Yang, and Wenquan Che
See You in San Diego in June! Recognize and Leap Over the Gaps

10 President’s Column 149 Enigmas, etc.


■ Nuno Borges Carvalho ■ Takashi Ohira
The Greatest Microwave/RF/Wireless Technology Half-Wave Rectification
Show Is in San Diego
150 Speaker’s Corner
16 MicroBusiness ■ Mahmoud Wagih
■ Fred Schindler Engaging the Public: Using Microwave Wireless
Networking—Managing Your Career “Chargers” to Charge the Interest of Future Engineers

18 Microwave Surfing 154 MTT-S Ombuds Officer


■ Rajeev Bansal ■ Edward C. Niehenke
First Encounter?
156 Conference Calendar

4 May 2023
Optimize Wireless Communication Coverage
with Wireless InSite®
Now with Engineered Electromagnetic Surface Modeling

Model passive metasurfaces designed to optimize


wireless communication coverage by manipulating
how signals propagate through a scene.

Evaluate how the EES modifies the propagation


environment to improve connectivity.

Learn more and request a demonstration at


www.remcom.com/wireless-insite
Wireless InSite simulates propagation in a Se e us at IMS MTT-s Booth #1 50 7
scene with sub-optimal signal coverage (top)
and reveals the improvement with the addition
of an EES (bottom).

+1.888.7.REMCOM (US/CAN) | +1.814.861.1299 | www.remcom.com

Improve the design process | Reduce development costs | Deliver superior results
See You in San Diego in June!
■ Robert H. Caverly

T
he International Microwave Sym-
posium (IMS) is the IEEE Micro-
wave Theory and Technology
Society’s (MTT-S’s) flagship confer-
ence, and this major MTT-S event is
right around the corner. With a wide
range of technical sessions, panel ses-
sions, networking sessions, receptions,
and, of course, the huge exhibition,
IMS2023 will truly be, as the confer-
ence slogan states, where you will
find The Coolest Ideas Under the Sun in
microwave engineering. You can find
the latest about IMS2023 at the confer-
ence link, https://ims-ieee.org/,, and
later in this issue, you will find a pre-
view of the events at IMS2023.
With IMS2023 being the largest IMAGE LICENSED BY INGRAM PUBLISHING

microwave event of the year, it is only


fitting that the focus issue for IMS2023 In a similar vein, the IMS2023 will industry, government,
be the largest IEEE Microwave Magazine IMS2023 focus issue and academia. I want
issue of the year. IMS2023 could not be could not have been truly be, as to also thank Fritz for
organized without the dedicated work organized without the the conference his work with the vari-
of the volunteers of the steering com- hard work of our guest ous IMS2023 steering
mittee, under the leadership of Gayle editor, Frederick “Fritz”
slogan states, committee subcommit-
Collins and John Wood (John is a previ- Raab. Fritz worked dil- where you tees to bring you col-
ous editor-in-chief of this magazine). igently to provide you
will find The umns associated with
with a look at some of each subcommittee’s
Robert H. Caverly (robert.caverly@villanova. the exciting microwave Coolest Ideas c o nt r i b ut io n to the
edu) is with Villanova University, Villanova, PA work being done in the Under the Sun conference, describing
19085 USA. San Diego, CA, USA, the technical and not
area, with four techni- in microwave so technical events of
Digital Object Identifier 10.1109/MMM.2023.3244050
Date of current version: 6 April 2023 cal features that span engineering. IMS2023. I encourage

6 May 2023
Take the Lead
in RF Design
with COMSOL Multiphysics®
Multiphysics simulation is expanding the scope of RF analysis to higher
frequencies and data rates. Accurate models of microwave, mmWave, and
photonic designs are obtained by accounting for coupled physics effects,
material property variation, and geometry deformation. Ultimately, this
helps you more quickly see how a design will perform in the real world.

» comsol.com/feature/rf-innovation
Se e us at IMS MTT-s Booth #1 71 8
you to start your reading of the IMS2023 Workshop Series on Advanced Materials no. 5, pp. 74–76, May 2023, doi: 10.1109/
MMM.2023.3242521.
focus issue with his guest editor’s col- and Processes for RF and THz App-
[A2] N. B. Carvalho, “The greatest microwave/
umn [A1], where Fritz outlines the issue lica tions, in Guangzhou, China. This RF/wireless technology show is in San Di-
in detail. month’s “Speaker ’s ego [President’s Column],” IEEE Microw.
Even though the Corner” [A5] is contri- Mag., vol. 24, no. 5, pp. 10–14, May 2023, doi:
bulk of this issue is Even though buted by Mahmoud 10.1109/MMM.2023.3243442.

devoted to IMS2023, the bulk of this


[A3] F. Sc h i ndler, “Net work i ng—Ma nag-
Wagih and looks at an ing your career [MicroBusiness],” IEEE
we still have a number issue is devoted o u t re a c h a p p ro a c h Microw. Mag., vol. 24, no. 5, pp. 16–17,
of our regular month- to educate the general May 2023, doi: 10.1109/MMM.2023.

ly columns. In his to IMS2023,


3242519.
community on the role
[A4] P. Qin, W. Yang, and W. Che, “Recognize
“President’s Column” we still have a microwave engineer- and leap over the gaps [Women in Mi-
[A2], MTT-S President number of our ing plays in everyday crowaves],” IEEE Microw. Mag., vol. 24,
Nuno Borges Carvalho life and, hopefully, to no. 5, pp. 20–21, May 2023, doi: 10.1109/

provides his thoughts regular monthly


MMM.2023.3242475.
draw more interest from [A5] M. Wagih, “Engaging the public: Using
on IMS and introdu- columns. students who might microwave wireless ‘chargers’ to charge
ces the chairs of the consider joining our the interest of future engineers [Speak-
MTT-S Administrative Committee’s community. Also in this month’s issue, er’s Corner],” IEEE Microw. Mag., vol. 24,
no. 5, pp. 150–153, May 2023, doi: 10.1109/
(AdCom’s) IMS Executive Committee and we have our “Microwave Surfing” col- MMM.2023.3242497.
Standards Committee. Fred Schindler, in umn [A6], the “MTT-S Ombuds Officer” [A6] R. Bansal, “First encounter? [Microwave
his “MicroBusiness” column [A3], dis- [A7], and the solution to the previous Surfing],” IEEE Microw. Mag., vol. 24, no. 5,
pp. 18–19, May 2023, doi: 10.1109/MMM.
cusses how to manage your career. This “Enigmas, etc.” [A8] thought-provoking
2023.3242516.
is Fred’s 100th column for the magazine, problem. To round out the issue, please [A7] E. C. Niehenke, “MTT-s Ombuds of-
and I wish to thank him for sharing his read the “Conference Calendar” [A9] ficer,” IEEE Microw. Mag., vol. 24, no.
business perspectives with our reader- which gives you a look ahead at upcom- 5, pp. 154–155, May 2023, doi: 10.1109/
MMM.2023.3242498.
ship and for his overall support of the ing conferences that the MTT-S sponsors
[A8] T. Ohira, “Half-wave rectification [Enig-
magazine over the years. This month’s either financially or technically. mas, etc.],” IEEE Microw. Mag., vol. 24,
“Women in Microwaves” (WIM) col- See you in San Diego next month! no. 5, p. 149, May 2023, doi: 10.1109/
umn [A4], authored by MTT-S AdCom MMM.2023.3242520.
[A9] “Conference calendar,” IEEE Microw. Mag.,
member Wenquan Che, takes a look
Appendix: Related Articles vol. 24, no. 5, p. 156, May 2023, doi: 10.1109/
at the special WIM session held at the [A1] F. Raab, “IMS2023 guest editor’s wel- MMM.2023.3242956.
2022 MTT-S International Microwave come,” IEEE Microw. Mag., vol. 24,

016008C Series
The World’s Smallest Wirewound Chip Inductor!
• Measures just 0.47 x 0.28 mm with a height of 0.35 mm
• Choose from 36 precisely-spaced L values from 0.45 to 24 nH
• Offers higher Q than all thin-film types: Up to 62 at 2.4 GH
• Ideal for high-frequency applications, such as cell phones,
wearable devices, and LTE/5G IoT networks

Free Samples @ coilcraft.com


See us at IMS MTT-s Booth #1524

8 May 2023
MAKING MMW ACCESSIBLE
MILLIMETER WAVE COMPONENTS & SUBASSEMBLIES

COMPLETE DC TO 330 GHz OFFERING

CUSTOM AT COMMERCIAL SPEEDS

OVER 5,000 SKUS AND COUNTING

MMW AND SUB-THz EXPERTS

Scan the code to explore our


60,000 sq. ft. facility in Torrance, CA
Adapters • Amplifiers • Antenna Feeds • Antennas • Attenuators • Bias Tees • Cable Assemblies • Corner Reflectors • Couplers
WWW.ERAVANT.COM • DC Blocks • Detectors • Ferrite Devices • Filters • Frequency Converters • Frequency Multipliers • Limiters • Magic Tees • Mixers •
www.eravant.com 501 Amapola Avenue Torrance, CA 90501 Noise Sources • Oscillators • Phase Shifters • Power Dividers • Radar Sensors • Subassemblies • Switches • Termination Loads
T: 424-757-0168 F: 424-757-0188 support@eravant.com • Test Equipment • Test Hardware & Accessories • TX/RX Modules • Uni-Guide™ • VNA Extenders • Waveguide Sections

Se e us at IMS MTT-s Booth #1 4 1 8


The Greatest Microwave/RF/Wireless Technology
Show Is in San Diego
■ Nuno Borges Carvalho

T
he greatest microwave/RF/ the topical areas and activities they man-
wireless technology show is in age, including workshop proposals,
San Diego, CA, USA. The In- specific subconferences, student de-
ternational Microwave Symposium sign competitions, special issues for
(IMS) is coming to San Diego in June. our journals, and any other ideas.
IMS is the most significant event for This year at IMS, we will also have
microwave enthusiasts, students, en- a rump session discussing MTT-S
gineers, researchers, and professors. journal reviews, and our publication’s
I attended my first IMS in 1998, in Bal- reviewers are invited to join since it
timore, MD, USA, and since then, IMS would be an excellent time to network
has continued to be where I want to with other reviewers and editors.
be every year; the networking aspect In the MTT-S AdCom, we have a
of this conference, combined with the specific committee focused on IMS
exhibition, where the latest industry and its organization, the IMS Execu-
instruments are presented, is a crucial tive Committee (IMSEC), which deals
moment of our year. with IMS management, and a Standards
Before IMS, the IEEE Microwave Committee that meets during IMS to
Theory and Technology Society (MTT-S) discuss the future of various microwave
Administrative Committee’s (AdCom’s) standards under consideration.
second annual meeting (AM2) will be I cannot end this column without
IMAGE LICENSED BY INGRAM PUBLISHING
held to discuss the administrative ac- paying respect to our colleague Rein-
tivities of our Society. This is a great looking forward to managing such a hard Knöchel, professor emeritus at the
opportunity, where we discuss the great show so that in the future, we will
strategy for the Society and manage continue to provide a great conference for
the activities for the year; Figure 1 is a all our members. Nuno Borges Carvalho
photograph of the AdCom taken dur- During IMS, our technical commit- (nbcarvalho@ua.pt),
ing the first meeting of the year, in Las tees also meet and discuss future activi- 2023 MTT-S president,
Vegas, NV, USA, in January. ties in all the technical topics we cover; at is with the Department
At AM2, we will also discuss the this moment, the MTT-S has 29 technical of Electronics,
future of IMS, and we have a task force groups (you can read about them at Telecommunications,
and Informatics,
https://mtt.org/technical-committees
University of Aveiro,
-list/). We invite members to attend
Digital Object Identifier 10.1109/MMM.2023.3243442 Aveiro 3810-193 Portugal.
Date of current version: 6 April 2023 the meetings and volunteer to support

10 May 2023
Visit us at IMS 2023 booth #1148!
©LYLE PHOTOS
Figure 1. The MTT-S AdCom.

University of Kiel, Germany, who passed J.K. McKinney (Figure 2) will chair IMS2021, and IMS2022. For his long
away in January; my thoughts go to his the IMSEC. McKinney has a long his- history of service to the Society, the
family, relatives, and friends. tory of supporting IMS. He first vol- AdCom presented him with the 2015
unteered, in 1984, to serve on the 1989 Distinguished Service Award.
2023 IMSEC IMS Steering Committee when Chuck
In 2023, the key goal of IMSEC is to sup- Swift was the general chair. Since then, 2023 Standards
port our volunteer IMS general chairs he has held increasing roles with four Committee Activities
and steering committees and to pro- additional IMSs in southern California. The Standards Committee for the
vide the AdCom with all the tools and He was the general chair of IMS2010, MTT-S guides the standards work
best practices to have a world-class in Anaheim, CA, USA. for the Society for anything RF/micro-
IMS. This includes providing contrac- He has contributed to multiple select wave/millimeter-wave/terahertz (THz)
tual and operational support address- committees appointed by the Society related. Three new standards published
ing all stakeholders’ needs. It appears president over the past two decades. in the past year represent the work that
that most of the COVID-19-related is- He has been part of the exhibitor and the MTT-S Standards Committee cov-
sues of recent years are now behind event management committees, and ers. These three standards are IEEE
us. IMS2023 is on a traditional trajec- has served on, and now chairs, the 1770-2021, IEEE Recommended Practice
tory for a face-to-face event in San Di- Site Inspection Committee to help for the Usage of Terms Commonly Em-
ego. You can be sure that John Wood, recommend future IMS venues. When ployed in the Field of Large-Signal Vector
Gayle Collins, and their entire steer- COVID-19 arose, McKinney was ap- Network Analysis; IEEE 1765-2022, IEEE
ing committee are looking forward to pointed the COVID czar for the MTT-S. Recommended Practice for Estimating the
a wonderful IMS in June. In this capacity, he was able to assist Uncertainty in Error Vector Magnitude
Additionally, IMSEC will be with charting responses for IMS2020, of Measured Digitally Modulated Signals
• assisting with a rebid of the ex- for Wireless Communications; and the
hibition and event management IEEE 287 family of documents, which
contract; this will provide seam- includes IEEE 287.1-2021, IEEE Standard
less coverage for IMS2025 and for Precision Coaxial Connectors at RF,
beyond Microwave, and Millimeter-Wave Fre-
• ensuring that the venue for IMS2027 quencies—Part 1: General Requirements,
supports our business model and Definitions, and Detailed Specifications;
stakeholder needs IEEE 287.2-2021, IEEE Recommended
• working with the IMS rebranding Practice for Precision Coaxial Connectors at
effort, led by Fred Schindler, to RF, Microwave, and Millimeter-Wave Fre-
enhance IMS’s system-level topics quencies—Part 2: Test Procedures; and
• completing the electronic paper IEEE 287.3-2021, IEEE Recommended Prac-
management rebid process tice for Precision Coaxial Connectors at RF,
• supporting the IMS Leadership Microwave, and Millimeter-Wave Frequen-
Search Committee to recommend cies—Part 3: Connector Effects, Uncertain-
IMS2028 general chairs for a con- ty Specifications, and Recommendations for
ference to be held in Phoenix, Performance. Moving forward, for 2023,
AZ, USA. Figure 2. J.K. McKinney, IMSEC chair. the committee will expand on IEEE

12 May 2023
1765–2022 to include conducted and • the first chair and a member of
over-the-air hardware verification. the Institute of Electronics, Infor-
It will continue the work on P2725 mation, and Communication En-
(microwave structural, vascular, and gineers Technical Committee on
functional medical imaging device Wireless Power Transfer
safety), P2822 (micrometer, millimeter, • a Japan Society of Electromag-
and THz on-wafer calibrations, de- netic Wave Energy Applications
embedding, and measurements), and adviser
P3136 (universal waveguide interface • a former chair of the Space Solar
for frequencies of 60 GHz and above). Power Systems Society
In addition, it is looking at updating • the Wireless Power Transfer Con-
IEEE 1785.1, IEEE Standard for Rectan- sortium for Practical Applica-
gular Metallic Waveguides and Their In- tions chair
terfaces for Frequencies of 110 GHz and • the Wireless Power Management
Above. The committee is always look- Consortium chair.
ing at new potential standards areas He received his B.E. degree in elec-
and collaborating with other IEEE tronic engineering and his M.E. and
Societies in publishing standards that Ph.D. degrees in electrical engineer-
Figure 3. Naoki Shinohara, AdCom Standards
are the de facto point of reference for ing from Kyoto University. His books
Committee chair.
the MTT-S community and beyond. are Wireless Power Transfer via Radio-
This year, the Standards Commit- waves (Wiley), Recent Wireless Power
tee will be chaired by Naoki Shino- • a Wireless Power Transfer Initia- Transfer Technologies Via Radio Waves
hara (Figure 3). He is a professor and tive member (editor) (River Publishers), Wireless
research associate with Kyoto Univer- • an MTT-S Kansai Chapter Tech- Power Transfer: Theory, Technology,
sity. Previously, he was an MTT-S Dis- n ical Prog ram Com m it tee and Applications (editor) (Institution
tinguished Microwave Lecturer and member of Engineering and Technology), and
MTT-S Technical Committee 25 (Wire- • an IEEE Wireless Power Transfer some Japanese textbooks on wireless
less Power Transfer and Conversion) Conference founder and Steering power transfer.
chair. Prof. Shinohara is Committee member I encourage you to visit our website
• an MTT-S AdCom member • the International Union of Radio (https://www.mtt.org) for more infor-
• an MTT-S Member and Geograph- Science Commission D chair mation about the MTT-S and volunteer
ic Activities Committee (Region 10) • the Wireless Power Transfer execu- opportunities.
coordinator tive editor

BCL/BCR Series Conical Inductors


Ideal for Bias Tee Applications
• Get ultra-broadband performance from a single component
• Choose from our flying lead or surface mount packages
• Flat bandwidth with high impedance to 40 GHz
• Perfect for ultra-broadband bias tees

Free Samples @ coilcraft.com/conicals


See us at IMS MTT-s Booth #1524

14 May 2023
TEST & MEASUREMENT

Test, Measurement &


Calibration
RF and microwave components from Rosenberger ■ Microwave measurements & VNA calibrations
play a key role in a variety of test, measurement ■ Lab testing, factory testing
and calibration applications. RF high precision ■ PCB connections
connectors, adaptors & devices, PCB connections, ■ Semiconductor test applications & high-speed
calibration kits, microwave test cables or VNA test digital testing
port cables – the precision and quality of Rosen- ■ Network testing
berger test & measurement products have been ■ Test & measurement equipment and devices
proven in various applications:

www.rosenberger.com

Visit us at IMS MTT-s


Booth # 527

Anz_TundM_200x273_201104.indd 1 05.11.2020 08:59:35


Networking—Managing Your Career
■ Fred Schindler

I
was recently talking to someone
who had just started a job search.
He was looking through online job
postings and sending out his resume.
That’s not a great way to find a job. It’s
not a great way for an employer to find
an employee either. Yet this has been

IMAGE LICENSED BY INGRAM PUBLISHING


the baseline approach, seemingly for-
ever. Job postings used to be in printed
publications. Now, they are on web-
sites and on LinkedIn.
I’ve had a successful career. I’ve had
a summer internship, full-time jobs,
and consulting work.
Even though I have occasionally re-
sponded to an advertisement, none of
these jobs came that way. They all came representatives to our campus so that division of the company. But eventu-
through networking. Over my career, they could screen prospective candi- ally, I came to realize that his influ-
I’ve developed an extensive network of dates. Those of us who showed promise ence helped me get that job offer. I
colleagues and acquaintances. I stay in were invited to visit a company facility hadn’t intentionally made use of my
touch with as many people as I can. I for a full interview. I participated in the network, but my network helped me
don’t do this because I want to exploit process and was invited to visit the facil- land that job.
them for the benefit of my career. I do ities of a handful of company locations. Years later, I was able to move to
this because they are my friends. I received a few offers and accepted the a different job within that same com-
I started my career with an engi- one I thought was best. It was a good job, pany. That job gave me great technical
neering job right after I finished my at a good salary, in a location I liked. It and management opportunities. I was
bachelor’s degree. Companies sent was a great first step in my career. able to get that job because the man-
For a long time, I thought that I had ager of that organization knew me.
Fred Schindler (m.schindler@ieee.org) found that job all on my own. But I That was also a matter of networking.
consults on management issues in the Boston, probably didn’t. A family friend was When it came time to leave that com-
Massachusetts, USA, area. a manager in the company that hired pany, it was for a company I knew and
Digital Object Identifier 10.1109/MMM.2023.3242519
me. He wasn’t in the same facility that where key people already knew me.
Date of current version: 6 April 2023 hired me. He wasn’t even in the same Networking again.

16 May 2023
It’s been that way for the rest of my I was an early user of LinkedIn, connections are closer, and the interac-
career. I had two other full-time jobs. prompted by the suggestion of a former tions are more substantial.
One I sought out. Some of the leaders boss. I find that it is most useful as Either way, my network is my com-
there already knew me. a way to stay in touch munity. It’s my professional social
with my physical net- group. It’s why, when I attend IMS, it
The other one came out
of the blue. A friend
For those of work. I try to connect feels like a reunion. It’s an opportu-
called me and asked me you who are virtually with most peo- nity for us to share our personal and
to consider a position. starting out in ple I know. That way, professional lives. There are benefits to
It was a great opportu- we don’t need to keep building a network, tangible benefits
nity, and I’m grateful he
your careers, track of each other’s e- that can help find work, products, or
thought of me. make building mail addresses. We can sales. But the greater benefit is creat-
I’ve also done quite your network always get in touch. I ing communities.
a bit of consulting. also have a complete - For those of you who are starting out
I’ve promoted my con-
a priority. ly virtual network— in your careers, make building your net-
sulting services and people I know only via work a priority. You already have one,
reached out to some companies to ex- LinkedIn and other social networking even if you don’t realize it. Classmates,
plore opportunities. But, in thinking platforms. To me, this is a secondary colleagues, instructors, and friends are
about it, virtually every consulting network. My physical network is also part of your network. When you meet
project I’ve worked on came through part of my virtual network. My purely someone at IMS, including me, follow
my network. virtual network doesn’t often bring up with a LinkedIn invite. And remem-
Networking works both ways. My people into my physical network. There ber, you only get as much out of your
network has tapped into me. I’ve hired is no reason for it to be so, but physical network as you put into it.
people who I already knew. There is one
engineer who I hired three times! I’ve
also connected people in my network.
There have been cases where I knew
capable people who I was not able to
hire, and I also knew people who were
Broad band RF power transistors,
trying to hire good people. I connected modules, and evaluation ampliers:
them. Some of my friends got good
jobs, and others got good employees. I Polyfet RF Devices offers them all.
didn’t have to make those connections,
GaN: 28VDC and 48VDC, up to 3GHz, up to
but that’s what networks do. 160W, single-ended and push-pull.
There are people in my network
who market technology and who sell LDMOS: 5-50VDC, up to 1.5GHz, up tp 1kW,
single-ended and push-pull.
products. I’ve never worked in sales or
marketing—I don’t think those roles fit VDMOS: 12.5-50VDC, up to 1GHz, up to 400W,
my personality. But I have friends who single-ended and push-pull.

are good at it. I’ve worked closely with


some of them on proposals, projects, Broad band RF power modules:
and products. I’ve also worked closely Utilize GaN and D-MOS technologies.
with some as a volunteer for the MTT-S 24-48VDC, up to 1260MHz, up to 350W CW,
and IEEE. They have sometimes con- various case sizes and RF connection types.
tacted me to discuss technology de- Custom design requests welcomed.
velopments and needs and to offer me
products. These, too, have been positive
interactions. I’m sure I’ve helped them. Various evaluation amplifiers available:
Displayed here is the TB63A4. It demonstrates
But I’ve also learned of innovative
the LS2641 (LDMOS) putting out 250W, 20dB
products early and been able to make
across 30-512MHz with 36VDC supply.
purchases with favorable terms. Good
networks work in both directions.
When I began my career, there was polyfet r f devices
no Internet, and I was a couple of de-
cades into my career before LinkedIn
Your
www.polyfet.com
Power
TEL (80 5)484-4210
MOSFET
IMS booth 1925
People

appeared. Virtual networking is useful.

May 2023 17
First Encounter?
■ Rajeev Bansal

I
received the book “Penguins, Pine-
apples, and Pangolins” [1]] as a
Christmas gift in 2022. It is a fas-
cinating compendium of the first
encounters European travelers had

IMAGE LICENSED BY INGRAM PUBLISHING


during the Age of Exploration
(the 15th century to the 17th
century) with people, flora,
and fauna in distant lands, all
narrated in the explorers’ own
voices. As the author Claire Cock-
Starkey notes in the prologue,
“humans have always searched out
new experiences and this has fueled
exploration. The early Polynesians set
out in outrigger canoes, the Vikings
used rather larger ships to discover new
lands and the Romans were inspired to
explore in order to expand their empire” omy Observatory in Green Bank, West about 11 light years from Earth) to pick
[1]. The book brought to my mind a Virginia. In 1960, he launched Project up potential radio signals. Alas, the
modern explorer of the cosmos, Frank Ozma (named after a character in L. only signal detected was from an air-
Drake, who passed away [2], [3] recently Frank Baum’s “Oz” books) in his first craft radar [2].
at the age of 92. His first encounter was attempt at the search for extraterrestri- Undeterred, Drake organized a
yet to come. al intelligence (SETI). He pointed the small conference of like-minded SETI
Armed with a Ph.D. (1958) in as- radio telescope at two sunlike stars, enthusiasts the following year. It was
tronomy from Harvard, Drake landed at this meeting that Drake wrote down
a job with the National Radio Astron- the famous Drake equation [2], which
Editor’s Note: An earlier version was used to estimate the number of
Rajeev Bansal (rajeev.bansal@uconn.edu) is of this column appeared observable civilizations in our galaxy.
with the University of Connecticut, Storrs, originally in the April 2023 issue As described in words, the equation
CT 06269 USA. states [3], “The number of detectable
of IEEE Antennas and
Digital Object Identifier 10.1109/MMM.2023.3242516 Propagation Magazine. civilizations in the Milky Way galaxy =
Date of current version: 6 April 2023 the rate at which stars are born x the

18 May 2023
fraction of stars that host planets x the with almost absolute certainty, radio might simply raid Earth for resourc-
number of habitable planets per plan- waves sent forth by other intelligent es, then move on. As he cautioned,
etary system x the fraction of those civilizations are falling on the earth. A “I f aliens visit us, the outcome
planets on which life telescope can be built would be much as when Columbus
evolves x the fraction of that, pointed in the landed in America, which didn’t
life that evolves intel- It was at this right place and tuned turn out well for the Native Ameri-
ligence x the fraction meeting that to the right frequency cans” [4]. All things considered, I
of intelligent life that could discover these would be happy with a Zoom-based
develops com mu n i-
Drake wrote waves. Someday, from first encounter!
cative technologies x down the somewhere out among
the average length of famous Drake the stars, will come the References
[1] C. Cock-Starkey, Penguins, Pineapples and Pan-
time civilizations are
detectable.” It is easy
equation, which answers to many of
the oldest, most im-
golins: First Encounters with the Exotic. London,

to see that the values of was used to


U.K.: British Library, 2016.
portant and most excit- [2] D. Overbye, “Frank Drake, who led search for
most of the terms in the estimate the ing questions mankind life on other planets, dies at 92,” NY Times,
equation are hard to has asked” [2]. Sep. 2022. Accessed: Dec. 31, 2022. [Online].

guess with any reason-


number of In a series for the
Available: https://www.nytimes.com/2022/

able accuracy. Never- observable


09/05/science/space/frank-drake-dead.html
Discovery Channel, the [3] M. Rowan-Robinson, “Frank Drake obituary,”
theless, over the years, civilizations in late a s t r o p hy s i c i s t Guardian, Oct. 2022. Accessed: Dec. 31, 2022.
the equation has served Stephen Hawking said [Online]. Available: https://www.theguardian.

to excite the imagina-


our galaxy. it was “perfectly ratio-
c o m/s c i e n c e/20 2 2/o c t/13/f r a n k- d r a k e
-obituary
tion of many fellow nal” [4] to assume the [4] “Stephen Hawking warns over making contact
scientists and amateurs alike. Drake existence of intelligent life elsewhere. with aliens,” BBC, Apr. 2010. Accessed: Dec. 31,
2022. [Online]. Available: http://news.bbc.co.uk/
remained committed to the use of ra- However, drawing upon the history
2/hi/8642558.stm
dio astronomy for SETI all his life. As of European travelers during the Age
he wrote in 1962, “At this very minute, of Exploration, he warned that aliens
IMAGE LICENSED BY INGRAM PUBLISHING

Digital Object Identifier 10.1109/MMM.2023.3243446

May 2023 19
Recognize and Leap Over the Gaps
■ Pei Qin , Wanchen Yang , and Wenquan Che

T
he 2022 IEEE Micro- The mission of the WiM
wave T he o r y a n d Subcommittee is to attract
Technology Society more woman professionals
(MTT-S) International Micro- and graduate students to
wave Workshop Series on get involved in the micro-
Advanced Materials and Pro- wave community, and also
cesses for RF and THz Appli- increase the visibility of
cations (IMWS-AMP 2022) females in the microwave
was held in Guangzhou, field. At IMWS-AMP 2022,
China, 12–14 December 2022 the WiM session aimed
(see Figure 1). Due to the con- to recognize the gaps be-
tinuous impact of COVID-19 tween genders, academic
pandemic, small-size, on- research and industrial
site opening and closing applications, and regional
c e r e m o n i e s we r e orga- cultures, and to leap over
nized in Guangzhou, while these gaps to bring benefits
all the conference sessions to academic researchers
were held online. The spe- and student education and
cial Women in Microwaves industrial applications as
(WiM) and Wireless ses- well as personal growth for
sion sponsored by the WiM women professionals.
subcommittee under the IMAGE LICENSED BY INGRAM PUBLISHING
This WiM session was
IEEE Membership and Geographical co-organized by Prof. Pei Qin
Activities of the MTT-S AdCom, was and Prof. Wanchen Yang and included
Pei Qin (qinpei7777@scut.edu.cn) is with held in the afternoon of 13 Decem- a warm-up speech, two invited talks,
South China University of Technology, ber. More than 60 people attended and one panel discussion. Prof. Qin
Guangzhou 510000, China. Wanchen Yang
this event, including three invited first shared her viewpoints on the mo-
(wcyang@hhu.edu.cn) is with the Hohai
University, Nanjing 212204, China. speakers from Austria, Japan, and tivation of this event, introduced the
Wenquan Che (eewqche@scut.edu.cn) is Mainland China; six panelists from agenda of the session, and welcomed
with South China University of Technology, Mainland China, and some other the invited speakers, panelists, and
Guangzhou 510641, China. professionals and graduate students attendees. Prof. Jasmin Grosinger,
Digital Object Identifier 10.1109/MMM.2023.3242475
from industries and universities vice chair of the WiM Subcommit-
Date of current version: 6 April 2023 (see Figure 2). tee, delivered her speech on behalf

20 May 2023
of the Subcommittee. She introduced
the organizational structure of the
Subcommittee, shared a WiM video
demonstrating many distinguished
female researchers, and promoted
the WiM special issues in IEEE Micro-
wave Magazine. Her talk inspired the
female attendees to believe that excel-
lent research or career paths go well
for all females.
Afterward, Prof. Qiaowei Yuan
from Tohoku Institute of Technology
presented the technical report “Our Figure 1. A promotional flyer of the WiM session at IMWS-AMP 2022.
IoT Project Research Activities and My
Research Carrier” (see Figure 3). Yuan
Lin, a professor and dean with the
University of Electronic Science and
Technology of China, delivered an-
other technical report, “Flexible Thin
Film Devices for Bioelectronics.”
Prof. Wenquan Che, an IEEE Fel-
low and IEEE MTT-S AdCom mem-
ber, chaired the panel discussion as
one of the moderators. Six panelists
participated in the discussion, two of
whom were male professors. The topic
of this panel session was recognizing
and overcoming gaps. Prof. Lingling
Sun first shared her viewpoint that
the gap between genders objectively
exists, yet social development also Figure 2. An online group photo of some attendees at the WiM session at IMWS-
endows advantages for females. Prof. AMP 2022.
Ying Liu added that females actu-
ally outperform on many aspects
due to their personal character. Prof.
Wei Sha shared his successful expe-
riences in supervising female Ph.D.
students, and encouraged females to
take more opportunities to show up
in their fields. Prof. Zhihao Jiang ex-
pressed his ideas on the gap between
academia and industry and proposed
to deeply collaborate with the indus-
tries. Prof. Sha Xu and Prof. Zihao
Chen also shared their experiences on
international studying and working
and encouraged female researchers to
gain more opportunities to study or
work internationally.
Finally, Prof. Qin gave the conclud- Figure 3. Prof. Qiaowei Yuan presents the technical report.
ing remarks and noted that females
should first be self-motivated, and at WiM session, many valuable viewpoints to become involved in the microwave
the same time search for opportuni- were presented and discussed, which community and increasing their vis-
ties in their careers through the benefit could go toward fulfilling the vision of ibility in microwave community.
of their own character. Through this attracting more female professionals

May 2023 21
Ryan Jennings

T
he large investments in new satellite
commu n icat ions (SATCOM) constel-
lations are driving the demand for flat
panel antennas (FPAs). We are already
seeing the growing adoption of the tech-
nology in commercial and military applications. As
more of the new constellations become operational,
this adoption is projected to explode. There are a wide
range of SATCOM terminal needs across the differ-
ent market segments that are also dependent on what
constellation(s) they might be using. The major markets
include consumer, commercial mobility, enterprise, and
government. While each of these markets have unique
requirements—or limitations—on size, weight, power
draw, throughput performance, cost, and ruggedness,
there is some overlap, as shown in Figure 1. Generally,
the consumer market can be separated from the other
applications, given their unique requirements. While
these consumer terminals can meet some needs in all

The Challenge to
Develop the Perfect
Flat Panel Satellite
Communications
Terminal
Ryan Jennings (ryan.jennings@anokiwave.com) is with VP SATCOM and Systems, Anokiwave, Billerica, MA 01821 USA.
Digital Object Identifier 10.1109/MMM.2023.3242538
Date of current version: 6 April 2023

22 1527-3342/23©2023IEEE May 2023


Many are now looking for termi-
nals that can support some or all these
orbits to maximize existing capacity,
while taking advantage of additional
capacity and coverage. Several opera-
tors are beginning to build their own
multiorbit constellations to provide
increased coverage from only tradi-
tional GEO operations. Inmarsat is
an example of a traditional GEO pro-
vider that is branching out with their
Orchestra network, that in the near
term adds HEO satellites for northern
latitude coverage but includes longer-
term plans for LEO and even integrat-
ing terrestrial 5G into this network
[1]. Intelsat has also announced a

©SHUTTERSTOCK.COM/PHOTOCREO MICHAL BEDNAREK


global distribution partnership to offer
inflight connectivity (IFC) to com-
bine GEO high-throughput satellites
(HTS) with the OneWeb LEO network
to provide the best capabilities from
each network [2].
This overwhelming variety in
requirements makes it very difficult
to develop the perfect flat panel SAT-
COM terminal. However, FPA-based
terminals are the best technologies
to address these markets, which are
the wave of the future. The conver-
markets, they are very limited outside of their pri- gence for volume demands, technical advances, and
mary use. The other three markets have significantly thus price are making them real today. The market is
more overlap in requirements, with ruggedness be- anticipated to grow from US$408 million in 2022 to
ing a key differentiator from consumer terminals. US$1,440 million by 2027; it is projected to register a
With that differentiator, prices are higher, most ap- compound annual growth rate of 28.7% from 2022
plications can sustain higher power draw, and many to 2027 [3].
demand higher throughput performances. This does Consumer terminals are a large part of the pro-
not mean that each segment may have some classes of jected growth in FPAs and to date are solely focused
terminals with unique requirements that do not over- on specific LEO constellations. They are typically
lap any other. targeted to an extremely low price, low power, and
Next, looking at the constellations on which these moderate throughput performances. This is achieved
terminals might operate will also have different per- through the implementation of half-duplex instead
formance capabilities and requirements put on the of full duplex, fixed sizes to address one market’s
terminals. These orbits include the traditional geo- demand, designs limited to one specific constellation,
stationary Earth orbit (GEO) and the short-cut term, and consumer-grade ruggedness. The other markets
nongeostationary orbit (NGSO), as shown in Figure 2. often include a GEO constellation that impacts the
The NGSOs include low Earth orbit (LEO), medium terminal requirements. Typically, GEO architectures
Earth orbit (MEO), and highly elliptical orbit (HEO), all require larger scan angle and higher antenna perfor-
with unique terminal coverage requirements. The LEO mance than the NGSO. With GEO-only constellations,
megaconstellations are projected to provide 100 times the scan angle requirements can be difficult to achieve,
increase in bandwidth from the legacy GEO satellites especially in networks with a limited number of satel-
and reduce latency by 10 times, while providing this to lites. Figure 3 shows an example of how with three sat-
significantly more users. MEO satellites provide fewer ellites to cover the globe, regardless of the performance
capabilities than LEO, including less Earth coverage, of the antennas on the satellite, the terminals cannot
but significantly more than current GEOs. scan far enough to cover the northern latitudes. Land

May 2023 23
mass coverage can be improved with satellite location
and/or increasing the number of satellites; however,
this “scalloping” of no coverage will always occur with
FPAs due to the physics of maximum scan angle. But,
with the addition of other orbits like LEO and HEO,
Consumer
this coverage can be addressed.
The increases in receive and transmit antenna
performance for GEO operation results in larger ter-
Commercial
Enterprise minals that draw more power and require additional
Mobility
cooling capabilities. Ku GEO satellites also have a
unique dual linear polarization, while Ka GEO and
Government all LEO constellations use circular polarization.
Many of the GEOs have some reuse of polarization
requiring more stringent cross-polarization control.
This drives the technical implementation of FPAs.
As an example, active electronically steered anten-
Figure 1. Primary markets have overlapping requirements nas (AESA) require more front-end electronics to cor-
for terminals dominated by enterprise, government, and rect polarization performance across the entire scan
commercial mobility, with consumer terminals nearly volume, since the vertical and linear element perfor-
standing on their own (courtesy of Anokiwave). mance varies with scan angle, thus requiring phase

GSO

MEO

LEO

HEO GEO

Figure 2. The diverse requirements of GEO, low Earth orbit (LEO), medium Earth orbit (MEO) and highly elliptical orbit
(HEO) networks have significant impacts on terminal performance requirements, especially when there is a need to service
multiples with the same terminal (Adobe Stock).

24 May 2023
Figure 3. GEO-only constellations are not well suited for FPAs and can lead to significant higher latitude coverage loss due to
the physics of scan capabilities (courtesy of Anokiwave).

and amplitude correction to


each of these feeds to main- FPA Scan Loss With Varied COS Factors
tain polarization isolation. 12
FPAs have technical chal- 1.1
10 1.2
lenges that impact their design 1.3
and applications. As previ- 1.4
Scan Loss (dB)

8 1.5
ously mentioned, scan perfor-
mance is an important design 6
criterion. As the antenna is
scanned from boresight, the gain 4
will reduce since the capture
is reduced. Also, the design 2
of the element is important
0
for gain, as the performance 0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75 80
changes based on mutual cou- Scan Angle (Degree)
pling as the array is scanned.
These two sources of loss can Figure 4. Scan loss for 1.1 to 1.5 cosine factors demonstrating the importance of antenna
be seen in the array gain equa- performance.
tion, where N is the number of
elements, Ge is the embedded
element gain, Lohmic is the ohmic loss, and Lscan is Scan loss = 10 ) log (cos N (i)).
the scan loss:
The antenna performance is critical to an efficient
Array gain = 10 * log (N) + G e - L ohmic - L scan . and effective FPA. Figure 4 demonstrates the addi-
tional loss that can be seen from a power cosine factor,
The ohmic loss will not change with scan, so the scan where a 75°scan can have up to 2.3 dB. This loss at cos1.5
loss is a combination of the embedded element gain would result in the need for ~60% increase in aperture
and scan loss. This nonideal isotropic behavior of the size to achieve similar performance for a cos1.1 design.
embedded element gain and reduce capture area can There are a variety of technologies that are cov-
be described in this equation where i is the scan angle ered by the term FPA, but not all are equal. This
and x is a numeric value, typically in the 1.1 to 1.5 range: includes some mechanically pointed technologies, like

May 2023 25
The LEO market, multiorbit where this technology can meet some market needs
for fixed and mobile applications; however, the effi-
constellations, and desire of more ciency and agility limitations have made it difficult
agnostic terminals is driving market for broad acceptance. The limitations of these tech-
needs for AESA solutions. nologies make them suboptimal for LEO and multior-
bit solutions.
This is where the AESA antennas come in to save
Thinkom’s VICTS, passive technologies like Kymeta’s the day. Their ability to point near instantaneously
nTenna®, and ESA like Starlink’s “Dishy.” AESA tech- within or between orbits using a single beam with a
nologies are projected to dominate the market given single antenna is what has made the NGSO constella-
the technical limitations with mechanical and passive tions viable. The LEO market, multiorbit constellations,
technologies. and desire of more agnostic terminals is driving mar-
Mechanically steered antenna technologies have ket needs for AESA solutions. Historically, the combi-
dominated the SATCOM terminal market for decades; nation of cost and technical performance has been an
however, most would never be considered an FPA. The inhibitor for the widespread adoption of AESAs. They
existing mechanically steered FPAs, along with their have been used for decades by high-end military sys-
legacy counterparts, require two antennas to achieve tems that could afford their price. However, we have
the agility needed for switching between satellites seen significant advances with technology that is
within a LEO or MEO constellation and switching enabling lower prices.
between orbits. The need for two antennas drives the Two key aspects of AESAs that have driven cost are
size, weight, and power required with this technology the RF electronic devices and how the radiating ele-
and, in turn, drastically limits the markets that can uti- ments are packaged with these devices. Figure 5 shows
lize it. a traditional defense AESA design, often for high-
Passive antennas have projected wide market adop- performance radar systems, using gallium arsenide
tion, but that has not been realized to date. While they (GaAs) and/or gallium nitride (GaN) RF-integrated
have the potential for lower cost, they also have defi- circuits (ICs), often in hermetic packages with many
ciencies with efficiency and agility. These approaches RF connectors required to interconnect them with the
typically use RF lossy metamaterials as a “lens” or antenna elements and with each other.
phase shifter that can control the phase with electrical In multithousand element arrays, the cost of GaAs
stimulation. Additionally, there have been concerns and GaN per square millimeter, along with high
about the speed at which these materials can change connector count drives to unaffordable solutions for
state and managing those variations over tempera- communications terminals, much less commercial
ture. This technology has been focused on Ku-band applications. With the latest ICs and printed circuit
solutions, as its limitations only become more diffi- board (PCB) material/manufacturing capabilities, lower
cult at the Ka-band. There will be niche applications cost becomes achievable and, more importantly, mass
production possible. Newer architectures based on a
commercially viable multilayer PCBs with radiating
elements on one side and surface mounted ICs on the
other have shown to be cost and performance viable,
since they can all be manufactured using existing tech-
nologies that are in place to build your cell phone and
your Wi-Fi access point. The Starlink “Dishy” antenna
is a great example of this low-cost architecture, as
shown in Figure 6.
In addition to the cost-effective PCB and assembly
technologies, we now see high-performance silicon-
based RF ICs in the market. This technology allows
for highly integrated circuits where power, control,
and RF capabilities are in the same, compact IC. Silicon
technologies get their price advantages over traditional
GaAs and GaN through lower material costs, larger
Figure 5. Traditional AESAs have roots in defense radar wafers providing more parts per fabrication cycle, and
applications that do not meet the cost points of SATCOM high yields. Most AESA architectures use analog beam-
terminals, which has brought rise to new, cost-effective forming technologies where phase and amplitude are
techniques and technologies (Adobe Stock). managed in the RF domain. There are some solution

26 May 2023
sets that partially or fully implement this in the digital
This technology allows for highly
domain; however, these solutions result in higher cost
and power unless they can be custom, single-constella- integrated circuits where power,
tion implementations. There are a number of companies control, and RF capabilities are in
making commercially available analog beamforming
the same, compact IC.
ICs (BFICs), including Analog Devices, Anokiwave, Siv-
ers Semiconductors, and Renesas. Most of these BFICs
are designed to support each band as well as transmit commercial and business aviation platforms that are
in receive in different ICs. Most are architected where smaller than narrow-body jets.
a single IC supports the dual polarization feeds of four The increases in data communications across all
antenna elements. Some require external low-noise parts of our society is a consumer behavior driving the
amplifiers or power amplifiers to meet the performance need for the LEOs and their increased capacity to mul-
needed, which can drive additional part and/or inte- tiple markets, as shown in Figure 7. The people of the
gration costs. Si devices use a single low-voltage supply
with integrated logic control, making integration into
large, phased array antenna terminals within the lattice
straightforward, which is very difficult to achieve with
GaAs or GaN device technologies.
LEO constellations are driving demand in the mar-
ket. After the failures of LEO constellations in the
mid-1990s with Iridium, Globalstar, and Teledesic, Radome
many have been skeptical of their success now. Lower-
cost satellites and launch costs have made it possible
Antenna
for SpaceX and OneWeb to have nearly global cover-
Element
age with their Ku-band constellations. Amazon and
Telesat will soon begin to deploy their Ka-band con- PCB
stellations, with several others in the early planning
stages. The projected and demonstrated capabilities Heatsink
with these constellations has many markets salivating
Housing
over what they can provide to their customers. Besides
the home consumer market, IFC appears to be the next Figure 6. Starlink’s “Dishy” antenna is a great example of
big adopter of this capability. The LEOs are opening a PCB-based AESA architecture that demonstrates a cost
new markets that have not been accessible before, like structure for the masses (courtesy of Branch Education).

Integrated Data Roadmap of Connectivity Use Cases

Rural/Farming Suburban Airport Urban Center Health Care Energy Mobility Public Safety
Figure 7. SATCOM integration with 5G and private networks is highly complex, with many insertions and applications
(courtesy of Anokiwave).

May 2023 27
The projected and demonstrated of these providers are developing or already produc-
ing their LEO-only ESAs to support the consumer
capabilities with these constellations terminal market—including Starlink, Hughes (One-
has many markets salivating over Web), and Amazon—that are specific to their con-
what they can provide to stellations. The latest version of the Starlink home
Internet terminal is shown in Figure 8. They will not
their customers. interoperate with any other constellation and thus
do not have the ability to support existing multiorbit
world have the desire to connect with each other, and architectures. Another unique aspect of the consumer
to information and entertainment everywhere they go. terminals is typically operating in half-duplex mode
Approximately 40% of the world’s population does not to minimize overall cost, and they don’t require the
have access to efficient Internet connections [4]. Only higher throughput that full-duplex solutions provide.
a small portion of that demand is met through direct Their driving performance requirements are different
satellite connections, but more often use the connection than the traditional GEO satellites. LEOs all operate at
as a backhaul. circular polarization, have less-stringent cross-polar-
The previously mentioned IFC application is a good ization isolation, typically require less scan volume,
example of using the satellite’s large data throughput and have lower transmit power and receive sensitiv-
to service multiple users simultaneously. The next big ity. Their AEAS designs provide the agility needed for
application is for 5G backhaul, which is well suited microsecond switching from satellite to satellite that
given the low latency (20 times improvement over can be horizon-to-horizon handoff every 3–10 min.
GEO) [5] and throughput capabilities, enabling better Before these networks were deployed, many thought
connectivity options in rural areas. This is a great com- dual beams were required to support a make-before-
plement to, and many believe alternative to, ground- break connection. However, we now see the dual
based fiber connections. Many more applications could beam architecture is not needed and can be managed
be envisioned, from smart cars to global shipping; all within the network. Multiple tests, demonstrations,
are driving volume demands, which ultimately drive and now operational consumer terminals have vali-
the price of FPAs down. The integrated data roadmap dated single-beam architectures. This comes with a
across all of these sectors is highly complex. significant savings to the terminals, where it is not
The consumer market is driving their terminals to required to integrate a second analog beamforming
be very different from the rest of the markets. Many network or move to more complicated and more costly
digital beamforming. These savings are not only in
the devices, but also in the complexity of the PCB and
result in lower power draw.
The other markets have more convergence in
requirements, but still have varied needs. The Depart-
ment of Defense (DoD) is a great place to start the dis-
cussion, as they cover airborne, maritime, land-mobile,
and deployable terminals that overlap enterprise and
commercial mobility requirements. One thing all of
these markets have in common is the need for more
rugged construction than the consumer terminals.
Again, this makes the one perfect FPA solution dif-
ficult to achieve for these markets and applications,
where some solutions are for LEO only, MEO only,
GEO only, and any combination thereof with a variety
of throughput requirements.
The DoD is interested in the many opportunities for
government-owned and commercial-integrated SAT-
COM capabilities at GEO, MEO, and LEO to deliver
diversity to their portfolio, ensuring reliable commu-
nications. National security is highly dependent on
space access, so the vulnerabilities and resiliency can
Figure 8. Starlink’s “Dishy” antenna is a great example of be address with a diversity in constellation and orbit.
the home consumer terminals, being the first to market, and This drives a need for a terminal that is agile to support
they are already on their third revision (Adobe Stock). the different constellation requirements and all orbits.

28 May 2023
Figure 9. Intelsat’s multiorbit airborne IFC solution is
based on AESA FPA technology (courtesy of Intelsat).

We also see the commercial markets looking for


multiorbit capabilities, with aviation in the forefront.
The ability to use GEO in airline hub-cities for capac-
ity and across the oceans is a great complement to
the capacity of LEO and their polar coverage. While
we currently see this coming in the Ku-band, as Ka
Figure 10. Ball Aerospace’s modular AESA technology
LEOs and HEOs are launched, this will become com-
allows common antenna subcomponents of the terminal to
mon in those frequencies as well. Intelsat recently be combined to achieve the desired terminal performance
announced their AESA IFC product (shown in Fig- (courtesy of Ball Aerospace).
ure 9) that will operate over their current GEO net-
work as well as with the OneWeb LEO network. This
is a great example of the need for flexibility within needed performance at an affordable price. Finally,
these markets. implementing a modular approach appears to be a
There have been many approaches to meet the winning architecture to achieve the best price, as this
varied needs of these applications. One that seems can drive the highest volumes through addressing
to have good traction is using modular solutions that the most markets. It is an exciting time to be in the
can be scaled up or down to meet the needs of a vari- satellite communication industry, with the explosive
ety of applications, as shown in Figure 10, from Ball growth in LEO constellations driving the demand for
Aerospace. Since the antenna is the most expensive FPAs and the need for the perfect solution. In 2020 FPA
and complicated component for a SATCOM terminal, sales were ~5,000 and are projected to be 100 times
one would not want to design many different sized that by 2026, at greater than 500,000. This is a market
antenna boards due to the investment cost, but also worth over US$100 million, with lots of opportunities
due to manufacturing costs. This is where a modu- for innovative solutions.
lar approach can provide the best value where a
single manufacturing line can be set up to support References
the antenna building-block fabrication, maximizing [1] “World’s most advanced commercial communications satellite
begins electrically-powered journey to geostationary orbit.” In-
volumes of a smaller quantity of sku numbers. This
marsat.com. Accessed: Jan. 10, 2023. [Online]. Available: https://
modular approach allows companies to drive vol- www.inmarsat.com/en/news/latest-news/corporate/2022/worlds
umes of common parts. Ball Aerospace is an example -most-advanced-commercial-communications-satellite-begins
company that is implementing this concept, with sep- -geostationary-journey.html
[2] “Intelsat and OneWeb partnership brings multi-orbit connectiv-
arate Ku and Ka building blocks in both transmit and ity to airlines worldwide.” Intelsat.com. Accessed: Jan. 10, 2023.
receive arrays. [Online]. Available: https://www.intelsat.com/newsroom/intelsat
Unfortunately, there is no perfect terminal solution -and-oneweb-partnership-brings-multi-orbit-connectivity-to
for all market areas. For all applications, the historical -airlines-worldwide/
[3] “Global flat panel antenna market (2022 to 2027) - Demand for high
pricing has limited widespread adoption of flat panel data rate transmission presents opportunities.” GlobeNewsWire.
AESAs. This is being addressed through multiple com. Accessed: Jan. 10, 2023. [Online]. Available: https://www.
aspects. First is implementing commonality to achieve globenewswire.com/news-release/2022/03/28/2410810/28124/
en/Global-Flat-Panel-Antenna-Market-2022-to-2027-Demand-for
needed volumes in manufacturing, which brings
-High-Data-Rate-Transmission-Presents-Opportunities.html
down the cost. PCB cost can be reduced by minimiz- [4] I. Ivanov, “Optic fiber and LEO satellites – Competition or conver-
ing the number of layers and drill cycles while lever- gence?” Mission Crit. Mag. Accessed: Jan. 10, 2023. [Online]. Avail-
aging new circuit board materials that have good able: https://www.missioncriticalmagazine.com/articles/94053
-optic-fiber-and-leo-satellites-competition-or-convergence
high-frequency RF performance. Traditional GaAs
[5] F. Rayal. “Latency in LEO satellites vs. terrestrial fiber.” Frank.
and GaN technologies are nonstarters, but leveraging rayal.com. [Online]. Available: https://frankrayal.com/2021/07/07/
commercially available, Si-based BFICs provide the latency-in-leo-satellites-vs-terrestrial-fiber/

May 2023 29
Integrated Circuits
for Wireless
Communications:
Research Activities
at the University
of California,
San Diego
Peter Asbeck , Dinesh Bharadia , Ian Galton,
Drew Hall , Hanh-Phuc Le ,
Patrick Mercier , and Gabriel Rebeiz

T
he continuing demand for improved wire- communication and information theory; coding; and
less connectivity and enhanced data rates application studies for 4G, 5G, and 6G wireless sys-
has spurred worldwide research in micro- tems. This article highlights recent UCSD research ef-
wave and millimeter (mm)-wave circuits forts, emphasizing the microwave and mm-wave cir-
and systems within academia, government, cuits and systems and accompanying analog circuit
and industrial centers. At the University of Califor- techniques, carried out in conjunction with the CWC.
nia, San Diego (UCSD), the Center for Wireless Com- Multiple companies from around the world have
munications (CWC) was established more than two sponsored UCSD research, and additional research
decades ago and has contributed to analog, micro- has been funded through numerous U.S. government
wave, and millimeter circuits and systems research; awards. The closeness between the wireless industry

Peter Asbeck (asbeck@ece.ucsd.edu), Dinesh Bharadia (dineshb@eng.ucsd.edu), Ian Galton (galton@eng.ucsd.edu), Drew Hall (dahall@eng.ucsd.
edu), Hanh-Phuc Le (hanhphuc@eng.ucsd.edu), Patrick Mercier (pmercier@eng.ucsd.edu), and Gabriel Rebeiz (rebeiz@ece.ucsd.edu) are with the
Department of Electrical and Computer Engineering, University of California, San Diego, La Jolla, CA 92093 USA.
Digital Object Identifier 10.1109/MMM.2023.3240535
Date of current version: 6 April 2023

30 1527-3342/23©2023IEEE May 2023


firms and the university has been particularly valu- appropriately phased and amplitude-controlled sig-
able in focusing the research on themes important for nals to the different array elements, which are typically
applications. Graduates of the program have also had spaced at distances of half the free space wavelength
a major influence on wireless industry activities, par- of the carrier frequency. Prof. Gabriel Rebeiz’s group
ticularly in California. has been pioneering the development of affordable
This article first covers research results in mm-wave satellite communications (SATCOM) and 5G phased
circuits and assemblies for antenna array transmitters arrays based on silicon technologies since 2005 [1], [2],
and receivers for 5G and beyond, followed by a dis- [3], [4], [5], [6], [7], [8, and references therein]. At present,
cussion of low-power microwave circuits for Internet these systems can integrate the entire phased array on
of Things (IoT) applications, mixed-signal circuits for a single low-cost printed circuit board that includes the
translating the relevant signals between microwave and antennas, silicon beamformer chips, and the necessary
digital domains, and a novel system methodology for control electronics. The board can be assembled using
interfacing with low-power wireless nodes via Wi-Fi. automated high-volume manufacturing techniques,
making it very low cost in large numbers, and is also
mm-Wave Antenna Array Transmitters calibrated using built-in tests and also fast far-field
and Receivers pattern measurements. UCSD and Rebeiz’s group pio-
A cornerstone of wireless communication systems at neered this approach for phased arrays and developed
high frequencies is the use of antenna arrays to direct the first silicon phased array chips (called beamformer
the transmitted power toward the desired receiver chips) based on the 2 × 2 quad approach and the first
(or multiple receivers) in appropriate narrow beams. single-printed circuit board (PCB) phased arrays. This
As the number of elements in the array increases, has lowered the cost of phased arrays by a factor of
the beamwidth decreases, enabling efficient focus- 50–100×, making them affordable for commercial use
ing of the output power on the desired receivers. For in SATCOM and mm-wave 5G. A representative exam-
large arrays, it is a significant challenge to provision ple is shown in Figure 1, a 1,024-element receiver array

May 2023 31
covering the K-band (17.7–20.2 GHz) for SATCOM [7]. every affordable phased array built today follows the
A single PCB of 25 cm × 22 cm is used, making it the silicon beamformers and single-PCB design approach
largest single-PCB K-band array to date. The narrow developed at UCSD.
3.5° beamwidth with ±70° scan angles enables track- Prof. Rebeiz continues developing wideband phased
ing satellites in low Earth orbit, an emerging area for arrays for X-/Ku-/Ka-band SATCOM, 18- to 50-GHz
broadband connectivity. wideband 5G systems, and 140-GHz 6G phased arrays.
The same ideas and techniques have been used by His group has also developed large wafer-scale phased
companies such as SpaceX/Starlink for their SATCOM arrays for mm-wave applications and proven them at
terminals (known as “Dishy”), Collins Aerospace, 60 GHz, 110 GHz, and 140 GHz. For example, for poten-
Viasat, Boeing, and others for their airborne phased tial applications in 6G, an eight-channel transmit array
array terminals on commercial and defense aircraft and an accompanying eight-channel receive array were
and Qualcomm, Nokia, Samsung, Ericsson, and sev- demonstrated using Si CMOS-silicon on insulator
eral other companies for their low-cost 5G phased (SOI) technology, shown in Figure 2 [6], [8]. Antennas
arrays at 28 GHz and 39 GHz. It is no exaggeration that were directly mounted on top of the Si ICs, using metal

Dual-Polarized
Break-Off Tab LNA V Wilkinson Antennas Break-Off Tab

H BF

V
H
RFout (End Launch Connector)

7 mm

22.4 cm
0.5 
Az. Plane (±70°)

El. Plane (±70°)


Digital Control Beamformer LNA RF Line Amplifier
25.2 cm
and Vdds (LNA)
(a)

0 0
3.47°
H-Plane Co.
–10 19.5 GHz (H) X. –10
Amplitude (dB)

Sim.
Amplitude (dB)

–20
–20
–30

–30
–40 E-Plane (H)
19.5 GHz Cos1.1 θ
–50 –40
–80 –60 –40 –20 0 20 40 60 80 –80 –60 –40 –20 0 20 40 60 80
Theta (°) Theta (°)
(b) (c)

Figure 1. The 1,024 dual-polarized phased array for K-band SATCOM. (a) A PCB photograph illustrating electronic side and
patch antenna side. (b) The output pattern for broadside emission showing 3.5° beamwidth, cross-polarization, and simulation.
(c) The antenna patterns covering scan angles of ±80°.

32 May 2023
patterns on a quartz plate; the tight integration was mm-wave communications (notably 5G), the use of
made possible by the small 1-mm distance between antenna arrays and the resultant spatial power com-
antennas. Local oscillator (LO) routing around the bining has meant that peak output power in the neigh-
chip was done at a subharmonic, and upconversion to borhood of 20 dBm is adequate to provision a given
140 GHz was carried out at each channel, as shown in antenna, and this is in the realm of what be achieved
Figure 3. Prof. Rebeiz and his former students founded with Si technology. Bulk CMOS, CMOS-SOI, and SiGe
Extreme Waves, a company in San Diego developing HBT are all candidates for use in both handsets and
and delivering phased arrays for SATCOM, 5G, and base stations, along with GaN PAs for very high power
specialized functions. transmitters. Efficiency is a central concern, which is
In addition to phased arrays, the Rebeiz group has exacerbated by the fact that amplifiers must operate in
demonstrated a variety of key building block circuits backoff due to the high peak-to-average power ratio for
for high-frequency systems, including power ampli- the signals, typically 8–9 dB. Linearity requirements
fiers (PAs) (as detailed in the section below), LNAs, provide an additional challenge: while at microwave
phase shifters, filters, and voltage-controlled oscillators. frequencies, digital predistortion is typically used to
Another ground-breaking circuit for instrumentation mitigate PA nonlinearity; for the mm-wave antenna
and optical communication applications is a distributed arrays with large numbers of individual PAs and wide
amplifier with over 100 GHz bandwidth, 33 dB gain, bandwidth requirements (200 to 1,000 MHz), the PA
and peak output power of 23 dBm, shown in Figure 4 [9]. intrinsic linearity must be sufficient to amplify signals
with error vector magnitude down to 3–5%.
Microwave and mm-Wave PAs A primary focus of 5G and 6G PA research at UCSD
The overall range and efficiency of wireless transmit- has been CMOS-SOI, which provides a variety of
ters are typically determined by the PA; accordingly, advantages. Excellent isolation between devices facili-
PAs have been the topic of intensive research. For tates series-connecting FETs (“stacking”) to enhance

LO
LO
1.1 mm
Silicon Chip
×3

Antenna Channel
5.3 mm

Antenna
Wilk. Feed
Quartz
1.1 mm

Wideband IF
Atten and Amp
Balun
IF PCB Ground
4.7 mm
(a) (b)
40
0 P1dB
Normalized Gain (dB)

35 Psat
EIRP (dBm)

–10 30
25

–20 20
15

–30 10
–60 –40 –20 0 20 40 60 132 135 138 141 144 147
Angle (°) Frequency (GHz)
(c) (d)

Figure 2. The 140-GHz CMOS eight-channel transceiver ICs with antennas on quartz “superstrate”: chip photos of
(a) transmitter and (b) receiver and (c) and (d) measured transmitter performances. EIRP: equivalent isotropically radiated power.

May 2023 33
their voltage-handling capability. The buried oxide Si-only technology for practical use in wireless trans-
decreases the parasitic capacitance to the substrate. In mitters above 100 GHz [10]. As shown in Figure 5, the
the GlobalFoundries 45-nm CMOS-SOI technology, four-stage PA achieves a saturated output power of
high-resistivity (>1,000 ohm-cm) Si substrates are used, 17.5 dBm and an efficiency of 13% at 140 GHz.
virtually eliminating capacitance of interconnect lines One target of UCSD’s research for mm-wave 5G
to the substrate. High figures of merit ft and fmax in (24–40 GHz) has been demonstrating high power and
the vicinity of 300–400 GHz are also obtainable. efficiency. With the use of pMOS rather than nMOS, in
In conjunction with the 6G-oriented transceiver at Asbeck’s group, power-added efficiency (PAE) up to
140 GHz described previously, Prof. Rebeiz’s group 50% and output power up to 22 dBm have been dem-
demonstrated a ground-breaking Si 45-nm CMOS-SOI onstrated in a simple two-stack differential amplifier
PA for use at 130–150GHz, showing the potential of [11], as shown in Figure 6. The use of pMOS enables

LO (21–24 GHz)

Ch.Reg Leakage Leakage Ch.Reg


Calibration Calibration 6
Decoder Decoder
14 14

X3
×2 ×2

   
×2 ×2

14 14
4 Decoder Decoder
6 Leakage Leakage 6
Ch.Reg Calibration  Calibration Ch.Reg

   
Ch.Reg Leakage Leakage Ch.Reg
6 Calibration Calibration 6
Decoder Decoder
4
14 14

×2 ×2

   
×2
 ×2

14 14
4 Decoder Decoder
6 Leakage Leakage 6
Ch.Reg Calibration Calibration Ch.Reg

Current Control. Reg


ESD SPI
8
Ext. Current PTAT Protection Module

Iext IF (9–14 GHz) Digital Controls

Power
LO Leakage Amplifier Antenna
Digital Controls Calibration Chain Coupler

Ch.Reg Leakage
6 Tx Channel
5 Calibration
Decoder
4
14

IF Input
10–14 GHz

×2 LO×3
65 GHz

VGA Phase Mixer Image Doubler


Shifter Reject LO×3×2
Filter

Figure 3. The anatomy of a 140-GHz phased array transmitter IC. To avoid the necessity of routing 140-GHz signals all
around the 5.4 × 5.1 mm2 chip, a lower-frequency LO is fed in and multiplied ×6 prior to mixing with the IF. Beamforming is
done at IF. An image reject filter is used in each channel. VGA: variable gain amplifier.

34 May 2023
increasing the power supply voltage without sacrific- for the peaking amplifier from deep class C to class AB
ing reliability, because of superior resistance to hot as the input power increases, without sacrificing gain.
carrier injection and increased ability to handle high The active bias networks are also used to mitigate soft
voltage when the device is off (in class B or deep class saturation effects in amplifier AM–AM characteristics.
AB operation). The PA uses only a positive supply (con- Improved efficiency at backoff can also be achieved
nected to the source) to maintain compatibility with using envelope tracking (ET). This technique, based on
conventional nMOS circuits. the use of a dynamically varied power supply voltage,
Another target of research has been to provide high has been the subject of extensive past development
efficiency in backoff. Doherty amplifiers have been at UCSD for base station and handset applications at
implemented in nMOS-SOI and pMOS-SOI, using low- 1–2 GHz [15], [16] and is now in use in many smart-
loss output impedance matching and power combin- phones. ET research is continuing with a primary
ing designed using the Ozen technique [12], [13], [14]. focus on base station applications for 4G and 5G in sub-
Figure 7 illustrates the circuit diagram for a pMOS 6-GHz bands. One novel theme being explored by Prof.
Doherty, which achieves 20% PAE at 8 dB backoff from Hanh-Phuc Le’s research group is based on a multiple
the saturated output power of 23.5 dBm at 27 GHz. It switched capacitor network [17], [18] to supply a menu of
is notoriously difficult to maintain good linearity in a fixed supply voltages to a GaN base station 5G-NR PA,
Doherty PA; digital predistortion is almost always used allowing it to select the most efficient voltage on a
in the microwave frequency range. Here good linear- symbol-by-symbol basis [19]. Another recent result is
ity is achieved with multiple active bias networks that a tour-de-force ET amplifier system achieved by col-
detect the transmitted power on the chip with FET- laborative industry–CWC research (led by Mitsubishi
based rectifiers and adjust the gain by controlling gate Electric and Nokia/Bell Labs). A 3.6- to 4.0-GHz ET
biases accordingly. In high-frequency Doherty amplifi-
ers, this is particularly valuable to facilitate a transition

1,250 µm 820 µm

1,000 µm
Stage 2
G Cascode Power Stage G
Two-Section

(Out)
dc-Feed
(in)

OUT S S
560 µm
580 µm
750 µm

G G

IN
Decoupling Capacitors

Stage 1

(a)
(a)
Setup 1 Setup 2 Setup 3
40 30
30 15
S21 3-dB BW ~ 102 GHz
20
10 12
20
0
Gain (dB)

S11 9
PAE (%)
(dB)

–10
–20
10 6
–30 S22
–40
S12 3
–50 Reverse Isolation Calibration
Limit With Frequency Extenders 0
–60 RF = 140 GHz
0 10 20 30 40 50 60 70 80 90 100 110 120 0
Frequency (GHz)
0 5 10 15 20
Simulation Measurement Pout (dBm)
(b) (b)

Figure 4. The broadband high-power distributed amplifier in Figure 5. The 140-GHz CMOS PA: chip photograph and
45-nm CMOS-SOI: chip layout and measured characteristics. performance characteristics. PAE: power-added efficiency.

May 2023 35
amplifier was demonstrated using a GaN soft-switch- Many of these devices use wireless standards such as
ing buck converter feeding a GaN 0.15-μm HEMT PA, Bluetooth Low Energy, Wi-Fi, Zigbee, LoRa, NB-IoT,
in conjunction with a digital front-end environment etc.; however, these standards were designed to sup-
for signal generation and predistortion [20]. ACLR was port high throughput, from tens of kbps to tens of
below −45 dBc, and total efficiency reached 47% at Mb/s. As such, the power consumption of these devices
3.6 GHz, as shown in Figure 8. is commensurately high, or they lower power by turn-
ing off the radios for extended periods, lengthening the
IoT: Wake-Up Receivers latency of connecting the device to the network. How-
Most IoT devices require RF ICs to communicate informa- ever, many emerging IoT applications do not require
tion among each other and/or with local infrastructure. high average throughput: consider, for example, appli-
cations in perimeter detection
or infrastructure monitoring,
60 where communication is not
needed until relatively infre-
50 quent events occur. Unfortu-
Gain (dB), PAE (%)

nately, most radio standards


40
PAE require frequent packet com-
30 munication for network syn-
chronization purposes, even if
20 there are no data to transmit.
Gain This stay-on-to-communicate
10 approach can reduce battery
life significantly [21], [22].
0 Wake-up receivers (WuRXs)
0 5 10 15 20 25
Pout (dBm) provide an elegant solution by
(a) (b) continuously monitoring the
RF spectrum for prespecified
Figure 6. (a) The layout of differential pMOS-SOI Ka-band amplifier using positive event signatures (i.e., wake-up
supply voltage. (b) The measured gain and PAE at 25 GHz versus output power. messages) that tell the WuRX to

RF OUT

35

30
PAE
Gain (dB), PAE (%)

25

20
Gain
15
Adaptive Adaptive
10
Bias Bias
5

0
5 10 15 20 25
Adaptive

Adaptive
Bias

Bias

Pout (dBm)
Matching RF IN Matching
+ (b)
Phase Shift

(a)

Figure 7. (a) The circuit diagram of a Doherty amplifier implemented with pMOS-SOI. (b) The measured gain and PAE at
27 GHz versus output power.

36 May 2023
enable the device to take further action, such as turn- dynamic power. When published in 2017, this work
ing on the main radio. If a wakeup event is detected, reduced the power consumption by over 1,000× from
the normal main radio can provide high-throughput the state of the art. Follow-on work demonstrated that
communication without requiring frequent synchro- this concept works at higher frequencies (e.g., 400 MHz
nization packet communication. The WuRX provides and 9 GHz); as shown in Figure 9(b), it can have sensi-
energy savings if its average power consumption is tivities better than −100 dBm, and it can be made robust
lower than the power of the main radio at the target against process, voltage, and temperature (PVT) varia-
latency for device response to a query over the network. tion [26], [27], [28].
For many standards-based radios, the target latency is
imposed by the standard or by an application-depen- IoT: Low-Power MedRadio
dent communication latency constraint. If the active The IoT era is experiencing rapid growth with the
WuRX power consumption is low, the energy savings deployment of a wide variety of sensor nodes, most
can be substantial. notably for healthcare monitoring and industrial auto-
With support from the Defense Advanced Research mation. An important distinction from classic radios is
Projects Agency, researchers in the groups of Prof. that such IoT nodes only need to wirelessly communi-
Drew Hall, Patrick Mercier, and Gabriel Rebeiz devel- cate over short distances, typically ~1–2 meters, to reach
oped several WuRXs with nanowatt-level power a nearby data aggregator (e.g., smartwatch or smart-
consumption, less than the leakage power of a coin phone). Owing to their autonomous and unobtrusive
cell battery. The first radio
demonstrated a 113.5-MHz
OOK-modulated WuRX that
30 V
achieved −69 dBm sensitivity PWM Driver fc = 94 MHz
with only 4.5 nW, as shown in IN+
LPF
Figure 9(a) [22], [23], [24]. IN–
This work aggressively Detroughing
Serial Soft-Switching
reduced the power by 1) (30–50%)
Transceiver BC Vdd
reducing the baseband signal .
bandwidth to 300 Hz, suitable τ RFIN RFOUT
Digital
for many event-driven appli- Up-Conv. RF-DAC RFPA
RF GMPDPD

cations, to aggressively filter


Base-Band

Driver
Original

noise; 2) employing a high-Q Rload


transformer/filter that pas- Digital
RF-ADC
sively amplifies the voltage of Up-Conv. Feedback Signal
the incoming RF waveform Digital Front End (DFE) Environment
by 25 dB and filters adjacent
channel noise and interfer- (a)
ers; 3) simultaneously demod-
60 0
ulating and amplifying the
Gain (dB), Total Effi., DE (%)

wake-up signal via a high- 50 –10


impedance dynamic thresh- DE
40 –20
ACLR (dBc)
Pout (dBm),

old MOS envelope detector Total Eff


(ED) with subthreshold active- 30 –30 Pout
inductor biasing; 4) digitizing Gain
20 –40
the ED output via a regenera- ACLR
tive comparator with kickback 10 –50
elimination; 5) generating the
0 –60
baseband clock via a 0.9 pJ/cycle,
3.4 3.6 3.8 4 4.2
1.1 nW relaxation oscillator Frequency (GHz)
[25]; 6) decoding the received (b)
OOK signal modulated with
a custom 16-bit code word Figure 8. (a) The ET system comprising a GaN soft-switching buck converter, GaN RF
using a high-Vt subthreshold PA, and digital front-end environment. (b) The experimental results (Mitsubishi Electric,
digital baseband correlator; Nokia/Bell Labs, and UCSD). PWM: pulse width modulation; DE: drain efficiency; LPF:
and 7) operating all circuits at low-pass filter; BC: buck converter; GMPDPD: generalized memory polynomial digital
0.4 V to minimize static and predistortion.

May 2023 37
nature, enabling high deployment lifetimes through narrowband transmitters, significantly advancing the
ultralow-power (ULP) operation is critical and often state of the art for low-power, short-range radios.
achieved through aggressive duty cycling.
Simplistic transmitter topologies are preferred for Mixed Signal Circuits: Phase-Locked Loops
this application. In contrast to conventional radios, the The relentless evolution of wireless transceiver stan-
PA in a short-range radio is not the highest power-con- dards toward higher bandwidths, higher-order modu-
suming block due to the low output power. Instead, the lation, and higher receive sensitivities imposes
frequency synthesizer or phase-locked loop (PLL) con- increasingly stringent requirements on local oscillator
sumes a significant fraction of the overall transmitter frequency synthesis in terms of rms jitter, spot phase
power. The MedRadio/ISM band (~400 MHz) is widely noise, and spurious content. For example, in the 5G NR
used for the aforementioned applications due to its rel- wireless standard, error vector magnitude requirements
atively low carrier frequency and suitability for short for higher than 64 quadrature amplitude modulation
distances. To generate this RF carrier in a ULP manner, require a LO frequency synthesizer with a total rms inte-
ring oscillator-based injection-locked clock multipliers grated jitter less than 90 fs, which is very challenging.
with small frequency multiplication factors (~8–12×) The best-performing present-day PLLs are analog
are regarded as state of the art [29], [30], [31], [32]. PLLs, i.e., PLLs based on conventional analog circuitry,
Toward this end, a new technique for PVT-robust, so analog PLLs are the predominant means of frequency
calibration- and regulation-free synthesis of the RF synthesis in high-performance commercial wireless
carrier was developed in Prof. Drew Hall’s group transceivers. Unfortunately, analog PLLs require large-
based on generating poly-phasors at 50 MHz with area loop filters, are not inherently reconfigurable,
no power overhead [33]. This is accomplished using and are not amenable to digital calibration. Yet, recon-
a passive polyphase filter directly integrated within figurability is essential as modern wireless standards
a crystal oscillator followed by an 8× edge combiner require handling widely variable data rates, and digital
to synthesize the RF carrier with −109 dBc/Hz phase calibration is increasingly necessary to address issues
noise at a 100-kHz offset, as illustrated in Figure 10. associated with the low supply voltages, high device
A dual-supply, inverse class E PA is implemented for nonlinearity, poor signal isolation, and device leakage
high efficiency at low output power (−17.5 dBm). Open- of highly scaled CMOS technology nodes as well as
loop operation permits aggressive duty cycling (<40 ns for the cancellation of mutual interference among the
startup time). This work demonstrated a BPSK, PVT- multiple on-chip PLLs required for carrier aggregation.
robust transmitter fabricated in 22 nm fully-depleted In contrast, digital PLLs have very small loop filters,
SOI technology when operated from a 0.4-/0.2-V sup- are easily reconfigurable, and are amenable to digital
ply consuming 67 μW with 27% global efficiency. This calibration, but they have yet to achieve the phase error
radio demonstrates excellent robustness to process performance of their analog counterparts [34, and refer-
variation, consistent performance across –30 to 90 °C, ences therein]. To date, the best-performing published
and complete insensitivity to voltage variation. This digital PLLs are based on analog sampling or digital
work achieves the best energy efficiency (67 pJ/bit) bang-bang (BB) architectures. However, both types of
and lowest power (67 µW) among reported sub-1 mW PLLs have practical issues. Sampling PLLs suffer from

Comparator With
High-Q Low-Voltage gm-C Integrator Low-Leakage
50 Ω Transformer ED With and Kickback Baseband
Antenna and Filter Active-L Bias Reduction Correlator 0.4 V

– Base- Signal
(.)2 Band
+ Detected
2× Oversampling
20.5 dB
Passive Gain 4 dB SNR
Improvement
Reference Relaxation

(b)
Wake-Up
Signal
(a)

Figure 9. (a) A block diagram of a WuRX. (b) A photograph of a 9-GHz WuRX assembly.

38 May 2023
poor reference spur performance and high PVT sensi- and digital calibration, and a solution to the spectral
tivity because their loop dynamics are highly depen- breathing problem [34], [35], [36], [37], [38], [39]. The
dent on the slope of the sampled waveform around its group’s first-generation 6- to 7-GHz versions of these
midscale crossings. BB digital PLLs suffer from lock- PLLs, illustrated in Figure 11, achieve 145-fs rms ran-
ing issues under large frequency steps, loop dynamics dom jitter performance without the abovementioned
that depend on the PLL’s noise sources, and require drawbacks [38], and the next-generation version cur-
impractically high reference frequencies to sufficiently rently under design is targeting 75-fs rms jitter perfor-
suppress the quantization error introduced by the BB mance with best-of-class spurious tone performance.
phase detector. Furthermore, the digitally controlled
oscillators (DCOs) required in digital PLLs lead to a Mixed Signal Circuits:
serious, but rarely acknowledged, intermittent phase Digital to Analog Converters
noise degradation phenomenon called spectral breathing. The most significant sources of static and dynamic non-
The ongoing digital PLL research performed by linear error in practical high-speed, high-resolution,
Prof. Ian Galton’s group aims to develop new tech- continuous-time RF digital to analog converters (DACs)
niques that systematically eliminate the limitations of are clock skew, component mismatches, and intersym-
present-day digital PLLs with the objective of elevat- bol interference (ISI). Most published digital calibra-
ing their performance to that of the best present-day tion techniques aimed at addressing these issues only
analog PLLs while retaining the digital PLL benefits. reduce the static portion of such error, which leaves
Research results so far include the development of a dynamic error as a major limitation. Techniques such
robust frequency-to-digital converter-based digital as return-to-zero signaling can be used to mitigate ISI,
PLL architecture, several phase noise and spurious but they generally have undesirable side effects, such as
tone reduction techniques based on time amplification halving the signal power and significantly increasing

Sine to Digital
Crystal Polyphase Square Edge BPSK Power
Oscillator Filter Buffers Combiner Modulator Amplifier
–17.5 dBm
50 MHz 50 → 400 MHz
Pout
φ1 = 0°
φ3
REF+ φ2 = 22.5° RF+
φ8 φ2 16
φ3 = 45°
φ1
RF–
REF– φ8 = 337.5°
High-Q
8-Phase Differential 16-Phase 8× Frequency Baseband Off-Chip
Sinusoids (16-Phases) Digital Clocks Multiplier Tx Data Matching
(a)

PPF XO
Off-Chip EC
PA Matching IoT Tx and PA
1 mm

Chip SPI
Chirp
Injector

Auxiliary Off-Chip
Test Circuits Crystal

0.5 mm
(b)

Figure 10. (a) A block diagram of a ULP transmitter. (b) A photograph of a 400-MHz transmitter PCB and die.

May 2023 39
sensitivity to clock jitter, which greatly increase power tuning [40]. This mismatch-noise cancellation (MNC)
consumption and/or decrease signal-to-noise-and-dis- technique cancels static and dynamic errors from clock
tortion ratio (SNDR). Consequently, clock skew, com- skew and component mismatches over an RF DAC’s
ponent mismatches, and ISI typically limit the Nyquist first Nyquist band. The initial version of the MNC
band SNDR of present-day CMOS RF DACs to less technique has been used to demonstrate a 600 MS/s
than 65 dB. Nonetheless, RF DACs with Nyquist band DAC IC that achieves a Nyquist band SNDR of 77 dB,
SNDRs of well over 65 dB are increasingly necessary as illustrated in Figure 12 [41]. This circuit still has two
for high-performance wireless applications such as 5G limitations: it oversamples the DAC output, which lim-
cellular base station transmitters. The ongoing DAC its the sample rate of the DAC IC to 600 MHz, and it
research performed by Ian Galton’s group at UCSD does not cancel ISI. The group has since developed a
aims to address this disconnect via digital calibration subsampling version of the MNC technique that elimi-
techniques that adaptively measure and cancel both nates the oversampling requirement [42] and a separate
static and dynamic DAC errors from clock skew, com- technique to address ISI.
ponent mismatches, and ISI in real time.
The difficulty that has prevented most published Beam-Steering Ambient Wi-Fi and Bluetooth
DAC calibration techniques from suppressing dynamic Signals for ULP IoT Devices
error arises from a property inherent to continuous- Wireless security cameras, wearable devices, pet health
time DACs. Such DACs generate a continuous-time tracking systems, wireless earbuds, augmented reality
output pulse for each input codeword, and the output glasses, and more are all starting to take hold in today’s
pulse has a bandwidth that far exceeds the DAC’s sam- marketplace. However, there is a major problem faced
ple rate because its duration is time limited to one clock by many of these IoT applications: power consump-
period. Therefore, any technique that cancels dynamic tion. Most of these devices are designed to be small
error either must do so over a bandwidth much wider and portable, and yet some of these devices consume
than the DAC’s signal bandwidth, which is unlikely to so much power that they must be plugged into the wall
be practical given the multi-GHz sample rates required (e.g., wireless security cameras), or the devices must
of RF DACs, or must perform frequency selective be so small they do not have room for a large battery,
cancellation over a single Nyquist band. Prof. Gal- and as a result, their battery life is poor (e.g., wireless
ton’s group recently developed the first technique to earbuds). A key culprit for this is the relatively high
accomplish this that does not require elaborate manual power consumption of the radio circuits that enable

1
–5
–20
1.1 mm –80.48 dB
–35
XO –50
–65 2∆1
1.2 mm

–80
–95
–110
DCO TA –125
Frequency: Center 6.55 GHz Span 170 MHz
DMRO (b)
–80 GC Enabled
–90 σ TJ = 2.7 psrms
PNR –100
–110 2
–120 3 4 32 dB
MMD GC Enabled
–130 σ TJ = 242 fsrms 5
–140
–150 6
–160
1K 10 K 100 K 1M 10 M 100 M
Frequency (Hz)
(a) (c)
Figure 11. The digital fractional-N PLL including gain calibration and time amplifier: (a) chip photograph, (b) output
spectrum at 6.5 GHz showing low reference spur, and (c) phase noise with and without gain calibration enabled. DCO:
digitally controlled oscillator; PNR: place and route; DMRO: dual-mode ring oscillator; TA: time amplifier; XO: crystal
oscillator; MMD: multi-modulus divider; GC: gain calibration.

40 May 2023
wireless communication. Wi-Fi, and even Bluetooth A technique to achieve this is backscatter com-
Low Energy—despite “low energy” being in the name munication, whereby an incident Wi-Fi or Bluetooth
of the standard—often dominate the overall power of signal arrives at an antenna, and depending on what
such devices, and thus, such devices either require wall impedance is loading the antenna on the IoT device,
power or have a short battery life. a certain portion of the signal will be reradiated back
In the groups of Prof. Patrick Mercier and Dinesh to the environment. By dynamically controlling what
Bharadia, work envisions a future where devices like kind of impedance is connected to the antenna, addi-
wireless security cameras do not have to be plugged tional data can be modulated on top of the incident
into the wall and can be placed anywhere, or where the signal. While this forms the basis for RFID systems,
battery life of other IoT devices can be 100 times longer. and some previous work on Wi-Fi backscatter has
The key to enabling this vision involves not generating been demonstrated using discrete parts [43], [44], our
the Wi-Fi or Bluetooth signals on the IoT device itself recent previous work showed that Wi-Fi communica-
but rather leveraging the fact that smartphones and tion can be achieved at ~1,000 times lower power than
routers, which either have a large battery or are already conventional approaches using this technique, a major
plugged into the wall, do a very capable job of gener- improvement toward enabling the next-generation IoT
ating these signals already. By hitchhiking on top of vision [45], [46], [47].
these existing transmissions, the IoT device can avoid The key challenge in backscatter communication,
the power consumption of expensive circuits operating however, is range: since there is no active transmit-
at GHz frequencies. ter on the IoT device, the reradiated signal is weak

Signal Band (1~265 MHz)

First Nyquist Band Second Nyquist Band

0
–10
Power (dBm/100 Hz)

Transition Band
–20
–30 MNC Off, DEM Off
–40 63.7 dB
–50
–60
–70
–80
–90
DAC Output

–100
–110
Generator

Bias
Lines

–120
Clock

Generator ADC 0
–10
Power (dBm/100 Hz)

–20
–30 MNC On, DEM Off
Main DAC Correction –40
DAC –50
–60 86.4 dB
Isolation –70
–80
–90
–100
P/R Digital –110
–120
0
–10
Power (dBm/100 Hz)

–20
(a) –30 MNC On, DEM On
–40
–50
–60 87.6 dB
–70
–80
–90
–100
–110
–120
0 100 200 300 400 500 600
Frequency (MHz)
(b)

Figure 12. The 600 MS/s DAC with adaptive cancellation of static and dynamic mismatch errors: (a) chip photograph and
(b) one-tone output spectra with and without digital dynamic element matching (DEM) and MNC enabled.

May 2023 41
and will only go so far before being lost to noise (e.g., only microwatts of power by operating at baseband,
10 meters in previous work), as shown by the link thereby keeping the ~1,000 times power reduction in
budget in Figure 13. In fact, due to limitations set by place, while increasing the range from 10 to 50+ meters
the FCC, standards, or receiver noise constraints, the [48] (Figure 15). We also demonstrated techniques to
only way to improve range in backscatter systems is enable backscattering of Bluetooth signals, for an ~100
to either improve insertion loss at the tag or add mul- times power reduction. These results represent a major
tiple input/multiple output (MIMO) gain. Our most step forward to making backscatter communication,
recent work featured improvements on both fronts and as a result small, tetherless IoT devices, a reality.
[48]. For example, we developed a transmission-line-
free single-antenna backscatter modulator that enables Outlook
fully reflective single-side-band QPSK signaling with- Academic research continues to have a major role in
out requiring a power combiner, thereby enabling a advancing microwave and mm-wave technology for
low overall insertion loss. Adding MIMO techniques wireless communications. Many academic demonstra-
on top of this normally requires precise control of tions have been rapidly followed by industry. At UCSD,
the phase of a multi-GHz signal, which can consume we appreciate that we have had access to a variety of
significant amounts of power. In our latest work (Fig- advanced process nodes, which is important to push the
ure 14), we demonstrated this can be achieved with state of the art. Although the coverage here is limited to
highlights and short descrip-
tions, interested readers are
encouraged to view the attached
Backscatter Tag
references or contact the authors
D1 (Distance), PL1(Path Loss)
AP Wake-Up Receiver for further information.
Tx
IoT
Device Acknowledgment
D2, PL2 Backscatter With
Insertion Loss (IL)
The authors are grateful to
AP their colleagues within UCSD’s
Rx MIMO Gain CWC directed by Prof. Sujit
FCC Limits to Max. of 30 dBm Commodity AP Sensitity is –90 to –100 dBm Dey and to the numerous com-
for 802.11b Signal (BW = 20 MHz) panies that provide support
MIMO Gain + PTx – PL1 – ILTag – PL2 ≥ Psens,Rx for the center. Partial funding
for the work reported here was
Figure 13. The link budget constraints in backscatter systems. The only opportunity to also provided by a variety of
improve the range involves improving the insertion loss and adding multiple input/multiple other companies and U.S. gov-
output (MIMO) gain. BW: bandwidth; TX: transmitter; RX: receiver; AP: access point. ernment agencies.

θ
Reflected
Sinθ = 2π∆
L
λ
Incident

L L L
Example: ∆ = +30°
Γ = e j ∗(–90°)

IFOUT,I
Γ = e j ∗90°

IFOUT,Q
Γ = e j ∗0°

IFOUT,I + ∆
IFOUT,Q + ∆

IFOUT,I + 2∆
IFOUT,Q + 2∆
IFOUT,I + ∆

IFOUT,I + ∆

IFOUT,I + 3∆
IFOUT,I + 2∆

IFOUT,I + 2∆
IFOUT,Q
IFOUT,I

IFOUT,Q
IFOUT,I

IFOUT,Q
IFOUT,I

IFOUT,Q + ∆
IFOUT,I + ∆

IFOUT,Q + ∆

IFOUT,Q + ∆

IFOUT,Q + 3∆
IFOUT,I + 3∆

IFOUT,Q + 3∆

IFOUT,Q + 3∆
IFOUT,I + 3∆
IFOUT,Q + 2∆

IFOUT,Q + 2∆

IFOUT,Q + 2∆

IFOUT,I + 3∆
IFOUT,I + 2∆

IFOUT,Q + 3∆

Figure 14. A schematic of a beam-steering fully reflective backscatter modulator.

42 May 2023

30° θ = 5° θ = –5° 330°
θ = 25°
θ = –25°

300°
60°
40
30
COTS Tx
Single-Antenna 20 m)
e(
Beam-Steering Tag 10 ng
Ra
Tag 0

Beam-Steering 23 m
Tag-Rx = 35 m
Antenna

Tx

Tag-Rx = 58 m
COTS Rx

FPGA Chip WuRx Antenna


(a) (b)
Figure 15. A photograph of the test setup, demonstrating a 58-m Wi-Fi access point separation (tag in between) with
(a) reliable communication and (b) beam-steering capabilities. COTS: commercial off-the-shelf; FPGA: field-programmable
gate array.

References [8] S. Li, Z. Zhang, and G. M. Rebeiz, “An eight-element 136-147 GHz
[1] O. Inac, D. Shin, and G. M. Rebeiz, “A phased array RFIC with built- wafer-scale phased-array transmitter with 32 dBm peak EIRP and
in self-test capabilities,” IEEE Trans. Microw. Theory Techn., vol. 60, >16 Gbps 16QAM and 64QAM operation,” IEEE J. Solid-State Cir-
no. 1, pp. 139–148, Jan. 2012, doi: 10.1109/TMTT.2011.2170704. cuits, vol. 57, no. 6, pp. 1635–1648, Jun. 2022, doi: 10.1109/JSSC.2022.
[2] B. Rupakula and G. M. Rebeiz, “Third-order intermodulation ef- 3148385.
fects and system sensitivity degradation in receive-mode 5G [9] O. El-Aassar and G. M. Rebeiz, “A cascaded multi-drive stacked-
phased-arrays in the presence of multiple interferers,” IEEE Trans. SOI distributed power amplifier with 23.5 dBm peak output power
Microw. Theory Techn., vol. 66, no. 12, pp. 5780–5795, Dec. 2018, doi: and over 4.5-THz GBW,” IEEE Trans. Microw. Theory Techn., vol. 68,
10.1109/TMTT.2018.2854194. no. 7, pp. 3111–3119, Jul. 2020, doi: 10.1109/TMTT.2020.2984226.
[3] A. H. Aljuhani, T. Kanar, S. Zihir, and G. M. Rebeiz, “A 256-ele- [10] S. Li and G. M. Rebeiz, “A 130-151 GHz 8-way power amplifier
ment Ku-band polarization agile SATCOM transmit phased-array with 16.8-17.5 dBm Psat and 11.7-13.4% PAE using CMOS 45nm RF-
with wide-scan angles, low cross-polarization, deep nulls and 36.5 SOI,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), 2021, pp.
dBW EIRP per polarization,” IEEE Trans. Microw. Theory Techn., vol. 115–118, doi: 10.1109/RFIC51843.2021.9490507.
69, no. 5, pp. 2594–2608, May 2021, doi: 10.1109/TMTT.2021.3053293. [11] P. Asbeck, S. Alluri, N. Rostomyan, and J. A. Jayamon, “Reliability
[4] G. Gultepe, T. Kanar, S. Zihir, and G. M. Rebeiz, “A 1024-element of CMOS-SOI power amplifiers for millimeter-wave 5G: The case
Ku-band SATCOM phased-array transmitter with 45-dBW single- for pMOS,” in Proc. IEEE Int. Rel. Phys. Symp. (IRPS), 2022, pp. 4B.1-
polarization EIRP,” IEEE Trans. Microw. Theory Techn., vol. 69, no. 9, 1–4B.1-9, doi: 10.1109/IRPS48227.2022.9764417.
pp. 4157–4168, Sep. 2021, doi: 10.1109/TMTT.2021.3075678. [12] M. Özen, K. Andersson, and C. Fager, “Symmetrical doherty pow-
[5] G. Gultepe, T. Kanar, S. Zihir, and G. M. Rebeiz, “A 256-element du- er amplifier with extended efficiency range,” IEEE Trans. Microw.
al-beam polarization-agile SATCOM Ku-band phased-array with Theory Techn., vol. 64, no. 4, pp. 1273–1284, Apr. 2016, doi: 10.1109/
5 dB/K G/T,” IEEE Trans. Microw. Theory Techn., vol. 69, no. 11, pp. TMTT.2016.2529601.
4986–4994, Nov. 2021, doi: 10.1109/TMTT.2021.3097075. [13] N. Rostomyan, M. Özen, and P. Asbeck, “28 GHz Doherty power
[6] S. Li, Z. Zhang, and G. M. Rebeiz, “An eight-element 140-GHz amplifier in CMOS SOI with 28% back-off PAE,” IEEE Microw. Wire-
wafer-scale IF beamforming phased-array receiver with 64-QAM less Compon. Lett., vol. 28, no. 5, pp. 446–448, May 2018, doi: 10.1109/
operation in CMOS RFSOI,” IEEE J. Solid-State Circuits, vol. 57, no. 2, LMWC.2018.2813882.
pp. 385–399, Feb. 2022, doi: 10.1109/JSSC.2021.3102876. [14] S. Alluri, N. Rostomyan, and P. Asbeck, “A Ka band 2-stage lin-
[7] K. Low and G. M. Rebeiz, “A 17.7–20.2-GHz 1024-element -band SAT- ear Doherty amplifier with 23dBm Psat and 29% 6dB-backoff PAE
COM phased-array receiver with 8.1-dB/K G/T, ±70° beam scanning, in pMOS-SOI,” in Proc. IEEE Topical Conf. RF/Microw. Power Am-
and high transmit isolation,” IEEE Trans. Microw. Theory Techn., vol. plifiers Radio Wireless Appl. (PAWR), 2021, pp. 52–54, doi: 10.1109/
70, no. 3, pp. 1769–1778, Mar. 2022, doi: 10.1109/TMTT.2022.3142275. PAWR51852.2021.9375501.

May 2023 43
[15] F. Kimball et al., “High-efficiency envelope-tracking W-CDMA in Proc. IEEE Custom Integr. Circuits Conf. (CICC), Apr. 2019, pp. 1–4,
base-station amplifier using GaN HFETs,” IEEE Trans. Microw. doi: 10.1109/CICC.2019.8780130.
Theory Techn., vol. 54, no. 11, pp. 3848–3856, Nov. 2006, doi: 10.1109/ [33] S. Mondal and D. A. Hall, “A 67-μW ultra-low power PVT-
TMTT.2006.884685. robust MedRadio transmitter,” in Proc. IEEE Radio Freq. In-
[16] F. Wang et al., “Design of wide-bandwidth envelope-tracking tegr. Circuits Symp. (RFIC), Aug. 2020, pp. 327–330, doi: 10.1109/
power amplifiers for OFDM applications,” IEEE Trans. Microw. RFIC49505.2020.9218405.
Theory Techn., vol. 53, no. 4, pp. 1244–1255, Apr. 2005, doi: 10.1109/ [34] C. Weltin-Wu, G. Zhao, and I. Galton, “A 3.5 GHz digital fraction-
TMTT.2005.845716. al- PLL frequency synthesizer based on ring oscillator frequency-
[17] H.-P. Le, S. Sanders, and E. Alon, “Design techniques for fully to-digital conversion,” IEEE J. Solid-State Circuits, vol. 50, no. 12, pp.
integrated switched-capacitor DC-DC converters,” IEEE J. Solid- 2988–3002, Dec. 2015, doi: 10.1109/JSSC.2015.2468712.
State Circuits , vol. 46, no. 9, pp. 2120–2131, Sep. 2011, doi: 10.1109/ [35] W. Wu et al., “A 28-nm 75-fs rms analog fractional- N sampling
JSSC.2011.2159054. PLL with a highly linear DTC incorporating background DTC gain
[18] C. Hardy, Y. Ramadass, K. Scoones, and H.-P. Le, “A flying-in- calibration and reference clock duty cycle correction,” IEEE J. Solid-
ductor hybrid DC–DC converter for 1-cell and 2-cell smart-cable State Circuits, vol. 54, no. 5, pp. 1254–1265, May 2019, doi: 10.1109/
battery chargers,” IEEE J. Solid-State Circuits, vol. 54, no. 12, pp. JSSC.2019.2899726.
3292–3305, Dec. 2019, doi: 10.1109/JSSC.2019.2944837. [36] M. Mercandelli et al., “17.5 A 12.5GHz fractional-N type-I sam-
[19] H. Pham, R. Das, C. Hardy, D. Kimball, P. Asbeck, and H.-P. Le, pling PLL achieving 58fs integrated jitter,” in Proc. IEEE Int. Sol-
“Adjustable 4-level hybrid converter for symbol power tracking in id- State Circuits Conf. - (ISSCC), San Francisco, CA, USA, 2020, pp.
5G NR,” in Proc. IEEE Appl. Power Electron. Conf. Expo. (APEC), Or- 274–276, doi: 10.1109/ISSCC19947.2020.9063135.
lando, FL, USA, 2023. [37] E. Alvarez-Fontecilla, A. I. Eissa, E. Helal, C. Weltin-Wu, and I.
[20] Y. Komatsuzaki et al., “A high efficiency 3.6-4.0 GHz envelope- Galton, “Delta-sigma FDC enhancements for FDC-based digital
tracking power amplifier using GaN soft-switching buck-convert- fractional-N PLLs,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 68,
er,” in Proc. IEEE/MTT-S Int. Microw. Symp. - IMS, 2018, pp. 465–468. no. 3, pp. 965–974, Mar. 2021, doi: 10.1109/TCSI.2020.3040346.
[21] P. P. Mercier and A. P. Chandrakasan, Eds., Ultra-Low-Power Short- [38] E. Helal, E. Alvarez-Fontecilla, A. I. Eissa, and I. Galton, “A time
Range Radios. Cham, Switzerland: Springer International Publish- amplifier assisted frequency-to-digital converter based digital
ing, 2015. fractional-N PLL,” IEEE J. Solid-State Circuits, vol. 56, no. 9, pp. 2711–
[22] D. Griffith, “Wake-up radio for low-power internet of things ap- 2723, Sep. 2021, doi: 10.1109/JSSC.2020.3048650.
plications: An alternative method to coordinate data transfers,” [39] E. Alvarez-Fontecilla, E. Helal, A. I. Eissa, and I. Galton, “Spec-
IEEE Solid-State Circuits Mag., vol. 11, no. 4, pp. 16–22, Fall 2019, doi: tral breathing and its mitigation in digital fractional-N PLLs,” IEEE
10.1109/MSSC.2019.2939335. J. Solid-State Circuits, vol. 56, no. 10, pp. 3191–3201, Oct. 2021, doi:
[23] P.-H. P. Wang et al., “A near-zero-power wake-up receiver achiev- 10.1109/JSSC.2021.3074814.
ing −69-dBm sensitivity,” IEEE J. Solid-State Circuits, vol. 53, no. 6, [40] D. Kong and I. Galton, “Adaptive cancellation of static and dy-
pp. 1640–1652, Jun. 2018, doi: 10.1109/JSSC.2018.2815658. namic mismatch error in continuous-time DACs,” IEEE Trans. Cir-
[24] H. Jiang et al., “24.5 A 4.5nW wake-up radio with −69dBm sensi- cuits Syst. I, Reg. Papers, vol. 65, no. 2, pp. 421–433, Feb. 2018, doi:
tivity,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), Feb. 2017, 10.1109/TCSI.2017.2737986.
pp. 416–417. [41] D. Kong, K. Rivas-Rivera, and I. Galton, “A 600 MS/s DAC with over
[25] H. Jiang, P.-H. P. Wang, P. P. Mercier, and D. A. Hall, “A 0.4-V 87dB SFDR and 77dB peak SNDR enabled by adaptive cancellation of
0.93-nW/kHz relaxation oscillator exploiting comparator tempera- static and dynamic mismatch error,” IEEE J. Solid-State Circuits, vol.
ture-dependent delay to achieve 94-ppm/°C stability,” IEEE J. Sol- 54, no. 8, pp. 2219–2229, Aug. 2019, doi: 10.1109/JSSC.2019.2912338.
id-State Circuits, vol. 53, no. 10, pp. 3004–3011, Oct. 2018, doi: 10.1109/ [42] D. Kong and I. Galton, “Subsampling mismatch noise cancellation
JSSC.2018.2859834. for high-speed continuous-time DACs,” IEEE Trans. Circuits Syst.
[26] H. Jiang et al., “A 22.3-nW, 4.55 cm2 temperature-robust wake-up I, Reg. Papers, vol. 66, no. 8, pp. 2843–2853, Aug. 2019, doi: 10.1109/
receiver achieving a sensitivity of −69.5 dBm at 9 GHz,” IEEE J. Sol- TCSI.2019.2909173.
id-State Circuits, vol. 55, no. 6, pp. 1530–1541, Jun. 2020, doi: 10.1109/ [43] B. Kellogg et al., “Passive Wi-Fi: Bringing low power to Wi-Fi
JSSC.2019.2948812. transmissions,” in Proc. 13th USENIX Symp. Netw. Syst. Des. Imple-
[27] P.-H. P. Wang et al., “A 400 MHz 4.5 nW− 63.8 dBm sensitivity mentation (NSDI), Mar. 2016, pp. 151–164.
wake-up receiver employing an active pseudo-balun envelope de- [44] P. Zhang et al., “HitchHike: Practical backscatter using commod-
tector,” in Proc. 43rd IEEE Eur. Solid State Circuits Conf. (ESSCIRC), ity WiFi,” in Proc. 14th ACM Conf. Embedded Netw. Sensor Syst. CD-
Sep. 2017, pp. 35–38, doi: 10.1109/ESSCIRC.2017.8094519. ROM (SenSys), Nov. 2016, pp. 259–271, doi: 10.1145/2994551.2994565.
[28] P.-H. P. Wang et al., “A 6.1-nW wake-up receiver achieving [45] P.-H. Wang, C. Zhang, H. Yang, M. Dunna, D. Bharadia, and P. P.
−80.5-dBm sensitivity via a passive pseudo-balun envelope detec- Mercier, “A low-power backscatter modulation system communi-
tor,” IEEE Solid-State Circuits Lett., vol. 1, no. 5, pp. 134–137, May cating across tens of meters with standards-compliant Wi-Fi trans-
2018, doi: 10.1109/LSSC.2018.2875826. ceivers,” IEEE J. Solid-State Circuits, vol. 55, no. 11, pp. 2959–2969,
[29] J. Pandey and B. P. Otis, “A sub-100 W MICS/ISM band transmit- Nov. 2020, doi: 10.1109/JSSC.2020.3023956.
ter based on injection-locking and frequency multiplication,” IEEE [46] M. Meng, M. Dunna, H. Yu, S. Kuo, D. Bharadia, and P. P. Mercier,
J. Solid-State Circuits, vol. 46, no. 5, pp. 1049–1058, May 2011, doi: “Improving the range of Wi-Fi backscatter via a passive retro-reflec-
10.1109/JSSC.2011.2118030. tive single-side-band-modulating MIMO array and non-absorbing
[30] X. Liu, M. M. Izad, L. Yao, and C. Heng, “A 13 pJ/bit 900 MHz termination,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC),
QPSK/16-QAM band shaped transmitter based on injection lock- Feb. 2021, pp. 202–204, doi: 10.1109/ISSCC42613.2021.9366014.
ing and digital PA for biomedical applications,” IEEE J. Solid- [47] M. Dunna, M. Meng, P.-H. Wang, C. Zhang, P. Mercier, and D.
State Circuits, vol. 49, no. 11, pp. 2408–2421, Nov. 2014, doi: 10.1109/ Bharadia, “SyncScatter: Enabling WiFi like synchronization and
JSSC.2014.2354650. range for WiFi backscatter communication,” in Proc. 18th USENIX
[31] W. Li, Y. Duan, and J. M. Rabaey, “A 200Mb/s inductively cou- Symp. Netw. Syst. Des. Implementation (NSDI), Apr. 2021, pp. 923–937.
pled wireless transcranial transceiver achieving 5e-11 BER and [48] S.-K. Kuo, M. Dunna, D. Bharadia, and P. P. Mercier, “A WiFi and
1.5pJ/b transmit energy efficiency,” in Proc. IEEE Int. Solid - State bluetooth backscattering combo chip featuring beam steering via
Circuits Conf. - (ISSCC), Feb. 2018, pp. 290–292, doi: 10.1109/ISS- a fully-reflective phased-controlled multi-antenna termination
CC.2018.8310298. technique enabling operation over 56 meters,” in Proc. IEEE Int.
[32] S. Mondal and D. A. Hall, “A107 µW MedRadio injection-locked Solid-State Circuits Conf. (ISSCC), Feb. 2022, pp. 1–3, doi: 10.1109/ISS-
clock multiplier with a CTAT-biased 126 ppm/°C ring oscillator,” CC42614.2022.9731744.

44 May 2023
An Overview of
RF and Microwave
Research in
Latin America
José E. Rayas-Sánchez and
J. Apolinar Reynoso-Hernández

W
e present, in this article,
an up-to-date general and
brief scan of the main re-
search activities in RF and
microwaves in Latin Amer-
ica. First, we geographically identify the
main research and development clusters in
RF and microwaves in this large region of
the world. We next describe the most recent
and representative research work developed in
the most active Latin American countries in this
technical field, namely, Argentina, Brazil, Chile,
Colombia, Costa Rica, Ecuador, Mexico, Peru, and
Puerto Rico. To develop this updated survey of RF and
microwaves in Latin America, we started by consider-
ing previous similar reviews available in the literature
[1], [2], including some focused on specific Latin Ameri-
can countries [3], [4]. In our review, we essentially focus
on the past five or six years of scientific research produc-
tion. Given the synergistic relationship between the IEEE
Microwave Theory and Technology Society (MTT-S) and
the level of activities and maturity in RF and microwaves,
IMAGE LICENSED BY INGRAM PUBLISHING

José E. Rayas-Sánchez (erayas@iteso.mx) is with the Department of Electronics, Systems, and Informatics, ITESO,
The Jesuit University of Guadalajara, Tlaquepaque 45604, Mexico. J. Apolinar Reynoso-Hernández (apolinar@cicese.mx) is with
Centro de Investigación Científica y de Educación Superior de Ensenada, Ensenada 22860, Mexico.
Digital Object Identifier 10.1109/MMM.2023.3242559
Date of current version: 6 April 2023

May 2023 1527-3342/23©2023IEEE 45


we finalize our article by summarizing the status as and microwave research lines currently developed in
well as the main challenges and opportunities for the those countries.
MTT-S in Latin America.
RF and Microwave Research in Argentina
Main Clusters of RF and Microwave Research activities on RF and microwaves in Argen-
Activities in Latin America tina are mainly focused on satellite-based navigation
Latin America encompasses all the countries of the systems [5], [6], multiport modulators [7], sensors [8],
American continent in which the Romance languages radiometers [9], [10], metrology, and calibrations [11].
are predominantly spoken (mainly Spanish, Portu- These research activities are mainly conducted by the
guese, and French). It includes 26 countries (20 sov- following organizations: the National University of La
ereign states), with more than 656 million inhabitants Plata [5], [6], [7], the National Technological University
distributed in a very large geographical zone with [8], [11], the National University of Cordova [10], and the
an area of almost 20 × 106 km 2. Fewer than half of National Commission of Space Activities [9].
those 26 Latin American countries concentrate on the
most advanced engineering and industrial develop- RF and Microwave Research in Brazil
ment. More specifically, the main clusters of activities Brazil is the Latin American country with more
on RF and microwave engineering are geographi- research groups in RF and microwaves. Brazil also has
cally distributed as illustrated in Figure 1, where it the largest number of MTT-S Chapters and members.
is seen that only nine countries in the region have Since 1985, Brazil has organized the biannual Brazil-
significant activities in these technical fields. In the ian Microwave and Optoelectronics Society (SBMO)/
following sections, we briefly mention the main RF MTT-S International Microwave and Optoelectronics
Conference, sponsored by the
SBMO and the MTT-S.
Excluding the areas of
optics and optoelectronics,
where Brazil has a strong re-
Mexico search tradition, the research
scope on RF and microwaves
Puerto Rico covered by Brazilian institu-
tions is very broad. It includes
Costa Rica antennas [12], [13], [14], [15],
[16], [23], specific absorption
rate studies [17], [18], frequen-
Colombia cy-selective surfaces (FSSs)
Ecuador
[19], [20], [21], defected ground
structures [22], metamateri-
als [23], [24], wireless power
Brazil
Peru
transfer [16], [25], [26], power
transmission line monitoring
[27], [28], low-cost radars for
human movement detection
Chile [29], [30], CAD techniques [29],
[30], [31], [32], low-cost RFID
Argentina technologies [33], [34], nega-
tive group delay circuits [35],
[36], [37], [38], electromagnetic
Cluster of RF and
Microwave Activities field analysis, and waveguide
component design [39], [40],
[41], [42], [43].
The main most active Bra-
zilian institutions developing
research on RF and micro-
Figure 1. The geographical distribution of the main clusters of RF and microwaves waves are listed in alpha-
activities in Latin America. Most of the relevant work in the field is realized in nine betical order in the following,
countries (out of 26). Updated from [1]. citing some of their most

46 May 2023
(a) (b)

Figure 2. Some of the research laboratory facilities at PUC-Rio: (a) a planar antenna in an anechoic chamber and (b) graduate
students in front of the anechoic chamber. (Source: Prof. Guilherme Simon da Rosa; used with permission.)

recent and representative research contributions (see institutions falls beyond the scope and space lim-
the preceding paragraph to identify the corresponding its of the present article. For the sake of brevity, we
technical areas): illustrate, in Figure 2, an example of the laboratory
• Federal Institute of Paraiba, in João Pessoa [12], research resources available at PUC-Rio. Figure 3
[15], [19], [20], [21], [22] shows a microwave research laboratory facility at
• Federal Institute of Pernambuco, in Recife [28] the UFCG.
• Federal Institute of Rio Grande do Sul, in Canoas
[17], [18] RF and Microwave Research in Chile
• Federal Institute of Rio Grande do Norte, in Chile has a well-established tradition in scientific
Parelhas [15] activities related to astronomy. The most outstanding
• Federal Institute of Sao Paulo, in São Paulo [12] research on RF and microwaves in Chile is mainly
• Federal Rural University of Semi-Arido, in focused on microwave integrated circuits for radio
Mossoro [19] astronomy [44], [45], remote sensing [46], radio imaging
• Federal University of Campina Grande (UFCG), [47], nanosatellite systems [48], and antennas [49], [50],
in Campina Grande [12], [15], [35], [36], [37], [38] [51], [52].
• Federal University of Ceará, in Fortaleza [15] The main Chilean institutions doing research on
• Federal University of Minas Gerais, in Belo Hori- the preceding topics include the University of Chile
zonte [13] [44], [45], [48], [50], the Pontifical Catholic University
• Federal University of Pernambuco, in Recife [27], of Chile [47], the Pontifical Catholic University of Val-
[28], [29], [30], [31], [32] paraiso [44], [51], [52], the University of Concepción
• Federal University of Rio Grande do Sul, in Porto
Alegre [17], [18]
• Federal University of Rio Grande do Norte, in
Natal [19], [20], [21]
• Fe dera l Un iver sit y of S a nt a Cat a r i n a, i n
Florianópolis [25], [26]
• Fluminense Federal University, in Niteroi [33], [34]
• Pontifical Catholic University of Rio (PUC-Rio),
in Rio de Janeiro [13], [24], [34], [39], [40], [41],
[42], [43]
• São Paulo State University, in São Paulo [13], [43]
• University of Brasilia, in Brasilia [35], [36]
• University of Campinas, in Campinas [14], [16],
[23], [29], [30]. Figure 3. The setup for the measurement of a magneto–
Giving a more detailed description of the research dielectric antenna in an anechoic chamber at the UFCG.
work developed at each of the preceding 17 Brazilian (Source: Prof. Glauco Fontgalland; used with permission.)

May 2023 47
ITESO, The Jesuit University of The National University of Colombia, in Bogota,
mainly researches tunable FSSs, metamaterials, and
Guadalajara, focuses its research antennas [57], [58], [59].
on CAD techniques for RF and Icesi University, in Cali, and Francisco de Paula
microwave modeling, design, and Santander University, in Santander, mainly focus on
wireless sensor networks and rural wireless broad-
optimization of circuits and systems. band propagation models [60], [61] (see Figure 4).

los Ángeles [46], and the National Radio Astronomy RF and Microwave Research
Observatory [44], [49]. in Costa Rica
Costa Rica is an emerging country in the arena of RF
RF and Microwave Research in Colombia and microwave engineering. Its research in this field
Colombia was the host country of the most recent edi- mainly concentrates on antenna design [62], [63], micro-
tion of the MTT-S Latin America Microwave Confer- wave CAD techniques [64], [65], signal integrity and
ence (LAMC), celebrated in a virtual format from the high-speed interconnects [65], [66], microwave plasma
city of Cali, in May 2021. Most of the research work heating [67], solar radio bursts [68], and microwave vir-
on RF and microwaves in this country is concentrated tual education [69]. The principal institutions engaging
in four Colombian institutions, as briefly described in in research in these fields are the Technological Insti-
the following. tute of Costa Rica [63], [64], [65], [66], [67], the University
The Research Group GINTEL at the Pedagogical of Costa Rica [62], [68], and the Distance State Univer-
and Technological University of Colombia, at Soga- sity of Costa Rica [69].
moso, engages in research mainly on wideband RF and
microwave power amplifiers and digital predistortion RF and Microwave Research in Ecuador
systems [53], [54], [55], [56]. The University of the Armed Forces, in Sangolqui,
and the San Francisco University of Quito, in Quito,
are the two main institutions working on research in
RF and microwaves in Ecuador. They mainly focus
on passive waveguide components design [70], with
an emphasis on substrate integrated waveguide
technologies [71].

RF and Microwave Research


in Mexico
Mexico was the host country of the first edition of the
LAMC, held in Puerto Vallarta, in December 2016 [72].
The main research groups on RF and microwaves in
Mexico are located in four institutions: the Center for
Scientific Research and Higher Education of Ensenada
(CICESE), in Baja California; the Center for Research
and Advanced Studies of the National Polytechnic
Institute at Guadalajara (CINVESTAV-Guadalajara);
the National Institute of Astrophysics, Optics, and
Electronics (INAOE), in Puebla; and ITESO, The Jesuit
University of Guadalajara.
The CICESE is the Mexican institution with the
longest tradition in RF and microwaves. It carries
out research along two main lines: power ampli-
fier characterization and microwave metrology
[73], [74], [75], [76] and planar sensors for materi-
als monitoring and characterization [77], [78], [79],
[80]. Figure 5 presents some of the measurement
Figure 4. The transmitter used to validate propagation equipment available at the Laboratory of RF and
models of TV white space technology for wireless broadband Microwave in the Applied Physics Division at the
in the Colombian rain forest [60]. (Source: Prof. Andrés CICESE. Figure 6 depicts an experimental setting
Navarro-Cadavid; used with permission.) for materials characterization.

48 May 2023
(a) (b)

Figure 5. The CICESE Laboratory of RF and Microwave time-domain low-frequency active harmonic load pull system for
characterizing (a) packaged power transistors and (b) on-wafer transistors. (Source: Prof. Apolinar Reynoso-Hernández; used
with permission.)

CINVESTAV-Guadalajara focuses its research on validation of high-speed computer platforms, [111],


microwave transistor modeling (linear and nonlin- [112], [113], power delivery networks, and power integ-
ear) as applied to the design of power amplifiers [81], rity [114], [115].
[82], including the development of behavioral models
for power amplifiers [83]. It also carries out research RF and Microwave Research in Peru
on filters and diplexers [84] as well as on the design The most active researchers on RF and microwaves in
of RF active circuits using X-parameters [85], [86]. this country are at the Pontifical Catholic University of
Figure 7 displays some of the equipment available at Peru [116], [117], in Lima; San Pablo Catholic University
CINVESTAV-Guadalajara to characterize micro- [118], [119], [120], in Arequipa; and the National Univer-
wave transistors. sity of Saint Agustin [121], [122], also in Arequipa. Their
The INAOE is another Mexican public research research mainly focuses on microwave transistor mod-
center, and it has had prominent activities in RF eling [121], power amplifiers [122], radar imagining,
and microwaves for more than 30 years. Its research
mainly focuses on the measurement, modeling, and
characterization of semiconductor devices as well as
passive components for integrated circuits [87], [88], 0
[89], printed circuit boards [90], [91], [92] [93], antennas –5
[94], and microstrip filters [95]. The INAOE’s laboratory
[96] is equipped with two advanced vector network –10
analyzers (VNAs) (see Figure 8), one capable of two- –15
S11 (dB)

port measurements up to 67 GHz and the other able SUT


–20 Tube
to perform four-port measurements in the 10-MHz– 1)
70-GHz range as well as two-port measurements up –25 2)
to 110 GHz. 3)
–30
ITESO, The Jesuit Universit y of Guadalajara, 4) Sensor A
–35 5)
focuses its research on CAD techniques for RF and
6)
microwave modeling, design, and optimization of –40
circuits and systems, most of it in close collaboration 2.62 2.63 2.64 2.65 2.66 2.67 2.68
with local industry [97], [98]. More specifically, ITESO Frequency (GHz)
develops research on design optimization methods
[99], [100], [101], surrogate-based approaches [102], Figure 6. Experimental measurements using a resonant
[103], neuronal analog fault identification [104], and sensor of solvent liquids and air (1-air, 2-ethanol,
space mapping techniques [105], [106], [107], [108], 3-methanol, 4-isobutanol, 5-isopropyl alcohol, and
with an emphasis on applications to signal integrity 6-acetonitrile). SUT: sample under test. (Source: Prof.
and high-speed interconnects [109], [110], postsilicon Humberto Lobato, CICESE; used with permission.)

May 2023 49
and sensing [116], [117] as well as in antennas [118], RF and Microwave Research in Puerto Rico
microwave sensors for materials characterization [119], The University of Puerto Rico at Mayagüez is the Puerto
[120] and cancer detection [123]. The second edition of Rican institution with the most prominent research
the LAMC took place in Peru, at the Catholic Univer- activities on RF and microwaves. It does research
sity San Pablo, in December 2018 [124]; Figure 9 illus- mainly on antennas and radar systems as well as on
trates some of the microwave laboratory resources at small radiometers for unmanned aerial vehicle (UAV)
this university. remote sensing (see Figure 10), with applications to

(a) (b)

(c)

Figure 7. Test benches to characterize RF and microwave field-effect transistors at CINVESTAV-Guadalajara: (a) pulsed I/V,
(b) one- and two-tone tests, and (c) load pull. (Source: Prof. Raúl Loo-Yau; used with permission.)

50 May 2023
Figure 8. Advanced VNAs available at the INAOE, one capable of two-port measurements up to 67 GHz and the other able to
perform four-port measurements in the 10-MHz–70-GHz range as well as two-port measurements up to 110 GHz. (Source: Prof.
Roberto S. Murphy; used with permission.)

(a) (b)
Figure 9. Some of the laboratory facilities available at San Pablo Catholic University: (a) an anechoic chamber and
(b) a characterization system for dielectric samples. (Source: Prof. Patricia Castillo-Araníbar; used with permission.)

300

250

200
(K)

150

100

50
(a) (b)
Figure 10. Microwave remote sensing in Puerto Rico: (a) a UAV carrying a microwave radiometer while flying over
Magueyes Island and (b) the brightness temperature measured with a radiometer during a field campaign at Magueyes
Island [125]; the circle size represents the antenna footprint. (Source: Prof. Raúl Rodríguez-Solís; used with permission.)

May 2023 51
Chapter Name Technical Societies Country Main Cities

Argentina Section MTT–S Argentina Buenos Aires


Centro-Norte 1 Section MTT–S/C/COM/PE/SP Brazil Belem
Centro-Norte 2 Section MTT–S/ED/EMB Brazil Brasilia
Guadalajara Section MTT–S Mexico Guadalajara
Mexico Section MTT–S Mexico Mexico City/Ensenada
Northeast Brazil Section MTT–S Brazil Recife
Northeast Brazil – UFCG MTT–S (Student) Brazil Campina Grande
Northeast Brazil – IFBA MTT–S (Student) Brazil Bahia

Northeast Brazil – UFERSA MTT–S (Student) Brazil Mossoro


Peru Section MTT–S Peru Arequipa/Lima
Peru Section – UNSA MTT–S (Student) Peru Arequipa
Puebla Section – BUAP MTT–S (Student) Mexico Puebla
Rio de Janeiro Section MTT–S/AP/ED Brazil Rio de Janeiro
South Brazil Section MTT–S Brazil Sao Paulo

Figure 11. The current MTT-S Chapters in Region 9, located in four Latin American countries: Argentina, Brazil, Mexico,
and Peru. C: IEEE Computer Society; COM: IEEE Communications Society; PE: IEEE Power & Energy Society; SP: IEEE
Signal Processing Society; ED: IEEE Electron Devices Society; EMB: IEEE Engineering in Medicine and Biology Society; AP:
IEEE Antennas and Propagation Society; UFCG: Universidade Federal do Campina Grande; IFBA: Instituto Federal da Bahia
Campus Vitoria da Conquista; UFERSA: Universidade Federal Rural do Semi Arido; UNSA: Universidad Nacional de San
Agustín; BUAP: Benemérita Universidad Autónoma de Puebla.

weather forecasting, agriculture, and water resource


management, among others [125], [126], [127].

Status, Challenges, and Opportunities


for MTT-S in Latin America
The current MTT-S Chapters located in Region 9 are
shown in Figure 11. There is a total of 14 MTT-S Chapters
in Latin America, located in four countries: Argentina,
Brazil, Mexico, and Peru. Of those MTT-S Chapters, five
are Student Branch Chapters, and three are Joint Chap-
ters with other technical societies (see Figure 11). Their
geographical distribution is indicated in Figure 12.
MTT-S membership in Region 9 is relatively small;
however, it has been consistently growing over the past
three years, as confirmed in Figure 13.
A key regional initiative that was approved by the
MTT-S Administrative Committee in 2015 was the
establishment of the LAMC. This is a biannual confer-
ence that normally takes place in the first or second
week of December, moving around to different loca-
Figure 12. The geographical distribution of MTT-S tions in Latin American countries. It has a general
Chapters in Region 9. Most of the Chapters are located in scope on RF and microwave engineering and technolo-
Brazil and Mexico. (Source: https://www.mtt.org/chapters- gies, and it is technically and financially sponsored by
by-region/?region=Latin+America#.) the MTT-S (see Figure 14). The LAMC has significantly

52 May 2023
Query Date Active Members Changes

15 June 2020 134


+17.9%
2 June 2021 158 +30.6%
+10.7%
5 June 2022 175

20 September 2020 145


+15.2%
19 September 2021 167 +24.1%
+7.8%
19 September 2022 180

Figure 13. The evolution of the MTT-S membership in Region 9 over the past three years. (Source: IEEE Organizational Unit Analytics.)

along with the previous editions of the LAMC, were


instrumental for identifying our own research efforts in
the region. The information provided in this article con-
firms notable progress in the level of research activities
on RF and microwave engineering in Latin America over
the past decade, both in terms of quantity and quality. We
hope that the present article promotes higher visibility
of the research efforts undertaken in this geographical
Figure 14. The official logo of the flagship MTT-S Region region as well as further collaborations not only among
9 conference on RF and microwaves, the LAMC. Latin American institutions but also worldwide. We
firmly believe that progressing in education, research,
motivated the development of local RF and microwave and industrial development on RF and microwaves in the
research activities and increased MTT-S membership region will promote more harmonized economic devel-
in Latin America. The next LAMC is scheduled to take opment in our Latin American countries.
place in San Jose, Costa Rica, in December 2023.
Among the main challenges and opportunities References
identified for the MTT-S in Region 9, the following can [1] J. E. Rayas-Sánchez and Z. Brito-Brito, “Academic and industrial re-
be highlighted: search activities on RF and microwaves in Latin America: An over-
view,” in Proc. Eur. Microw. Conf. (EuMC), Nuremberg, Germany, Oct.
• We still have significant opportunities for MTT-S
2017, pp. 536–539.
membership growth in specific areas of Latin America. [2] R. Murphy et al., “R&D in Latin America: RF and microwave re-
• Given the level of RF- and microwave-related search in Latin America,” IEEE Microw. Mag., vol. 15, no. 3, pp.
activities observed in Colombia, Costa Rica, Chile, 97–103, May 2014, doi: 10.1109/MMM.2014.2302660.
[3] R. Murphy and R. Torres, “MTT world: Microwave engineering in
and Puerto Rico, new MTT-S Chapters could be
Mexico,” IEEE Microw. Mag., vol. 11, no. 6, pp. 152–148, Oct. 2010,
created in the future in those countries. doi: 10.1109/MMM.2010.937724.
• Strategic steps should be taken to consolidate the [4] J. E. Rayas-Sánchez, D. Pasquet, B. Szendrenyi, and M. S. Gupta,
LAMC as the flagship regional conference in RF “MTT-S Mexico trip: Addressing the RF and microwave commu-
nity in Mexico,” IEEE Microw. Mag., vol. 16, no. 7, pp. 104–107, Aug.
and microwaves, keeping it as a catalyst to stimu- 2015, doi: 10.1109/MMM.2015.2431240.
late further development in these technical fields [5] E. A. Marranghelli, R. L. La Valle, and P. A. Roncagliolo, “Simple
in Latin American countries. and effective GNSS spatial processing using a low-cost compact
• Significant collaboration opportunities with Region 9 antenna array,” IEEE Trans. Aerosp. Electron. Syst., vol. 57, no. 5, pp.
3479–3491, Oct. 2021, doi: 10.1109/TAES.2021.3082669.
Young Professionals are identified to implement [6] R. L. La Valle, J. G. García, and P. A. Roncagliolo, “A dual-band RF
more technical talks and other similar activities in front-end architecture for accurate and reliable GPS receivers,” in
MTT-S Latin American Chapters at a regional level. Proc. IEEE/MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, USA,
Jun. 2018, pp. 995–998, doi: 10.1109/MWSYM.2018.8439851.
[7] A. J. Venere, J. I. Fernandez-Michelli, M. Hurtado, and C. H. Murav-
Conclusion chik, “Design of a multiport microwave modulator for dynamic po-
An updated overall survey of the main research activi- larization reconfiguration,” IEEE Trans. Microw. Theory Techn., vol.
ties on RF and microwaves in Latin America has been 67, no. 5, pp. 1937–1945, May 2019, doi: 10.1109/TMTT.2019.2899327.
[8] M. D. Perez et al., “Microwave sensors for new approach in moni-
presented in this article. The special sessions that have
toring hip fracture healing,” in Proc. Eur. Conf. Antennas Propag.
been implemented in prior MTT-S International Micro- (EUCAP), Paris, France, Mar. 2017, pp. 1838–1842, doi: 10.23919/
wave Symposia and European Microwave Conferences, EuCAP.2017.7928698.

May 2023 53
[9] A. Colliander et al., “Seasonal dependence of SMAP radiometer-based system assisted by metamaterial-based µ-negative lenses,” IEEE
soil moisture performance as observed over core validation sites,” in Microw. Mag., vol. 19, no. 4, pp. 95–100, Jun. 2018, doi: 10.1109/
Proc. IEEE Int. Geosci. Remote Sens. Symp. (IGARSS), Yokohama, Japan, MMM.2018.2813858.
Jul./Aug. 2019, pp. 5320–5323, doi: 10.1109/IGARSS.2019.8899007. [25] A. F. Jaimes, F. L. Cabrera, and F. R. de Sousa, “Characterization
[10] A. Alasgah, M. Jacob, and L. Jones, “Hurricane imaging radiom- of high-Q inductors up to its self-resonance frequency for wire-
eter (HIRAD) wind speed retrieval using radar rain rate,” in Proc. less power transfer applications,” IEEE Microw. Wireless Com-
IEEE Int. Geosci. Remote Sens. Symp. (IGARSS), Fort Worth, TX, USA, pon. Lett., vol. 28, no. 12, pp. 1071–1073, Dec. 2018, doi: 10.1109/
Jul. 2017, pp. 2148–2151, doi: 10.1109/IGARSS.2017.8127411. LMWC.2018.2876770.
[11] V. Pertierra et al., “Development of an automatic passive co- [26] F. L. Cabrera and F. R. de Sousa, “Backscatter Efficiency model-
axial load pull tuner,” in Proc. IEEE MTT-S Latin Amer. Microw. ing of inductive links applied to wireless power transfer systems,”
Conf. (LAMC), Arequipa, Peru, Dec. 2018, pp. 1–4, doi: 10.1109/ IEEE Trans. Microw. Theory Techn., vol. 66, no. 5, pp. 2386–2392, May
LAMC.2018.8699078. 2018, doi: 10.1109/TMTT.2017.2776911.
[12] C. C. R. de Albuquerque, A. Gomes Neto, A. M. de Oliveira, G. K. [27] V. L. Tarrago et al., “Cascade modeling of the measuring system
d. F. Serres, and A. J. R. Serres, “Triple RSIW fed antipodal Vivaldi used to assess S-parameters of anchor rods on power transmission
antenna for bandwidth improvement,” in Proc. Eur. Conf. Anten- lines guyed towers,” J. Microw. Optoelectron. Electromagn. Appl., vol.
nas Propag. (EuCAP), Dusseldorf, Germany, Mar. 2021, pp. 1–4, doi: 21, no. 1, pp. 35–47, Mar. 2022, doi: 10.1590/2179-10742022v21i1253757.
10.23919/EuCAP51087.2021.9411414. [28] B. A. Kleinau et al., “Application of the base transceiver sta-
[13] R. A. Penchel, S. R. Zang, J. R. Bergmann, and F. J. S. Moreira, tion with smart antennas in the power distribution sector,”
“Design of wideband omnidirectional dual-reflector antennas in Int. J. Antennas Propag., vol. 2021, Jun. 2021, Art. no. 6621116, doi:
millimeter waves,” IEEE Antennas Wireless Propag. Lett., vol. 18, no. 10.1155/2021/6621116.
5, pp. 906–910, May 2019, doi: 10.1109/LAWP.2019.2905602. [29] E. L. Chuma and Y. Iano, “Human movement recognition sys-
[14] T. S. Mota and L. C. Kretly, “Plasma antenna for electromagnetic tem using CW doppler radar sensor with FFT and convolu-
compatibility in vehicular application: Design consideration,” in Proc. tional neural network,” in Proc. IEEE MTT-S Latin Amer. Microw.
SBMO/IEEE MTT-S Int. Microw. Optoelectron. Conf. (IMOC), Fortale- Conf. (LAMC), Cali, Colombia, May 2021, pp. 1–4, doi: 10.1109/
za, Brazil, Oct. 2021, pp. 1–3, doi: 10.1109/IMOC53012.2021.9624891. LAMC50424.2021.9602484.
[15] A. P. Costa, G. Fontgalland, A. G. Neto, and A. S. B. Sombra, “YIG [30] E. L. Chuma and Y. Iano, “A movement detection system using
matrix based multiband magneto-dielectric cylindrical resonator continuous-wave doppler radar sensor and convolutional neural
antenna,” J. Microw. Optoelectron. Electromagn. Appl., vol. 20, no. 2, network to detect cough and other gestures,” IEEE Sensors J., vol.
pp. 348–358, Jun. 2021, doi: 10.1590/2179-10742021v20i21067. 21, no. 3, pp. 2921–2928, Feb. 2021, doi: 10.1109/JSEN.2020.3028494.
[16] E. L. Chuma, Y. Iano, and L. L. B. Roger, “Ultra-wide band rec- [31] D. L. de Melo et al., “Optimization of an array of smart antennas
tenna design with discone antenna and rectifier with high using PSO for the monitoring of electrical power switches,” in Proc.
impedance inductor,” in Proc. Int. Symp. Instrum. Syst. Circuits IEEE MTT-S Latin Amer. Microw. Conf. (LAMC), Cali, Colombia,
Transducers (INSCIT), Campinas, Brazil, Aug. 2021, doi: 10.1109/IN- May 2021, pp. 1–3, doi: 10.1109/LAMC50424.2021.9602041.
SCIT49950.2021.9557256. [32] M. M. Alves et al., “A novel iterative method to estimate the soil
[17] C. Fernández-Rodríguez, G. Bulla, N. Soares, G. Fulgêncio, and A. complex permittivity from measurement and simulation model-
A. de Salles, “Review of low SAR antennas for mobile applications,” ing,” in Proc. IEEE Radio Wireless Symp. (RWS), San Diego, CA, USA,
in Proc. Eur. Conf. Antennas Propag. (EuCAP), Dusseldorf, Germany, Jan. 2021, pp. 76–79, doi: 10.1109/RWS50353.2021.9360397.
Mar. 2021, pp. 1–5, doi: 10.23919/EuCAP51087.2021.9411305. [33] V. L. Gomes Mota, L. P. Boaventura, V. P. R. Magri, T. N. Ferreira,
[18] G. Bulla, A. De-Salles, and C. Fernández-Rodríguez, “Novel L. J. de Matos, and V. N. H. Silva, “Simulation and fabrication of
monopole antenna on a single AMC cell for low SAR,” Int. J. Mi- a low-cost RFID reader,” in Proc. IEEE MTT-S Latin Amer. Microw.
crow. Wireless Technol., vol. 12, no. 9, pp. 825–830, Apr. 2020, doi: Conf. (LAMC), Cali, Colombia, May 2021, pp. 1–3, doi: 10.1109/
10.1017/S1759078720000458. LAMC50424.2021.9602837.
[19] B. S. da-Silva, A. L. Pereira-de-Siqueira-Campos, M. E. Tavares- [34] R. B. Di Renna, R. Brasil, V. P. Magri, T. Ferreira, and L. J. Matos,
Sousa, A. Gomes-Neto, and H. D. de Andrade, “A tri‐band comple- “Design and simulation of broadband UHF microstrip meander
mentary frequency selective surface with very closely spaced reso- antennas for an RFID reader,” in Proc. IEEE MTT-S Latin Amer. Mi-
nances,” IET Microw. Antennas Propag., vol. 16, no. 8, pp. 519–525, crow. Conf. (LAMC), Cali, Colombia, May 2021, pp. 1–3, doi: 10.1109/
May 2022, doi: 10.1049/mia2.12262. LAMC50424.2021.9602736.
[20] L. C. M. M. Fontoura, H. W. de-Castro-Lins, A. S. Bertuleza, A. G. [35] M. Guerin et al., “Theory and original design of resistive-induc-
D’assunção, and A. Gomes-Neto, “Synthesis of multiband frequen- tive network high-pass negative group delay integrated circuit in
cy selective surfaces using machine learning with the decision tree 130-nm CMOS technology,” IEEE Access, vol. 10, pp. 27,147–27,161,
algorithm,” IEEE Access, vol. 9, pp. 85,785–85,794, Jun. 2021, doi: Mar. 2022, doi: 10.1109/ACCESS.2022.3157381.
10.1109/ACCESS.2021.3086777. [36] B. Ravelo et al., “Original application of stop-band negative group
[21] T. S. Bezerra, R. V. Lira, A. L. Campos, A. Gomes-Neto, and J. P. delay microwave passive circuit for two-step stair phase shifter de-
da-Silva, “Application of electromagnetic bandgap in frequency signing,” IEEE Access, vol. 10, pp. 1493–1508, Jan. 2022, doi: 10.1109/
selective surfaces for suppression of higher‐order modes,” Microw. ACCESS.2021.3138371.
Opt. Technol. Lett., vol. 63, no. 2, pp. 538–543, Feb. 2021, doi: 10.1002/ [37] R. Vauché et al., “Bandpass NGD time- domain experimental test
mop.32614. of double-Li microstrip circuit,” IEEE Des. Test, vol. 39, no. 2, pp.
[22] A. Gomes-Neto, J. Costa e Silva, I. B. Grécia-Coutinho, S. S. Cami- 121–128, Apr. 2022, doi: 10.1109/MDAT.2021.3103457.
lo-Filho, D. Araújo-Santos, and B. L. Cavalcanti-de-Albuquerque, [38] X. Zhou et al., “Analytical design of dual-band negative group
“A defected ground structure based on Matryoshka geometry,” J. delay circuit with multi-coupled lines,” IEEE Access, vol. 8, pp.
Microw. Optoelectron. Electromagn. Appl., vol. 21, no. 2, pp. 284–293, 72,749–72,756, Apr. 2020, doi: 10.1109/ACCESS.2020.2988096.
Jun. 2022, doi: 10.1590/2179-10742022v21i2256115. [39] J. R. Gonçalves, G. S. Rosa, and F. L. Teixeira, “Perturbation so-
[23] G. M. B. Silva and L. C. Kretly, “Electromagnetic shielding of a lution for anisotropic circular waveguides loaded with eccentric
Bluetooth antenna for electric vehicles applying metamaterial rods,” IEEE Microw. Wireless Compon. Lett., vol. 32, no. 8, pp. 935–
structures,” in Proc. IEEE Int. Conf. Microw. Antennas Commun. Elec- 938, Aug. 2022, doi: 10.1109/LMWC.2022.3163844.
tron. Syst. (COMCAS), Tel Aviv, Israel, Nov. 2021, pp. 403–407, doi: [40] G. S. Rosa, “A robust method for solving the modal fields in radi-
10.1109/COMCAS52219.2021.9629035. ally unbounded cylindrical waveguides with two layers under ex-
[24] J. V. de Almeida and R. S. Feitoza, “Metamaterial-enhanced treme conductive conditions,” IEEE Trans. Antennas Propag., vol. 70,
magnetic coupling: An inductive wireless power transmission no. 7, pp. 5841–5848, Jul. 2022, doi: 10.1109/TAP.2022.3161322.

54 May 2023
[41] J. R. Gonçalves, G. S. Rosa, and F. L. Teixeira, “Perturbative [56] J. J. Moreno Rubio, V. Camarchia, M. Pirola, and R. Quaglia, “De-
analysis of anisotropic coaxial waveguides with small eccentrici- sign of an 87% fractional bandwidth Doherty power amplifier sup-
ties via conformal transformation optics,” IEEE Trans. Microw. ported by a simplified bandwidth estimation method,” IEEE Trans.
Theory Techn., vol. 69, no. 9, pp. 3958–3966, Sep. 2021, doi: 10.1109/ Microw. Theory Techn., vol. 66, no. 3, pp. 1319–1327, Mar. 2018, doi:
TMTT.2021.3091696. 10.1109/TMTT.2017.2767586.
[42] L. Saavedra, G. S. Rosa, and J. R. Bergmann, “A combined [57] F. Vega, F. Albarracin-Vargas, C. Kasmi, and F. Alyafei, “Pass-
mode-matching technique and born approximation method to band tunable frequency selective surface for a pulsed radiator,”
model well-logging sensors in non-axisymmetric boreholes,” in Proc. IEEE Int. Symp. Antennas Propag. North Amer. Radio Sci.
IEEE Access, vol. 9, pp. 84,364–84,374, Jun. 2021, doi: 10.1109/AC- Meeting, Montreal, QC, Canada, Jul. 2020, pp. 275–276, doi: 10.1109/
CESS.2021.3086769. IEEECONF35879.2020.9330440.
[43] A. L. dos-Santos-Lima, G. S. Rosa, and J. R. Bergmann, “A [58] J. D. Baena, J. P. del Risco, and A. C. Escobar, “Broadband uniaxial
mode-matching solution for the study of cylindrical waveguide dielectric-magnetic metamaterial with giant anisotropy factor,”
bifurcation via closed-form coupling integrals,” AEU – Int. J. Elec- in Proc. Int. Cong. Artif. Mater. Novel Wave Phenomena (Metamater.),
tron. Commun., vol. 118, May 2020, Art. no. 153135, doi: 10.1016/j. New York, NY, USA, Sep. 2020, pp. 367–369, doi: 10.1109/Metamate-
aeue.2020.153135. rials49557.2020.9284987.
[44] D. Monasterio, N. Castro, J. Pizarro, F. Pizarro, and F. P. Mena, “A [59] F. Vega-Stavro and F. Albarracín-Vargas, “Variable impedance
mode-suppressing metasurface for large-width MMICs suitable for feed structure for impulse radiating antenna,” in Proc. Int. Conf.
tightly packaged millimeter and submillimeter heterodyne receiv- Electromagn. Adv. Appl. (ICEAA), Granada, Spain, Sep. 2019, pp.
ers,” IEEE Trans. THz Sci. Technol., vol. 11, no. 6, pp. 712–715, Nov. 1381–1381, doi: 10.1109/ICEAA.2019.8879411.
2021, doi: 10.1109/TTHZ.2021.3105580. [60] A. Navarro, L. Vargas, D. Guevara, D. Parada, C. Amu, and C. G.
[45] D. Monasterio, C. Jarufe, D. Gallardo, N. Reyes, F. P. Mena, and L. Rego, “Propagation models trials for TV white spaces in Colombian
Bronfman, “A compact sideband separating downconverter with rain forest,” in Proc. Eur. Conf. Antennas Propag. (EuCAP), Madrid,
excellent return loss and good conversion gain for the W band,” Spain, Mar. 2022, pp. 1–5, doi: 10.23919/EuCAP53622.2022.9769611.
IEEE Trans. THz Sci. Technol., vol. 9, no. 6, pp. 572–580, Nov. 2019, doi: [61] F. F. Jurado-Lasso, K. Clarke, A. Navarro-Cadavid, and A. Nir-
10.1109/TTHZ.2019.2937955. malathas, “Energy-aware routing for software-defined multihop
[46] K. Salazar and G. Staub, “Remote sensing based analysis of chang- wireless sensor networks,” IEEE Sensors J., vol. 21, no. 8, pp. 10,174–
es in water quality - Case study at Quintero Bay (Chile),” in Proc. 10,182, Apr. 2021, doi: 10.1109/JSEN.2021.3059789.
IEEE Int. Geosci. Remote Sens. Symp. (IGARSS), Brussels, Belgium, [62] M. Ruphuy and C. Saavedra, “Long-slot traveling-wave antenna
Jul. 2021, pp. 7627–7630, doi: 10.1109/IGARSS47720.2021.9554565. exhibiting low squint-angle variation over frequency,” IEEE Trans.
[47] K. L. Smith et al., “BAT AGN spectroscopic survey-XV: The high Antennas Propag., vol. 70, no. 9, pp. 7878–7884, Sep. 2022, doi: 10.1109/
frequency radio cores of ultra-hard X-ray selected AGN,” Monthly TAP.2022.3168346.
Notices Roy. Astronomical Soc., vol. 492, no. 3, pp. 4216–4234, Jan. [63] R. Coto-Salazar and R. Rimolo-Donadio, “Design of a 4G/LTE
2020, doi: 10.1093/mnras/stz3608. multiband antenna considering curvature effects,” in Proc. IEEE
[48] T. Gutierrez, A. Bergel, C. E. Gonzalez, C. J. Rojas, and M. A. Diaz, MTT-S Latin Amer. Microw. Conf. (LAMC), Arequipa, Peru, Dec.
“Toward applying fuzz testing techniques on the SUCHAI nano- 2018, pp. 1–3, doi: 10.1109/LAMC.2018.8699073.
satellites flight software,” in Proc. IEEE Congreso Bienal de Argen- [64] L. E. Carrera-Retana, M. Marin-Sanchez, C. Schuster, and R. Rimo-
tina (ARGENCON), Resistencia, Argentina, Dec. 2020, pp. 1–4, doi: lo-Donadio, “Improving accuracy after stability enforcement in the
10.1109/ARGENCON49523.2020.9505388. Loewner matrix framework,” IEEE Trans. Microw. Theory Techn., vol.
[49] D. Gallardo, D. Monasterio, R. Finger, F. P. Mena, and L. Bronfman, 70, no. 2, pp. 1037–1047, Feb. 2022, doi: 10.1109/TMTT.2021.3136234.
“A compact metamaterial-based antenna for multiband phased ar- [65] L. E. Carrera-Retana, R. Rimolo-Donadio, and C. Schuster, “Ef-
ray applications,” IEEE Trans. Antennas Propag., vol. 69, no. 12, pp. ficient construction of interconnect passive macromodels through
8872–8877, Dec. 2021, doi: 10.1109/TAP.2021.3090861. segmented analysis,” in Proc. IEEE Conf. Electr. Perf. Electron. Pack-
[50] C. Jarufe et al., “Optimized corrugated tapered slot antenna for ag. Syst. (EPEPS), San Jose, CA, USA, Oct. 2018, pp. 265–267, doi:
mm-wave applications,” IEEE Trans. Antennas Propag., vol. 66, no. 3, 10.1109/EPEPS.2018.8534236.
pp. 1227–1235, Mar. 2018, doi: 10.1109/TAP.2018.2797534. [66] J. Aparicio-Morales, G. Gamboa-González, R. Moraga-Mora, J. C.
[51] M. Cuevas, F. Pizarro, A. Leiva, G. Hermosilla, and D. Yunge, Rojas-Fernández, and R. Rimolo-Donadio, “Evaluation of a seg-
“Parametric study of a fully 3D-printed dielectric resonator anten- mented approach to model PCB-based links of a PCIe bus,” in Proc.
na loaded with a metallic cap,” IEEE Access, vol. 9, pp. 73,771–73,779, IEEE MTT-S Latin Amer. Microw. Conf. (LAMC), Arequipa, Peru,
May 2021, doi: 10.1109/ACCESS.2021.3081068. Dec. 2018, pp. 1–3, doi: 10.1109/LAMC.2018.8699051.
[52] F. Pizarro, R. Salazar, E. Rajo-Iglesias, M. Rodríguez, S. Finger- [67] R. Solano-Piedra et al., “Microwave heating scenarios using a
huth, and G. Hermosilla, “Parametric study of 3D additive printing full wave code on SCR-1 Stellarator,” in Proc. Latin Amer. Workshop
parameters using conductive filaments on microwave topologies,” Plasma Phys. (LAWPP), Mexico City, Mexico, Sep. 2017, pp. 79–82,
IEEE Access, vol. 7, pp. 106,814–106,823, Aug. 2019, doi: 10.1109/AC- doi: 10.1109/LAWPP.2017.8692192.
CESS.2019.2932912. [68] K. L. Klein, P. Zucca, and C. S. Matamoros, “Radio tools for the
[53] J. J. Moreno-Rubio, R. Quaglia, A. Piacibello, V. Camarchia, P. J. forecasting of coronal mass ejections and solar energetic particles,”
Tasker, and S. Cripps, “3–20-GHz GaN MMIC power amplifier de- in Proc. General Assem. Scientific Symp. Int. Union Radio Sci. (URSI
sign through a COUT compensation strategy,” IEEE Microw. Wire- GASS), Montreal, QC, Canada, Aug. 2017, pp. 1–2.
less Compon. Lett., vol. 31, no. 5, pp. 469–472, May 2021, doi: 10.1109/ [69] J. R. Santamaría-Sandoval and E. Chanto-Sánchcz, “Application of
LMWC.2021.3066282. the EMONA TIMS platform for the Telecomunications Engineering
[54] B. Bernardo, H. Fernández, V. M. R. Peñarrocha, J. Reig, and L. career at UNED Costa Rica,” in Proc. Technol. Appl. Electron. Teach-
Rubio, “Experimental Rician K-factor characterization in a labora- ing Conf. (TAEE), Porto, Portugal, Jul. 2020, pp. 1–6, doi: 10.1109/
tory environment at the 25 to 40 GHz frequency band,” in Proc. TAEE46915.2020.9163778.
IEEE Int. Symp. Antennas Propag. North Amer. Radio Sci. Meet- [70] R. Haro-Báez, D. Cisneros-Bustillos, and D. S. Benítez, “T-junc-
ing, Montreal, QC, Canada, Jul. 2020, pp. 1121–1122, doi: 10.1109/ tion power divider design based on corporate feeding network in
IEEECONF35879.2020.9329738. square waveguide technology,” in Proc. SBMO/IEEE MTT-S Int.
[55] J. J. Moreno-Rubio, R. Quaglia, A. Baddeley, P. J. Tasker, and S. C. Microw. Optoelectron. Conf. (IMOC), Fortaleza, Brazil, Oct. 2021, pp.
Cripps, “Design of a broadband power amplifier based on power and 1–3, doi: 10.1109/IMOC53012.2021.9624850.
efficiency contour estimation,” IEEE Microw. Wireless Compon. Lett., [71] R. Haro-Báez, C. Jacome-Peñaherrera, and D. S. Benítez, “Opti-
vol. 30, no. 8, pp. 772–774, Aug. 2020, doi: 10.1109/LMWC.2020.3005833. mization of branch-line hybrid couplers for Ku-band applications

May 2023 55
in SIW technology,” in Proc. IEEE MTT-S Latin Amer. Microw. lator circuit using conventional X-parameters,” IEEE Microw. Wire-
Conf. (LAMC), Cali, Colombia, May 2021, pp. 1–4, doi: 10.1109/ less Compon. Lett., vol. 30, no. 7, pp. 685–688, Jul. 2020, doi: 10.1109/
LAMC50424.2021.9602570. LMWC.2020.2996588.
[72] J. E. Rayas-Sánchez and G. E. Ponchak, “The first IEEE MTT-S [86] E. A. Hernández-Dominguez, J. R. Loo-Yau, A. Sánchez-Ramos,
Latin America microwave conference [Conference Report],” IEEE A. Villagran-Gutierrez, P. Moreno, and J. A. Reynoso-Hernández,
Microw. Mag., vol. 18, no. 6, pp. 128–131, Sep./Oct. 2017, doi: 10.1109/ “Designing a frequency multiplier based on conventional X-pa-
MMM.2017.2712067. rameters,” IEEE Microw. Wireless Compon. Lett., vol. 33, no. 1, pp.
[73] J. A. Reynoso-Hernández et al., “Advances in microwave large- 63–65, Jan. 2023, doi: 10.1109/LMWC.2022.3180975.
signal metrology: From vector-receiver load-pull to vector signal [87] J. Valdés-Rayón, R. S. Murphy-Arteaga, and R. Torres-Torres, “De-
network analyzer and time-domain load-pull implementations,” termination of the contribution of the ground-shield losses to the
Electronics, vol. 11, no. 7, Mar. 2022, Art. no. e1114, doi: 10.3390/elec- microwave performance of on-chip coplanar waveguides,” IEEE
tronics11071114. Trans. Microw. Theory Techn., vol. 69, no. 3, pp. 1594–1601, Mar. 2021,
[74] M. Molina-Ceseña, J. A. Reynoso-Hernández, M. A. Pulido- doi: 10.1109/TMTT.2021.3053548.
Gaytán, J. R. Loo-Yau, and M. C. Maya-Sánchez, “Experimental in- [88] E. Moctezuma-Pascual and R. Torres-Torres, “CAD-oriented
vestigation of resistive–reactive Class-J mode using time-domain equivalent circuit modeling of a two-port ground-shielded MIM
low-frequency active harmonic load-pull measurements,” IEEE capacitor,” IEEE Trans. Electron Devices, vol. 68, no. 2, pp. 923–927,
Microw. Wireless Compon. Lett., vol. 32, no. 1, pp. 96–99, Jan. 2022, Feb. 2021, doi: 10.1109/TED.2020.3041429.
doi: 10.1109/LMWC.2021.3113289. [89] G. Méndez-Jerónimo and R. Torres-Torres, “Identifying the loss
[75] T. Niubó-Alemán, C. Liang, Y. Hahn, J. A. Reynoso-Hernández, J.- components contributing to the series resistance of shielded on-
P. Teyssier, and P. Roblin, “Time-domain characterization and lin- chip coplanar waveguide interconnects,” IEEE Trans. Microw.
earization of a dual-input power amplifier using a vector network Theory Techn., vol. 67, no. 6, pp. 2208–2215, Jun. 2019, doi: 10.1109/
analyzer as the receiver,” IEEE Trans. Microw. Theory Techn., vol. 69, TMTT.2019.2908849.
no. 4, pp. 2386–2398, Apr. 2021, doi: 10.1109/TMTT.2021.3055812. [90] Y. Rodríguez-Velásquez, R. S. Murphy-Arteaga, and R. Torres-
[76] J. A. Reynoso-Hernández, M. A. Pulido-Gaytán, R. Cuesta, J. R. Torres, “Modeling microwave connectors used as signal launch-
Loo-Yau, and M. C. Maya-Sánchez, “Transmission line impedance ers for microstrip lines of different widths,” IEEE Microw. Wireless
characterization using an uncalibrated vector network analyzer,” Compon. Lett., vol. 32, no. 11, pp. 1295–1298, Nov. 2022, doi: 10.1109/
IEEE Microw. Wireless Compon. Lett., vol. 30, no. 5, pp. 528–530, May LMWC.2022.3179927.
2020, doi: 10.1109/LMWC.2020.2984377. [91] M. A. Tlaxcalteco-Matus, D. A. Chaparro-Ortiz, E. Barajas, and
[77] D. Covarrubias-Martínez, H. Lobato-Morales, J. M. Ramírez- R. Torres-Torres, “Temperature-dependent characterization and
Cortés, and G. A. Álvarez-Botero, “Classification of plastic RLGC model implementation for a printed circuit board intercon-
materials using machine-learning algorithms and microwave reso- nect,” IEEE Trans. Microw. Theory Techn., vol. 70, no. 7, pp. 3464–
nant sensor,” J. Electromagn. Waves Appl., vol. 36, no. 12, Mar. 2022, 3471, Jul. 2022, doi: 10.1109/TMTT.2022.3168701.
doi: 10.1080/09205071.2022.2043192. [92] D. A. Chaparro-Ortiz and R. Torres-Torres, “A stripline width-
[78] G. Acevedo-Osorio, E. Reyes-Vera, and H. Lobato-Morales, “Dual- array method for determining a causal model for the complex
band microstrip resonant sensor for dielectric measurement of liq- permittivity,” IEEE Microw. Wireless Compon. Lett., vol. 31, no. 3, pp.
uid materials,” IEEE Sensors J., vol. 20, no. 22, pp. 13,371–13,378, Nov. 328–331, Mar. 2021, doi: 10.1109/LMWC.2020.3046221.
2020, doi: 10.1109/JSEN.2020.3005185. [93] E. Y. Terán-Bahena, S. C. Sejas-García, and R. Torres-Torres, “Per-
[79] H. Lobato-Morales, J. H. Choi, H. Lee, and J. L. Medina-Monroy, mittivity determination considering the metal surface roughness
“Compact dielectric-permittivity sensors of liquid samples based effect on the microstrip line series inductance and shunt capaci-
on substrate-integrated-waveguide with negative-order-reso- tance,” IEEE Trans. Microw. Theory Techn., vol. 68, no. 6, pp. 2428–
nance,” IEEE Sensors J., vol. 19, no. 19, pp. 8694–8699, Oct. 2019, doi: 2434, Jun. 2020, doi: 10.1109/TMTT.2020.2979964.
10.1109/JSEN.2019.2922137. [94] K. N. Olan-Nuñez, R. S. Murphy-Arteaga, and E. Colín-Beltrán,
[80] E. Moctezuma-Pascual, G. Méndez-Jerónimo, Z. O. Rodríguez- “Miniature patch and slot microstrip arrays for IoT and ISM band
Moré, H. Lobato-Morales, and R. Torres-Torres, “Microwave char- applications,” IEEE Access, vol. 8, pp. 102,846–102,854, Jun. 2020, doi:
acterization of liquid samples through the systematic parameter 10.1109/ACCESS.2020.2998739.
extraction of the circuit equivalence for the Debye model,” IEEE [95] L. A. Rodríguez-Meneses, C. Gutiérrez-Martínez, L. Tecuapela-
Microw. Wireless Compon. Lett., vol. 30, no. 1, pp. 116–119, Jan. 2020, Quechol, and R. Murphy, “Compact L-band filter in a k-band ra-
doi: 10.1109/LMWC.2019.2952977. diometer for atmospheric attenuation over line-of-sight links,” in
[81] D. Ochoa-Armas et al., “A nonlinear empirical I/V model for GaAs Proc. IEEE MTT-S Latin Amer. Microw. Conf. (LAMC), Arequipa,
and GaN FETs suitable to design power amplifiers,” Int. J. RF Mi- Peru, Dec. 2018, pp. 1–3, doi: 10.1109/LAMC.2018.8699062.
crow. Comput.-Aided Eng., vol. 31, no. 3, Mar. 2021, Art. no. e22552, [96] R. S. Murphy and R. Torres, “High frequency device characterisa-
doi: 10.1002/mmce.22552. tion laboratory at the ‘Instituto Nacional de Astrofísica, Óptica y
[82] I. Lavandera-Hernández, J. R. Loo-Yau, J. A. Reynoso-Hernández, Electrónica (INAOE)’, Tonantzintla, Puebla, México,” in Proc. Eur.
D. Ochoa-Armas, and P. Moreno, “Frequency-dependent design Microw. Conf. (EuMC), Madrid, Spain, Sep. 2018, pp. 592–595.
spaces for continuous mode class-J*/B/J PA,” IEEE Trans. Circuits [97] J. E. Rayas-Sánchez et al., “Industry-oriented research projects on
Syst. I, Reg. Papers, vol. 70, no. 1, pp. 203–213, Jan. 2023, doi: 10.1109/ computer-aided design of high-frequency circuits and systems at
TCSI.2022.3208826. ITESO Mexico,” in Proc. Eur. Microw. Conf. (EuMC), Madrid, Spain,
[83] L. M. Aguilar-Lobo, J. R. Loo-Yau, J. E. Rayas-Sánchez, S. Ortega- Sep. 2018, pp. 588–591.
Cisneros, P. Moreno, and J. A. Reynoso-Hernández, “Application [98] J. E. Rayas-Sánchez and Z. Brito-Brito, “Applications of Broyden-
of the NARX neural network as a digital predistortion technique based input space mapping to modeling and design optimiza-
for linearizing microwave power amplifiers,” Microw. Opt. Technol. tion in high-tech companies in Mexico,” in Proc. Eur. Microw.
Lett., vol. 57, no. 9, pp. 2137–2142, Sep. 2015, doi: 10.1002/mop.29281. Conf. (EuMC), Paris, France, Oct. 2019, pp. 272–275, doi: 10.23919/
[84] C. Perez-Wences, J. R. Loo-Yau, I. Lavandera-Hernandez, P. More- EuMC.2019.8910799.
no, J. Apolinar Reynoso-Hernandez, and L. Aguilar-Lobo, “Com- [99] J. E. Rayas-Sánchez, S. Koziel, and J. W. Bandler, “Advanced RF
pact microstrip lowpass-bandpass diplexer using radial stubs,” and microwave design optimization: A journey and a vision of fu-
Microw. Opt. Technol. Lett., vol. 61, no. 2, pp. 485–489, Feb. 2019, doi: ture trends,” IEEE J. Microw., vol. 1, no. 1, pp. 481–493, Jan. 2021, doi:
10.1002/mop.31582. 10.1109/JMW.2020.3034263.
[85] J. L. Urbina-Martínez, J. R. Loo-Yau, J. A. Reynoso-Hernández, [100] A. Viveros-Wacher, R. Baca-Baylón, F. E. Rangel-Patiño, J. L. Sil-
and P. Moreno, “Design and simulation of an RF feedback oscil- va-Cortés, E. A. Vega-Ochoa, and J. E. Rayas-Sánchez, “Fast jitter

56 May 2023
tolerance testing for high-speed serial links in post-silicon valida- [114] A. E. Moreno-Mojica and J. E. Rayas-Sánchez, “Frequency- and
tion,” IEEE Trans. Electromagn. Compat., vol. 64, no. 2, pp. 516–523, time-domain yield optimization of a power delivery network sub-
Apr. 2022, doi: 10.1109/TEMC.2021.3122348. ject to large decoupling capacitor tolerances,” IEEE Trans. Comput.-
[101] A. E. Moreno-Mojica, J. E. Rayas-Sánchez, and F. J. Leal-Romo, Aided Design Integr. Circuits Syst., vol. 41, no. 12, pp. 5610–5620, Dec.
“Optimizing a buck voltage regulator and the number of decou- 2022, doi: 10.1109/TCAD.2022.3163673.
pling capacitors for a PDN application,” in Proc. IEEE MTT-S Latin [115] F. J. Leal-Romo, J. E. Rayas-Sánchez, and J. L. Chávez-Hurtado,
Amer. Microw. Conf. (LAMC), Cali, Colombia, May 2021, pp. 1–4, doi: “Surrogate-based analysis and design optimization of power de-
10.1109/LAMC50424.2021.9601574. livery networks,” IEEE Trans. Electromagn. Compat., vol. 62, no. 6,
[102] F. J. Leal-Romo, J. L. Chávez-Hurtado, and J. E. Rayas-Sánchez, pp. 2528–2537, Dec. 2020, doi: 10.1109/TEMC.2020.2973946.
“Selecting surrogate-based modeling techniques for power integri- [116] H. Martínez, S. Alvarez, M. Yarlequé, and R. Cerna, “Experimental
ty analysis,” in Proc. IEEE MTT-S Latin Amer. Microw. Conf. (LAMC), evaluation of SAR imaging using FMCW radar at C-band for small
Arequipa, Peru, Dec. 2018, pp. 1–3, doi: 10.1109/LAMC.2018.8699021. UAVs,” in Proc. Int. Conf. Electromagn. Adv. Appl. (ICEAA), Granada,
[103] J. E. Rayas-Sánchez, J. L. Chávez-Hurtado, and Z. Brito-Brito, Spain, Sep. 2019, pp. 1327–1327, doi: 10.1109/ICEAA.2019.8879024.
“Optimization of full-wave EM models by low-order low-dimen- [117] M. A. Yarlequé Medina, H. J. Martínez Odiaga, and S. A. Navar-
sion polynomial surrogate functionals,” Int. J. Numer. Model., Elec- ro, “Through-wall movement detection based on S-band FMCW
tron. Netw., Devices Fields, vol. 30, nos. 3–4, May/Aug. 2017, Art. no. radar: An experimental assessment,” in Proc. IEEE MTT-S Latin
e2094, doi: 10.1002/jnm.2094. Amer. Microw. Conf. (LAMC), Arequipa, Peru, Dec. 2018, pp. 1–3, doi:
[104] A. Viveros-Wacher, J. E. Rayas-Sánchez, and Z. Brito-Brito, 10.1109/LAMC.2018.8699008.
“Analog gross fault identification in RF circuits using neural mod- [118] N. Santos-Valdivia, P. Castillo-Araníbar, A. G. Lampérez, and D.
els and constrained parameter extraction,” IEEE Trans. Microw. Segovia-Vargas, “Compact dual and wide band monopole-like an-
Theory Techn., vol. 67, no. 6, pp. 2143–2150, Jun. 2019, doi: 10.1109/ tenna based on SRR for WLAN applications,” in Proc. Eur. Microw.
TMTT.2019.2914106. Conf. (EuMC), Utrecht, The Netherlands, Jan. 2021, pp. 428–431, doi:
[105] J. E. Rayas-Sánchez and J. W. Bandler, “Basic space mapping: A 10.23919/EuMC48046.2021.9338066.
retrospective and its application to design optimization of nonlinear [119] M. C. Huayna, E. San-Roman-Castillo, A. G. Lamperez, and D.
RF and microwave circuits,” in Proc. Eur. Microw. Conf. (EuMC), Mi- Segovia-Vargas, “Design and implementation of a low-cost switch
lan, Italy, Sep. 2022, pp. 12–15, doi: 10.23919/EuMC54642.2022.9991871. matrix using ultra wide band frequencies for breast cancer detec-
[106] J. E. Rayas-Sánchez and J. W. Bandler, “System‐level measure- tion,” in Proc. Int. Workshop Antenna Technol. (iWAT), Dublin, Ire-
ment‐based design optimization by space mapping technology,” in land, May 2022, pp. 93–96, doi: 10.1109/iWAT54881.2022.9810900.
Proc. IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, USA, Jun. [120] P. Del Carpio-Concha, A. Nuñez-Flores, R. Acosta-Araníbar, and
2022, pp. 118–120, doi: 10.1109/IMS37962.2022.9865412. P. Castillo-Araníbar, “Microwave sensor based on split ring resona-
[107] F. E. Rangel-Patiño, J. E. Rayas-Sánchez, A. Viveros-Wacher, E. tors for dielectric characterization of density and viscosity of milk,”
A. Vega-Ochoa, and N. Hakim, “High-speed links receiver opti- in Proc. IEEE MTT-S Latin Amer. Microw. Conf. (LAMC), Cali, Co-
mization in post-silicon validation exploiting Broyden-based input lombia, May 2021, pp. 1–4, doi: 10.1109/LAMC50424.2021.9601580.
space mapping,” in Proc. IEEE MTT-S Int. Conf. Numer. EM Mu- [121] M. A. Fraquet and G. Rafael-Valdivia, “Nonlinear current source
tiphys. Model. Opt. (NEMO), Reykjavik, Iceland, Aug. 2018, pp. 1–3, model for a GaAs transistor implemented in Verilog-A using
doi: 10.1109/NEMO.2018.8503099. pulsed measurements,” in Proc. IEEE MTT-S Latin Amer. Microw.
[108] J. C. Cervantes-González, J. E. Rayas-Sánchez, C. A. López, J. R. Conf. (LAMC), Cali, Colombia, May 2021, pp. 1–3, doi: 10.1109/
Camacho-Pérez, Z. Brito-Brito, and J. L. Chávez-Hurtado, “Space LAMC50424.2021.9601865.
mapping optimization of handset antennas considering EM ef- [122] G. Rafael-Valdivia and L. Aruquipa-Callata, “Design of a Doherty
fects of mobile phone components and human body,” Int. J. RF Mi- power amplifier with GaN technology in the sub-6 GHz band for
crow. Comput.-Aided Eng., vol. 26, no. 2, pp. 121–128, Feb. 2016, doi: 5G applications with harmonic suppression,” in Proc. IEEE MTT-S
10.1002/mmce.20945. Latin Amer. Microw. Conf. (LAMC), Cali, Colombia, May 2021, pp.
[109] J. E. Rayas-Sánchez, F. E. Rangel-Patiño, B. Mercado-Casillas, 1–4, doi: 10.1109/LAMC50424.2021.9602547.
F. Leal-Romo, and J. L. Chávez-Hurtado, “Machine learning tech- [123] E. Fernandez-Aranzamendi, E. San-Román, P. Castillo-Araníbar,
niques and space mapping approaches to enhance signal and pow- L. Ventura, V. González-Posadas, and D. Segovia-Vargas, “Breast
er integrity in high-speed links and power delivery networks,” tumor classification by age and size based on analysis of dielectric
in Proc. IEEE Latin Amer. Symp. Circuits Syst. Dig. (LASCAS), San properties performed on in vivo and ex vivo measurements,” in
Jose, Costa Rica, Feb. 2020, pp. 1–4, doi: 10.1109/LASCAS45839. Proc. Int. Workshop Antenna Technol. (iWAT), Dublin, Ireland, May
2020.9068994. 2022, pp. 192–195, doi: 10.1109/iWAT54881.2022.9811011.
[110] R. J. Ruiz-Urbina, F. E. Rangel-Patiño, J. E. Rayas-Sánchez, E. [124] G. Rafael-Valdivia and J. E. Rayas-Sánchez, “The second IEEE
A. Vega-Ochoa, and O. Longoria-Gándara, “Transmitter and re- MTT-S Latin America microwave conference [Conference Report],”
ceiver equalizers optimization for PCI Express Gen6.0 based on IEEE Microw. Mag., vol. 21, no. 1, pp. 114–118, Jan. 2020, doi: 10.1109/
PAM4,” in Proc. IEEE MTT-S Latin Amer. Microw. Conf. (LAMC), MMM.2019.2945217.
Cali, Colombia, May 2021, pp. 1–4, doi: 10.1109/LAMC50424.2021. [125] D. E. Mera, R. A. R. Solís, L. Reyes, R. Armstrong, W. J. Hernan-
9601893. dez, and A. L. Guzmán-Morales, “A power and performance study
[111] F. E. Rangel-Patiño et al., “A holistic formulation for system mar- of compact L-band total power radiometers for UAV remote sens-
gining and jitter tolerance optimization in industrial post-silicon ing based in the processing on ZYNQ and ARM architectures,”
validation,” IEEE Trans. Emerg. Topics Comput., vol. 8, no. 2, pp. 453– IEEE J. Sel. Topics Appl. Earth Observ. Remote Sens., vol. 15, pp. 1103–
463, Apr./Jun. 2020, doi: 10.1109/TETC.2017.2757937. 1113, Jan. 2022, doi: 10.1109/JSTARS.2021.3131962.
[112] F. E. Rangel-Patiño, J. E. Rayas-Sánchez, A. Viveros-Wacher, J. L. [126] D. E. Mera Romo, R. A. Rodríguez Solís, and L. R. Sostre, “Im-
Chávez-Hurtado, E. A. Vega-Ochoa, and N. Hakim, “Post-silicon pact of high level optimizations on power consumption and per-
receiver equalization metamodeling by artificial neural networks,” formance of a small L-band total power radiometer,” in Proc. IEEE
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 38, no. 4, Radio Wireless Symp. (RWS), San Antonio, TX, USA, Jan. 2020, pp.
pp. 733–740, Apr. 2019, doi: 10.1109/TCAD.2018.2834403. 83–86, doi: 10.1109/RWS45077.2020.9050036.
[113] F. E. Rangel-Patiño, J. L. Chávez-Hurtado, A. Viveros-Wacher, J. [127] F. Minotta-Zapata and R. A. Rodríguez-Solís, “A clustering
E. Rayas-Sánchez, and N. Hakim, “System margining surrogate- method for rain-cell detection in weather nowcasting approaches,”
based optimization in post-silicon validation,” IEEE Trans. Microw. in Proc. IEEE Int. Geosci. Remote Sens. Symp., Yokohama, Japan, Jul.
Theory Techn., vol. 65, no. 9, pp. 3109–3115, Sep. 2017, doi: 10.1109/ 2019, pp. 3424–3427, doi: 10.1109/IGARSS.2019.8898920.
TMTT.2017.2701368.

May 2023 57
RF and Microwave
Technology
Development at the
Naval Information
Warfare Center
Jia-Chi Samuel Chieh , Everly Yeo, Raif Farkouh,
Randall Olsen, and Alex Phipps

T
he Naval Information Warfare Center Command Overview
Pacific (NIWC-PAC) is located in San Diego, NIWC-PAC’s mission is multifaceted and spans
California, and is the only Department of research and development, engineering and prototyp-
Defense (DoD) laboratory that is headquar- ing, test and evaluation (T&E), as well as DoD acquisi-
tered in a major fleet concentration area. tion and installation as it relates to command, control,

©SHUTTERSTOCK.COM/CHRISTOPHER PENLER
Jia-Chi Samuel Chieh (jiachi.s.chieh.civ@us.navy.mil), Everly Yeo (everly.yeo.civ@us.navy.mil), Raif Farkouh
(raif.farkouh.civ@us.navy.mil), Randall Olsen (randall.olsen.civ@us.navy.mil), and Alex Phipps (alex.phipps.civ@us.navy.mil)
are with Naval Information Warfare Center Pacific, San Diego, CA 92152-5001 USA.
Digital Object Identifier 10.1109/MMM.2023.3240543
Date of current version: 6 April 2023

58 U.S. Government work not protected by U.S. copyright. May 2023


communications, computers, intelligence, surveillance,
These tunable notch filters can
and reconnaissance (ISR), as well as cyber and space.
NIWC-PAC employs over 5,000 civilians in its workforce, excise narrowband high-power
including over 2,500 scientists and engineers. NIWC- interferences precisely, which allows
PAC supports capabilities across the full acquisition
for frequency-selective limiting.
life cycle, including installation and support for naval
operations today, engineering, development, and T&E,
which supports the navy in construction for tomorrow, second level of protection is through the use of tunable
as well as science and technology (S&T) for future naval notch filters embedded into each channel filter. These
capabilities [1]. tunable notch filters can excise narrowband high-
In this article, we will give an overview of some power interferences precisely, which allows for fre-
of the RF and microwave S&T development at NIWC- quency-selective limiting. Since high levels of rejection
PAC, including development of RF filters and channel- are required, absorptive bandstop filters (ABSF) were
izers, RF power-combining modules, and phased array used to achieve high cancellation using low-order reso-
antennas using silicon beamforming chips. nators [5], [6], [7]. Figure 1 shows the proposed archi-
tecture concept. This type of architecture is especially
Filter and Frequency Selective Multiplexer suitable for interference mitigation from co-located
Development frequency-hopping radios. If the hopping scheme is
Wideband radios and receivers have become more known a priori, then the tunable notch filters could be
widespread as software-defined radios and multifunc- set accordingly to deconflict when cosite interference
tion RF becomes more ubiquitous. Wide bandwidths falls within the same subchannel.
allow for more operational agility, but often times In [4] we previously demonstrated the design and
are more susceptible to blocking from a strong inter- development of an X-band frequency-selective multi-
ferer [2]. In many of these wide bandwidth microwave plexer with embedded absorptive notch filters. Opera-
systems, the low noise amplifier (LNA) is the most tion of the channelizer was to cover from 6 to 13 GHz
sensitive component. High-power interference or jam- in the X band, with five contiguous channels. One of
mers can push the LNAs into compression, blocking the critical characteristics to the operation of the chan-
the receiver, and in some instances can damage the nelizer is the impedance of each channel. Specifically,
front end. In many instances LNAs with limiters are the input impedance of each channel filter should look
employed to protect the receiver; however, most solid- like an open circuit at frequencies above or below the
state limiters are not frequency-selective and therefore resonant frequency, and have matched impedance at
short the input even if a very narrowband high-power the resonant frequency. The prototype channelizer
signal is present. A more preferable solution is to was fabricated on 20-mil fused silica quartz substrate
develop frequency-selective limiters that can protect a
wideband RF front end. One recent example of this is
in [3], where a switched multiplexer is used. In [4] we
proposed a different topology utilizing a two-tiered 0 0
approach. The first level of protection is through the –5 –5
use of a frequency multiplexer or channelizer to parse –10
–10
S21 (dB)

S11 (dB)

the wide full bandwidth into smaller channels. The –15


–15
–20
–20
–25
–25 –30
–30 –35
5 6 7 8 9 10 11 12 13 14 15
CH1 CH2 CH3 CH4 CH5
Frequency (GHz)

CH1 Simulated CH2 Simulated


CH3 Simulated CH4 Simulated
CH5 Simulated Measured CH1
Measured CH2 Measured CH3
Measured CH4 Measured CH5
S11 Simulated Measured S11

Figure 2. Measured and simulated response of five-channel


Figure 1. Channelizer with embedded tunable notch filters. channelizer (fabricated prototype is shown as inset) [4].

May 2023 59
(sr = 3.8, i˛ = 0.00006). An inductive manifold couples used. The input signal is coupled through a bandpass
to each channel filter forming an upconverting ladder filter (BPF) to the output, while a portion of the input
network. A tubular-type filter is used to achieve the signal is coupled through a BSF to the output. The
specific behavior required by the channel filter. Each two portions are phased such that at the designed fre-
channel filter was designed for ~15% fractional band- quency the two paths are 180° out of phase, resulting
width across the center frequency. Figure 2 shows the in near perfect cancellation. Flip chip varactor diodes
measured performance of the channelizer across the (MAVR-000102-1441) are used to load the BPF and BSF
five channels, and also shows the fabricated prototype in order to make the two filters tunable; m/4 transmis-
in the inset. The average insertion loss is around 3 dB sion lines are used as chokes for dc biasing, and radial
for the entirety of the channelizer, and adjacent chan- stubs are used for bypass capacitors.
nel rejection is over 9.8 dB from channel center. Five separate ABSF filters are designed for each
ABSFs have the capability of achieving high rejec- of the five channels, each designed for around 4%
tion using low quality factor (Q) resonators [6], [7]. A fractional bandwidth around the center frequency
transmission line-realized two-path notch filter is and with the capability to tune across the full band-
width of each channel. Figure 3 shows the fabricated
prototype for the ABSF for channel 2. Measure-
ments are done using groud-signal-ground (GSG)
probe launches with a custom thru-reflect-line (TRL)
calibration kit to de-embed the effects of the probe
launch. Figure 4 shows the measured performance
of the ABSF, showing more than 35 dB of attenuation
from 6.6 to 7.5 GHz with a 3-dB bandwidth of around
170 MHz. The varactor diodes are swept from 0 to
10 V and are designed to be swept simultaneously with
Flip Chip Diodes
the same voltage. The filters were also designed on a
MAVR-000102-1441
20-mil fused silica quartz substrate. Figure 5 shows the
completed frequency selective limiter with embedded
absorptive tunable notch filters.
Other variations and topologies of tunable absorp-
tive BSFs were also explored and developed in [8] and
[9]. In [8], a tunable open stub L-resonator ABSF was
demonstrated, shown in Figures 6 and 7. The filter oper-
ates similarly to a standard open stub L-resonator BSF,
however with an additional external resistor that can
Figure 3. Fabricated prototype of absorptive tunable BSF absorb the reflected power. Varactor diodes are used
for channel 2 [4]. to introduce tunability. Figure 8 shows the measured

dc Pin + Bypass Cap


0 0

–10 –10
–20
S11 (dB)

S21 (dB)

–20
Band 3

–30 1
nd
–30
–40
Ban
d5 Ba
Band 2
–40 Ba
–50 nd
4
–50 –60
4 5 6 7 8 9 10
Frequency (GHz)

Off Bias 1 Bias 2


Bias 3 Bias 4 Bias 5 SMA
Bias 6 Bias 7 Bias 8
Figure 5. Prototype of X-band frequency selective
limiter using absorptive tunable notch filters embedded
Figure 4. Measurement result of ABSF for channel 2 [4]. multiplexers. Prototype is 2.7 inches by 2.7 inches [7].

60 May 2023
and simulated insertion loss
and reflection coefficient of
the tunable ABSF, and as can
– –
+ dc + dc be seen the BSF absorbs the
reflected power.
ZL1 In [9], a new quasi-lumped
element bridged-T ABSF was
pre s e nte d. It wa s si m i la r
Zodd, Zeven to Bode’s original design in
[11] and [12], that minimizes
the number of components,
λ /4 allows for more design flex-
R λ /4
ibility in regards to compo-
nent values, and still achieves
large attenuation while main-
dc + – dc +

ZL2 taining a small size. This de-
sign utilizes the bridged-T
network, which first found
Figure 6. Proposed fully tunable open stub reflectionless BSF [8]. utility in delay equalization
[12], [13] for telephony. In
this approach, two paths are
introduced between the input and the output ports
and the signals are imposed to cancel each other by
proper adjustment of the phase and amplitude. In
this way, extremely deep notches can be realized us-
ing low-order resonators. To accomplish phase can-
cellation, an impedance inverter is typically used. In
this work, the impedance inverter is a direct result
of the bridged-T topology. As can be seen in Figure 9,
the proposed notch filter is comprised of a series band-
pass resonator R1L1C1, a bandstop resonator R2L2C2,
and a high-pass filter comprised of two series capaci-
tors. The two resonators are designed to resonate at
the notch frequency. The high-pass section then acts
Figure 7. Fabricated fully tunable reflectionless BSF [8]. like a delay or a phase shift, which is adjusted for

0 0
–5
–10
–10
–15
S21 (dB)

–20
S11 (dB)

–20
–25 –30
–30 –40
–35
–40 –50
3.5 3.7 3.9 4.1 4.3 4.5 3.5 3.7 3.9 4.1 4.3 4.5
Frequency (GHz) Frequency (GHz)

0V 1V 2V 3V 4V 5V
6V 7V 8V 9V 10 V
0 V Simulated 1 V Simulated 2 V Simulated 3 V Simulated
4 V Simulated 5 V Simulated 6 V Simulated 7 V Simulated
8 V Simulated 9 V Simulated 10 V Simulated

Figure 8. Measured S21 and S11 with varactor diode biased from 0 to 10 V [8].

May 2023 61
In this approach, two paths are the proper inductance. The fabricated prototype is
shown in Figure 10, and the filter is implemented in
introduced between the input and microstrip on a 40-mil-thick Rogers 4350B substrate
the output ports and the signals are (f r = 3.66, d = 0.0037). In this design, C1 and C2 are 0.1
imposed to cancel each other by and 0.2 pF, respectively. These are realized using AVX
ACCU-P capacitors. R1 and R 2 are 7.5 Ω and 3.57 Ω, re-
proper adjustment of the phase spectively, and are found parametrically. These are
and amplitude. realized using Vishay Dale CRCW0402 series resis-
tors. Finally, the capacitor in the high-pass filter, C, is
set to 4.7 pF. This is realized using Vishay RFCS series
optimal cancellation. Thus, when the input signal capacitors. Sonnet was used for all electromagnetic
is “bridged” between the two passive circuit paths simulations on the filter structure with S-parameter
and both the resistance and reactance of the paths is models for each component [14]. The measured and
equal, near infinite attenuation can be achieved [12]. simulated results are shown in Figure 11, and the cor-
For this design, the C-band was targeted, and at these relation is good. The measured rejection is over 50 dB
frequencies surface mount resistors and capacitors at 4.1 GHz, and the return loss is better than 6 dB
are viable; however, most inductors have low self-res- over the whole band of operation. The measured
onant frequencies. For this reason, high-impedance 3- and 10-dB bandwidths are approximately 1 and
meandered transmission lines are utilized to provide 0.25 GHz, respectively. The measured 10-dB percent-
age bandwidth is therefore 6%. The measured inser-
tion phase is shown in Figure 12 and shows that at the
cancellation frequency, the signal goes through near
L1 C1 R1 180° phase change.
Z3
C C
Z1 Z1
L2 0
Z2 C2 –10
S21 and S11 (dB)

R2 –20
–30 Measured S11
–40 Simulated S11
Measured S21
Figure 9. Proposed ABSF [9]. –50 Simulated S21
–60
0 1 2 3 4 5 6 7 8 9 10
Frequency (GHz)

Figure 11. Measured and simulated S11 and S21 [9].

30
0
–30
S21 (°)

–60
–90
–120
–150
–180
0 1 2 3 4 5 6 7 8
Frequency (GHz)
Reference Plane Measured S21 Phase

Figure 10. Fabricated fixed-frequency prototype and TRL


calibration substrate [9]. Figure 12. Measured S21 phase [9].

62 May 2023
RF Power Combining
Modules

N-Type Connector
Development of Radial
Power Combiners Coax Dielectric Bead: 2.94 mm
Coax Pin Diameter: 0.91 mm
Many modern microwave com-
munication systems require
1 mm 4.7 mm
high-power amplifiers (HPAs).
5.25 mm
To obtain the high power z 10.4 mm
7.9 mm 3.47 mm
(wattage) necessary, many
transmitters use vacuum tube
technology [15]. Recently, sol- 6.1 mm
θ1
id-state technology has proven
to be more reliable, requires θ2 ϕ
lower supply voltages, and is
Radial Waveguide r
relatively more linear when
compared to traveling wave
tubes (TWTs). However, most
solid-state technologies are not
capable of generating the high- Figure 13. Cross section and top–down view of designed radial waveguide to coaxial
transition [22].
power required systems that
typically use TWT technology.
For this reason, low-loss microwave power-combining
techniques have emerged—including tray-based spa-
tial combining [16], planar transmission line combining
[17], and waveguide radial/septum combiners [18]—in
order to “gang-up” numerous solid-state amplifiers to
support the necessary aggregate high-transmission
power. Radial combining techniques are especially at-
tractive for their ease of scalability due to their symme-
try. Some recent radial combiners in literature include
[19], [20], and [21]. In [22] we presented the design and (a) (b)
development of a waveguide/coaxial radial combiner.
We also report measured results from both computer Figure 14. Manufactured prototypes using (a) DMLS
numerical control (CNC)-machined and direct metal stereo-lithography and (b) CNC machining [22].
laser sintering (DMLS) stereo-lithographical fabrica-
tion techniques for this radial combiner. The benefit of
0 5
using the DMLS process is a turnkey test and evalu-
0
ation. The dominant mode in the parallel plate radial
–5 –5
waveguide, the height of which is ≤m/2, is a TM00 mode,
–10
which is transverse electromagnetic (TEM). Figure 13
|S21|

|S |
11

–10 –15
also shows the proposed transition. The coaxial con-
–20
nector (Southwest Microwave) is comprised of a metal
pin, which is surrounded by a dielectric (Teflon) bead –15 –25
to hold the pin in place. The transition is comprised of –30
a plurality of inverted conical tapers. The first conical –20 –35
9 10 11 12 13 14 15 16 17 18 19 20
taper is inset in the top of the radial waveguide with Frequency (GHz)
a depth of 1 mm (shown in the top purple piece in
Simulated Insertion Loss
Figure 13). The second conical taper is electrically con- Measured CNC Insertion Loss
nected to the top plane of the radial waveguide and Measured DMLS Insertion Loss
has a hollow cylindrical tube; this facilitates a smooth Measured DMLS Input Return Loss
Measured CNC Input Return Loss
transition between the radial waveguide and the co- Simulated Input Return Loss
axial input [21]. The bottom of the radial waveguide
has an inverted conical stub, which is electrically con- Figure 15. Measured and simulated S-parameters for the
nected with the N-type metal pin, shown in blue in designed prototype using both CNC and DMLS processes [22].

May 2023 63
Ridge gap waveguides (RGWs) are a prototypes. As can be seen, the measured 10-dB return
loss bandwidth is approximately 8 GHz on the CNC
new transmission line modality that prototype, but the measured and simulated return loss
has gained much interest because is not well correlated. This is mainly due to the wave-
of its small size, wideband TEM guide-to-coaxial adapter, which could not be calibrated
out. When time gating on the network analyzer is used,
propagation, and low loss. the results match fairly well. The 1.5-dB insertion loss
bandwidth for the DMLS-printed prototype is 5 GHz,
which is a significant reduction compared to the simi-
Figure 13. This piece is inset in the hollow cylindrical lar CNC-machined module.
cavity of the second conical taper. Figure 14 shows the
fabricated prototypes using both CNC machining and Development of Ridge Gap Waveguide
DMLS printing methods. The CNC machined part ex- Power Combining Modules
hibits a smoother surface, whereas the DMLS printed Ridge gap waveguides (RGWs) are a new transmission
part exhibits apparent surface roughness due to the 3D line modality that has gained much interest because of
printing’s resolution. Figure 15 shows the simulated its small size, wideband TEM propagation, and low loss.
and measured performance of the CNC and DMLS It was first introduced in [23]. Much of the RGW work
has been descended fcrom pre-
vious research on soft/hard
surfaces. One interesting chal-
lenge in RGW system topolo-
gies is the interface between
the RGW and the monolithic
Enclosure
“Bottom” m icrowave i nteg rated cir-
cuit (MMIC). Typical wave-
C
Removable Metal Chip Carrier guide transitions to microstrip
(Chip Is Attached on Underside) require an E-plane probe. This
adds the requirement to design
an extra interface between the
waveguide and the MMIC,
which can be costly, add com-
plexity to the assembly, and
finally potentially extra loss.
Combin
RGW Combiner Several groups have proposed
“Top
Enclosure “Top” novel RGW to microstrip tran-
sitions, including [24] and [25].
Figure 16. Exploded diagram of the two-way power combined substrate-less RGW In both of these proposed tech-
microwave module [27]. niques, the interface requires
electromagnetic coupling and
either requires the MMIC to
adopt the coupling probe on-chip or requires an addi-
Microstrip Through tional substrate to which the MMIC needs to be bonded.
In [26] a novel approach was proposed eliminating the
requirement for such an additional substrate, presenting
RGW Slot a self-packaged solution. The RGW-to-microstrip transi-
Coupling Cavity tion was built into the metallic housing, and the MMIC
is bonded directly to the metal. Wire-bonding allow for a
wider variety of commercially available chips to be used
in such an RF module.
Our work [27] builds on [26] and proposes several
improvements. In [27] a novel substrateless RGW mod-
ule using a chip carrier assembly that is implemented
using both CNC and electrical discharge machin-
ing. The chip carrier is designed to be “swappable,”
Figure 17. Top–down view of fabricated prototype [27]. such that if a MMIC fails, the carrier assembly can be

64 May 2023
removed and replaced easily. We also demonstrate a measured insertion loss is less than −1.5 dB from 10.88
two-way combiner using RGW and the novel chip car- to 14 GHz.
rier assembly to demonstrate multichannel combining. An alternative method, leveraging the same RGW
Simulated and measured performances are compared, substrateless transition, is presented in [29], where a
with operation between 11 and 14.5 GHz. current combining approach is taken, and an exploded
The RGW is formed with two plates, one is a per- diagram of the module is shown in Figure 20. Current
fect electric conductor and one is a perfect magnetic mode power combining has been used extensively on
conductor (PMC). The PMC surface is high imped- power amplifiers at the chip-scale level [30], [31]. To
ance and is realized with a bed-of-nails approach [28]. improve the output power, multiple amplifiers/out-
In this structure an array of metallic posts, which are put stages need to be driven in parallel. This is typi-
m/4 in height, are connected to a metal plate. By plac- cally achieved by using Wilkinson combiners, where
ing a ridge between the posts, a quasi-TEM wave can the output of the transistor is prematched to 50 Ω. In
propagate between the ridge and the plate above.
Figure 16 shows an exploded diagram of the pro-
posed power combiner structure, and Figure 17 shows
the manufactured prototype structure. Figure 18
shows a back-to-back microstrip carrier, representative Enclosure “Bottom”
of a power amplifier microwave MMIC. Measurements
were made with WR-75 waveguide to coaxial adapters.
Figure 19 shows the measured and simulated response Removable Chip Carrier
of the microwave power-combining module. As can be (Chip Is Attached on
seen, measurement and simulation correlate well. The Underside)

RGW Enclosure “Top”

Figure 20. Exploded diagram of the current mode power


combining substrateless RGW microwave module [29].

Figure 18. Chip bonding assembly [27].


Jsurf (A/m)
1.5000e+003
9.4970e+002
6.0128e+002
0 3.8069e+002
–5 2.4103e+002
1.5260e+002
S-Parameters (dB)

–10
9.6618e+001
–15 6.1172e+001
–20 3.8730e+001
2.4521e+001
–25 1.5525e+001
–30 9.8294e+000
6.2233e+000
–35 3.9402e+000
–40 2.4947e+000
10 11 12 13 14 15 1.5794e+000
Frequency (GHz) 1.0000e+000

Measured S11 Measured S21


Simulated S11 Simulated S21 Figure 21. Surface current magnitude of through
microstrip for two-, three-, and four-chip configuration
Figure 19. Measured and simulated results [27]. along the coupling slot at 10 GHz [29].

May 2023 65
current combining, the outputs of the N-number of measured and simulated response of the full back-to-
transistors are directly tied such that the currents sum. back structure. The −1.5-dB insertion loss bandwidth
The result is that the output impedance is also reduced is 10–14 GHz in measurement and 9–16 GHz in sim-
by N. For power amplifiers at the transistor level, ulation. The main reason is because the impedance
this can be challenging as the output impedance is matching is heavily degraded beyond 14 GHz in the
quite low to start with, and so impedance matching measured results, whereas in simulation the imped-
becomes more challenging, although possible. At the ance match is excellent. This is attributed partially to
module level, current combining is less used simply the alignment between the chip carrier and the RGW
because solid-state power amplifier (SSPA) MMICs can and also partially to the asymmetry of the dual chip
be large, and tying the inputs and outputs together assembly, as it is highly dependent on even mode, in-
can be as complex and large as utilizing a standard phase operation. Asymmetries disrupt the in-phase
technique, such as the Wilkinson. In [29], we utilize operation and can degrade the even mode impedance.
an RGW structure to realize a current mode power-
combining scheme. This structure easily lends itself Millimeter Wave E-Band SSPA Module
to current mode combining as the slot transition is In the last decade, E-band (71–86 GHz) has become a
quite long compared the width of most MMIC ampli- viable option for high bandwidth line of sight commu-
fiers. Figure 21 shows the simulated configurations, nications. They are used by telecommunication com-
including two-, three-, and four-microstrip through panies for mobile backhaul [32]. They are also utilized
transmission lines and also shows the surface current for low-latency rapid stock trading between various
magnitude at 10 GHz for the three configurations. The physically disparate markets [33]. More recently,
surface current magnitude shows a cosine taper across W/E/V-band has been proposed for satellite commu-
the slot, such that outer elements pick up less power. nications (SATCOM) both for low Earth orbit (LEO) [34]
Figure 22 shows the fabricated prototype, with two and for geosynchronous orbit [35].
microstrip through transmission lines, and Figure 23 Work has been done to develop power-combining
shows the chip bonding assembly. Figure 24 shows the SSPA, including septum-based waveguide combin-
ers [36] and radial waveguide combiners [37]. At mil-
limeter wave frequencies, transmission line losses can
Dual Microstrip be quite large. The mitigation of losses equals higher
combining efficiencies, which is critical to “macro”
level power-combining amplifiers. To reduce loss, a
suspended stripline-to-rectangular waveguide transi-
tion is utilized. The designed four-way SSPA module
has a measured 3-dB bandwidth of 22 GHz from 67 to
89 GHz, with a measured output power of at least
0.5 Watts at 85 GHz. The four-way combiner has a
simulated efficiency of 86%.

0
Figure 22. Fabricated prototype [29]. –10
S-Parameters (dB)

–20
–30
–40
–50
–60
8 10 12 14 16 18
Frequency (GHz)

Simulated Return Loss


Simulated Insertion Loss
Measured Return Loss
Measured Insertion Loss

Figure 23. Chip bonding assembly [29]. Figure 24. Measured and simulated results [29].

66 May 2023
Waveguide combining power amplifiers typically is followed by a level-setting waveguide attenuator; a
utilize what’s known as a microstrip E-plane probe in Millitech preamplifier (AMP-10-02130) drives the HPA.
order to couple power from the waveguide to an MMIC A 20-dB directional coupler with a Keysight W8486A
[38]. A suspended stripline is preferable because most power sensor was used to measure the total output
of the propagation energy is in the air dielectric, and power with a waveguide termination to dissipate
the support substrate generally has a negligible impact the power delivered to the load. The developed SSPA
on the attenuation and phase delay of the stripline [39].
The stripline E-plane probes are designed on a 5-mil
fused silica substrate (fr ~ 3.8, d ~ .0002). The fused
Stripline to dc Bias
silica substrate straddles a channel on the lower half
2.79” MMIC
of the split-block. Small metal ledges form a support
structure for the substrate, and in this way the sub- Waveguide
to Stripline
strate can be fully suspended.
A four-way power combined amplifier module was
y
designed and fabricated. Commercial HPA MMICs
from MACOM were used (MAAP-011106) [40]. The
commercial MMIC has a Psat of 25 dBm, a P1dB of 23 Waveguide
2.2”
dBm, and a gain of between 18 and 20 dB, and oper- x E-Plane
ates from 71 to 86 GHz. E-plane Y-junction waveguide Y-Junction
power dividers/combiners were utilized for the four- MMIC
z
way module. Each of the Y-junctions had a three-section
impedance transformer, to allow for ultrawideband Figure 25. Full high frequency simulation software
operation. Two sets of printed circuit boards (PCBs) simulation model.
were used for each MMIC, one providing gate bias
for each of the four-stage amplifiers with inline 10 Ω
resistors for stability, and one providing drain bias for
each of the four stages with 10-nF decoupling capaci-
tors. A 10-mL Eccosorb® BSR absorber was used in the
cavities to prevent oscillation. Figure 25 shows a back-
to-back model in high frequency simulation software
for the full passive module, including a waveguide
to suspsended stripline transition, and a suspended
stripline-to-MMIC bond wire transition. The model
included a passive GaAs microstrip through line that
was de-embedded from the loss simulation. Simulated
results from the back-to-back model show an S11 of
better than 10 dB from 71 to 100 GHz, with an average Figure 26. Fabricated prototype showing full MMIC
insertion loss of .65 dB, which equates to an average assembly.
efficiency of 86%.
A fabricated prototype is shown in Figure 26.
S-parameter measurements were made on an Anritsu
30
ME7808A vector network analyzer using a waveguide
20
thru-reflect-match (TRM) calibration kit. The ampli-
S-Parameters (dB)

10
fier was measured with gate biased to −0.2 V and 0
drain biased at 3.5 V, with the whole amplifier module –10
drawing 3.45 A. The measured S-parameter results are –20
shown in Figure 27, and the amplifier module has simi- –30
lar gain characteristic as the individual MMIC. The –40
–50
minimum gain of the module occurs at 80 GHz, with
–60
a gain of 18 dB. Figure 28 shows the infrared image of 65 70 75 80 85 90 95 100 105 110
the HPA module under bias, and as can be seen, the Frequency (GHz)
temperature where the MMICs are die-attached reach
S11 S12 S21 S22
upwards of 85 °C. Large signal testing was done using
the test bench shown in Figure 29. An OML S10MS
module was used as an E-band signal generator, which Figure 27. Measured S-parameters of four-way HPA.

May 2023 67
module was a 1 W class power amplifier that operated fast hand-off from horizon to horizon. Several efforts
in the E-band. have been made in the past to develop low-profile
CP phased array antennas. In [42] an X-band dual-
Phased Array Development polarized CP phased array was developed for sub-
Phased array antennas for line-of-sight communica- marine SATCOM using complex multichip-modules
tions are preferable as they support agile beam steer- on low-temperature cofired ceramic material. The
ing. For SATCOM applications, mechanically scanned performance of the axial-ratio (AR) bandwidth over
antennas are viable but suffer from the keyhole effect scan angles was not presented. In [43], a wide-scan
[41], and the slewing from the gimbal may require a linear phased array antenna was presented with AR
dual antenna solution. The phased array is a preferred < 3 dB beamwidth of 121° with co- to cross-polariza-
solution as the beam can be electronically scanned tion separation of 16 dB. This array, however, utilizes
almost instantaneously so a single antenna aperture magnetic electric dipoles as the radiators, and there-
can handle the satellite handover. The availability fore has a narrow impedance bandwidth (2%). In [43]
of commercial silicon beamforming chipsets has and [44], a truncated corner patch phased array was
resulted in the ability for phased array antennas to realized, however demonstrated narrow axial band-
be ubiquitous in future communication systems. The widths of 2.4% and 3.2%, respectively, at broadside.
fully integrated chipset eliminates the need for dis- In [45] a dual-linear patch antenna array was coupled
crete transceiver blocks and includes a polarization with a beamformer radio-frequency integrated cir-
switch, a transmit/receive (T/R) switch, low noise cuit (RFIC), which combined the two linear polariza-
amplifier, power amplifier, phase shifters, and vari- tions on-chip with a 90° offset to generate the CP. This
able attenuators. method yielded a 6.7% AR bandwidth at broadside;
Circular polarized (CP) active electronically however, AR properties over the scan angles and fre-
scanned arrays are of great interest for SATCOMs. quency were not included.
Phased arrays that are capable of maintaining CP over One method that has been used to enhance the
wide scan angles are of great interest, especially for AR bandwidth in passive fixed-beam arrays is to use
new satellite constellations that are being deployed in sequential rotation (SQR) and nested SQR [46], [47],
LEO and medium Earth orbit. LEO constellations are [48], [49]. In a nested SQR approach, radiator elements
closer to the Earth (~500 to 2,000 km) and therefore within a subarray utilize SQR, and then SQR is applied
move overhead at a much faster rate and require a at the subarray level. To support dual CPs with a wide
impedance bandwidth, several radiator topologies
could be adopted as described in [50]. A well-known
86.9 °F 92.9
corner truncated probe fed stacked-patch approach

RFIC

76 Ground
Embedded
Figure 28. Optical and infrared images of HPA module Driven Patch NiCr Resistor Ground
under bias. Stripline
Stacked Wilkinson
Patch

H3
Ground

H4
Feed
Via
H2
L2
H1 L5 L1
L3
L4
Rogers 4350B εr = 3.66, tan δ = 0.002

Figure 30. Truncated corner stacked patch antenna element.


Antenna parameters are: H1= .508 mm, H2 = .599 mm,
H3 = .975 mm, H4 = .127 mm, L1 = 5.79 mm, L2 = 5.41 mm,
Figure 29. Large signal test bench. L3 = .187 mm, L 4 = .61 mm, L5 = 2.69 mm [51].

68 May 2023
was utilized for the phased array design. Figure 30 SQR is performed within the RFIC phase shifter, and
shows the dimensions of the stacked patch elements as therefore the delay is frequency dependent. A 16-ele-
well as an exploded view of the board stack-up used ment four-by-four phased array antenna using the
for the phased array. nested SQR concept was designed and fabricated. Fig-
We demonstrate in [51] an active phased array using ure 32 shows the photograph of the fabricated proto-
this nested SQR architecture to develop a 16-element type, which includes multilayer PCB and altium layout
planar array. Figure 31 shows a nested SQR approach- considerations; Anokiwave AWMF-0117 single-chan-
based design where both local (#1) and nested SQR nel silicon beamforming RFICs were used. Phase com-
(#2) at the subarray level is applied. The interelemen- pensation due to the nested SQR is applied using this
tal spacing is 0.5m o at the design frequency. The array chipset. Beamforming algorithm was applied through
antenna PCB stack-up is shown in Figure 31. Typi- SPI controller and Labview-based graphical user inter-
cally, for passive arrays, a 90° delay transmission line face, which controls beam peak scan angles. Radia-
is added to compensate for the SQR. Since our phased tion pattern measurements were performed at San
array is a fully active antenna, the compensation for the Diego State University’s (San Diego, California, USA)
far-field anechoic chamber. The presented measured
beam patterns are normalized since this array has
gain on receive in addition to the feed network losses.
Similarly, the S-parameter is the combined effect of
0 90 270 0 the active and passive components, so not included here.
Figure 33 shows the measured azimuth (x–z plane)
1

270 180 180 90 Common


Feeder in Stripline
2
RFIC
70 mm

90 180 180 270 LHCP


RHCP

0 270 90 0

Bottom View 100 mm Top View


0 15 30 (mm)
Figure 32. Photograph of the fabricated prototype phased
Figure 31. Array architectures showing nested SQR [51]. array antenna [51].

0 0
Normalized Pattern (dBic)

Normalized Pattern (dBic)

–10 –10

–20 –20

–30 –30

–40 –40
–80 –60 –40 –20 0 20 40 60 80 –80 –60 –40 –20 0 20 40 60 80
Azimuth (Degree) Elevation (Degree)
(a) (b)

–45 RHCP –45 LHCP –30 RHCP –30 LHCP


0 RHCP 0 LHCP 15 RHCP 15 LHCP
30 RHCP 30 LHCP 45 RHCP 45 LHCP
–15 RHCP –15 LHCP

Figure 33. (a) Measured RHCP beam scan patterns for azimuth at 12.5 GHz and (b) measured RHCP beam scan patterns for
elevation at 12.5 GHz [51].

May 2023 69
9 9

AR (dB) 6 6

AR (dB)
3 3

0 0
0.84 fo 0.92 fo fo 1.08 fo 1.16 fo 0.84 fo 0.92 fo fo 1.08 fo 1.16 fo
Frequency (GHz) Frequency (GHz)
(a) (b)

–45°_AR –30°_AR +0°_AR


+15°_AR +30°_AR +45°_AR

Figure 34. (a) RHCP AR azimuth scan and (b) RHCP AR elevation scan. Here fo is 12.5 GHz [51].

beam and elevation beam (y–z plane) scan patterns for the RHCP polarizations on the azimuth cut plane,
the righthand CP (RHCP) at fo = 12.5 GHz. Measure- the AR is remains below 3 dB for scan angles up to
ments were performed up to ±80° due to constraints ±45°. For the RHCP polarization in the elevation cut
on the test setup in the anechoic chamber. The mea- plane, the AR for +45° and −45° are degraded to
sured difference between the RHCP and the lefthand around 6–7 dB, corresponding with expected simu-
CP (LHCP) over frequency and scan angle is approxi- lated results, which can still be usable for some
mately better than 20 dB. Figure 34 shows the mea- communication applications.
sured AR versus frequency for the RHCP polarization The navy also utilizes common data link (CDL) for
and for the AR bandwidth when the beam is scanned ISR applications. One of the more common airborne
away from the broadside, both elevation and azimuth antennas that supports CDL is the AC-27, developed
cut planes. The measured 3-dB AR bandwidth for by Honeywell. This antenna operates from 14.53 to
scan angles up to ±30° is 24% for both cut planes. For 15.35 GHz, with 27 dBic of gain, is RHCP, and main-
tains an AR below 2 dB [52]. We believe that future
CDL antennas will leverage phased arrays, and aimed
to develop a phased array antenna with similar charac-
teristics in performance and form factor to the AC-27.
Leveraging previous work [51], we have demon-
strated that utilizing corner truncated stacked patch
antennas, with a sequential rotation subarray, can result
in wide AR bandwidths across wide scan angles. In this
work, we leverage that architecture and demonstrate a
64-element T/R phased array that operates in the CDL
band. Utilizing intrinsically CP antenna elements, such
as the corner truncated patch, has some benefits as
compared to using dual-linear polarized patches, such
as in [53], [54], [55], and [56]. When using the dual-lin-
ear polarized patch element, you can achieve arbitrary
polarizations, at the expense of occupying two chan-
nels in your beamformer. In receive mode, this means
that the dual-linear polarized antennas consume twice
the dc power to achieve RHCP, compared to using an
intrinsically RHCP antenna element. In addition, the
intrinsically CP antenna element allows for simultane-
ous dual beams from a common shared aperture, when
paired with a beamforming chip, such as the Renesas
F6123. On transmit, the dual-linear polarized antenna
elements can offer the advantage of twice the output
power, since two beamforming channels are being
Figure 35. Developed prototype array in anechoic chamber. combined to generate the circular polarization. In this

70 May 2023
work, intrinsically RHCP antenna elements are used,
The availability of commercial silicon
with a sequentially rotated subarray. This phased array
is capable of both transmit and receive from a common beamforming chipsets has resulted
aperture, with the ability to support RHCP and oper- in the ability for phased array
ate from 14.4 to 15.2 GHz. The developed phased array
antennas to be ubiquitous in future
antenna utilizes a silicon single channel beamforming
chip (AWMF-01174) from Anokiwave. This chip has five communication systems.
bits of phase and amplitude control, a noise figure of
3 dB, an OP1dB of +12 dBm, and operates from 10.5 to consumes approximately 13 W of dc power, and on trans-
16 GHz. There are a total of 65 beamforming chips on the mit the array consume approximately 16 W of dc power.
array, with the 65th chip providing a gain stage compen- A simple metal cold plate was attached to the array to
sating for the feeder losses. The 65 beamforming chips mitigate the heat, and no active cooling was used.
have a daisy-chained serial protocol interface (SPI), and Antenna measurements were made at the San
a National Instruments USB SPI controller was used to Diego State University Antenna Measurement Labora-
interface and control the array. No calibrations were per- tory (AML), San Diego, California, USA. CP character-
formed on this array. Figure 35 shows the phased array istics, such as AR, were produced by taking amplitude
antenna under test in the anechoic chamber, and and phase measurements in the vertical linear polar-
Figure 36 shows the backside of the PCB with the sili- ization and the horizontal linear polarization, and
con beamforming chips exposed. On receive, the array postprocessing. For brevity, negative scan angles were
taken for azimuth scan patterns, and positive scan
angles were taken for elevation scan patterns. Figure
37 shows the measured normalized azimuth scan pat-
terns at 14.4 GHz. Measurements were taken with a
Taylor taper for −20 dB sidelobes. As can be seen, the
array is capable of scanning to 45° without the intro-
duction of grating lobes, as well as < 20 dB cross-polar-
ization levels for all scan angles. Figure 38 shows the
measured elevation scan patterns at 14.4 GHz. Again,
the array maintains very low cross-polarization levels
for all scan angles. Figure 39 shows the measured AR
versus frequency versus scan angle for both the azi-
muth and elevation scans. For the azimuth scan, Fig-
ure 39(a) shows that the AR is below 3 dB up to 45°
scan from 13 to 14.8 GHz. With a more relaxed AR cri-
Figure 36. Developed prototype array, backside of printed teria of 4 dB, the array is capable of scanning up to 45°
circuit board. in the azimuth plane from 13 to 15.2 GHz. At 14.4 GHz,
Normalized Gain (dB)

Normalized Gain (dB)

0 0

–10 –10
–20 –20

–30 –30

–40 –40
–80
–70
–60
–50
–40
–30
–20
–10
0
10
20
30
40
50
60
70
80

–80
–70
–60
–50
–40
–30
–20
–10
0
10
20
30
40
50
60
70
80

Angles (Degree) Angles (Degree)

0 Beam LHCP 0 Beam RHCP 0 Beam LHCP 0 Beam RHCP


–15 Beam LHCP –15 Beam RHCP 15 Beam LHCP 15 Beam RHCP
–30 Beam LHCP –30 Beam RHCP 30 Beam LHCP 30 Beam RHCP
–45 Beam LHCP –45 Beam RHCP 45 Beam LHCP 45 Beam RHCP

Figure 37. Measured azimuth scan patterns at 14.4 GHz. Figure 38. Measured elevation scan patterns at 14.4 GHz.

May 2023 71
Trans. Microw. Theory Techn., vol. 64, no. 9, pp. 2871–2882, Sep. 2016,
6 doi: 10.1109/TMTT.2016.2590542.
5 [3] C. Galbraith, G. M. Rebeiz, and R. Drangmeister, “A cochlea-based
AR (dB)
4 preselector for UWB applications,” in Proc. IEEE Radio Freq. Integr.
3
2 Circuits (RFIC) Symp., Honolulu, HI, USA, 2007, pp. 219–222, doi:
1 10.1109/RFIC.2007.380869.
0 [4] J.-C. S. Chieh and J. Rowland, “X-band frequency selective limiter
13 14 15 16 using absorptive notch filters embedded multiplexers,” in Proc.
Frequency (GHz) IEEE MTT-S Int. Microw. Symp. (IMS), 2017, pp. 1919–1922, doi:
0 Beam 15 Beam 10.1109/MWSYM.2017.8059035.
[5] R. O’Brient et al., “A log-periodic channelizer for multichroic an-
30 Beam 45 Beam
tenna-coupled TES-bolometers,” IEEE Trans. Appl. Supercond., vol.
0 Beam Simulation 4 × 4 21, no. 3, pp. 180–183, Jun. 2011, doi: 10.1109/TASC.2010.2093090.
(a) [6] D. R. Jachowski, “Passive enhancement of resonator Q in micro-
wave notch filters,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig.
6 (IEEE Cat. No. 04CH37535), 2004, vol. 3, pp. 1315–1318, doi: 10.1109/
5
MWSYM.2004.1338808.
AR (dB)

4
3 [7] D. R. Jachowski, “Compact, frequency-agile, absorptive bandstop
2 filters,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 513–
1 516, doi: 10.1109/MWSYM.2005.1516645.
0
13 14 15 16 [8] J.-C. S. Chieh and J. Rowland, “A fully tunable C-band reflection-
Frequency (GHz) less bandstop filter using L-resonators,” in Proc. 46th Eur. Microw.
Conf. (EuMC), 2016, pp. 131–133, doi: 10.1109/EuMC.2016.7824295.
0 Beam 15 Beam [9] J.-C. S. Chieh and J. Rowland, “Quasi-lumped element bridged-T
30 Beam 45 Beam absorptive bandstop filter,” IEEE Microw. Wireless Compon. Lett., vol.
26, no. 4, pp. 264–266, Apr. 2016, doi: 10.1109/LMWC.2016.2537787.
(b)
[10] B. Kim, J. Lee, J. Lee, B. Jung, and W. J. Chappell, “RF CMOS inte-
grated on-chip tunable absorptive bandstop filter using Q-tunable
Figure 39. Measured AR versus frequency versus scan resonators,” IEEE Trans. Electron Device, vol. 60, no. 5, pp. 1730–1737,
angle for (a) azimuth scan and (b) elevation scan. May 2013, doi: 10.1109/TED.2013.2253557.
[11] H. W. Bode, “Wave filter,” U.S. Patent 2 035 258, Mar. 24, 1936.
[12] H. W. Bode, “Wave filter,” U.S. Patent 2 002 216, May 21, 1935.
the measured compressed effective isotropic radiated [13] O. J. Zobel, “Theory and design of uniform and composite electric
power is approximately 45 dBm. wave-filters,” Bell Syst. Tech. J., vol. 2, no. 1, pp. 1–46, Jan. 1923, doi:
10.1002/j.1538-7305.1923.tb00001.x.
[14] “Sonnet 12.52.” Sonnet Software. Accessed: Feb. 3, 2023. [Online].
Conclusions Available: https://www.sonnetsoftware.com/support/sonnet
This article has summarized some S&T efforts at the -suites/release-notes-1252.html
NIWC-PAC as it relates to RF and microwave commu- [15] R. K. Parker, R. H. Abrams, B. G. Danly, and B. Levush, “Vacuum
nications, including RF filters and channelizers, RF electronics,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp.
835–845, Mar. 2002, doi: 10.1109/22.989967.
power-combining modules, and phased array anten- [16] M. P. DeLisio and R. A. York, “Quasi-optical and spatial power
nas using silicon beamforming chips. Wireless com- combining,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp.
munications continue to be an important S&T topic to 929–936, Mar 2002, doi: 10.1109/22.989975.
NIWC-PAC and we plan to continue developing tech- [17] C. Y. Law and A.-V. Pham, “A high-gain 60GHz power ampli-
fier with 20dBm output power in 90nm CMOS,” in Proc. IEEE Int.
nologies in this area. Solid-State Circuits Conf. (ISSCC), 2009, pp. 426–427, doi: 10.1109/
ISSCC.2010.5433882.
Acknowledgment [18] J. Schellenberg, E. Watkins, M. Micovic, B. Kim, and H. Kyu,
“W-band, 5W solid-state power amplifier/combiner,” in Proc. IEEE
All photos and figures are courtesy of Naval Infor-
MTT-S Int. Microw. Symp. Dig., Anaheim, CA, USA, Jun. 2010, pp.
mation Warfare Center Pacific, San Diego, California. 240–243, doi: 10.1109/MWSYM.2010.5517616.
Any opinions, findings, and conclusions or recom- [19] J.-M. Denoual, A. Peden, B. Della, and J.-P. Fraysse, “16-way radial
mendations expressed in this material are those of divider/combiner for solid state power amplifiers in the K band,”
in Proc. Eur. Microw. Conf. (EuMC), Oct. 2008, pp. 345–348, doi:
the authors and do not necessarily reflect the views of
10.1109/EUMC.2008.4751459.
the Naval Information Warfare Center. Jia-Chi Samuel [20] S. Kaijun, F. Yong, and H. Zongrui, “Broadband radial waveguide
Chieh (jiachi.s.chieh.civ@us.navy.mil) is the corre- spatial combiner,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2,
sponding author. pp. 73–75, Feb. 2008, doi: 10.1109/LMWC.2007.911984.
[21] D. Yazhou, D. Shi-Wei, Z. Zhongbo, and W. Ying, “60 GHz low
loss, amplitude and phase balanced radial waveguide power com-
References biner,” in Proc. Int. Conf. Electron., Commun. Control (ICECC), Sep.
[1] “Naval information warfare center pacific command overview, dis- 2011, pp. 4070–4073, doi: 10.1109/ICECC.2011.6066614.
tribution A,” Naval Information Warfare Center Pacific, San Diego, [22] J.-C. S. Chieh, M. Civerolo, and A. Clawson, “A ultra wideband
CA, USA, 2022. [Online]. Available: https://www.niwcpacific.navy. radial combiner for X/Ku-band using CNC and DMLS processes,”
mil/wp-content/uploads/2022/02/211207_NDIA_NIWC_PAC IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 286–288, May
_EOY_FY21_Bonwit_Final_DISPLAY_Distro_A_WEB.pdf 2015, doi: 10.1109/LMWC.2015.2409806.
[2] E. J. Naglich and A. C. Guyette, “Frequency-selective limiters uti- [23] P.-S. Kildal, E. Alfonso, A. Valero-Nogueira, and E. Rajo-Iglesias,
lizing contiguous-channel double multiplexer topology,” IEEE “Local metamaterial-based waveguides in gaps between parallel

72 May 2023
metal plates,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 84–87, [41] R. Gilmore, “Broadband-on-the-move satellites takes the pole po-
2009, doi: 10.1109/LAWP.2008.2011147. sition,” MilsatMagazine, pp. 52–59, May 2013. [Online]. Available:
[24] U. Nandi, A. U. Zaman, A. Vosoogh, and J. Yang, “Novel millime- http://www.milsatmagazine.com/story.php?number=1466984736#
ter wave transition from microstrip line to groove gap waveguide [42] K. M. Lee, J. Edie, R. Krueger, J. Weber, T. Brott, and W. Craig,
for MMIC packaging and antenna integration,” IEEE Microw. Wire- “A low profile X-band active phased array for submarine satellite
less Compon. Lett., vol. 27, no. 8, pp. 691–693, Aug. 2017, doi: 10.1109/ communications,” in Proc. IEEE Int. Conf. Phased Array Syst. Technol.
LMWC.2017.2723679. (Cat. No.00TH8510), Dana Point, CA, USA, 2000, pp. 231–234, doi:
[25] Y. Shi, J. Zhang, S. Zeng, and M. Zhou, “Novel W-Band milli- 10.1109/PAST.2000.858947.
meter-wave transition from microstrip line to groove gap wave- [43] P. Liu, Y. Li, and Z. Zhang, “Circularly polarized 2 bit reconfigu-
guide for MMIC integration and antenna application,” IEEE Trans. rable beam-steering antenna array,” IEEE Trans. Antennas Propag.,
Antennas Propag., vol. 66, no. 6, pp. 3172–3176, Jun. 2018, doi: 10.1109/ vol. 68, no. 3, pp. 2416–2421, Mar. 2020, doi: 10.1109/TAP.2019.2939669.
TAP.2018.2819902. [44] T. Lambard, O. Lafond, M. Himdi, H. Jeuland, S. Bolioli, and L. Le
[26] B. Ahmadi and A. Banai, “Substrateless amplifier module real- Coq, “Ka-band phased array antenna for high-data-rate SATCOM,”
ized by ridge gap waveguide technology for millimeter-wave ap- IEEE Antennas Wireless Propag. Lett., vol. 11, pp. 256–259, Mar. 2012,
plications,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 11, pp. doi: 10.1109/LAWP.2012.2189747.
3623–3630, Nov. 2016, doi: 10.1109/TMTT.2016.2607177. [45] K. K. Wei Low, A. Nafe, S. Zihir, T. Kanar, and G. M. Rebeiz, “A
[27] J. Samuel Chieh, “A substrate-less microwave power-combining scalable circularly-polarized 256-element Ka-band phased-array
module utilizing ridge gap waveguide,” IEEE Microw. Wireless SATCOM transmitter with ±60° beam scanning and 34.5 dBW
Compon. Lett., vol. 28, no. 11, pp. 972–974, Nov. 2018, doi: 10.1109/ EIRP,” in Proc. IEEE MTT-S Int. Microw. Symp. (IMS), Boston, MA,
LMWC.2018.2870932. USA, 2019, pp. 1064–1067, doi: 10.1109/MWSYM.2019.8701112.
[28] M. G. Silveirinha, C. A. Fernandes, and J. R. Costa, “Electromag- [46] P. S. Hall, “Application of sequential feeding to wide bandwidth,
netic characterization of textured surfaces formed by metallic circularly polarised microstrip patch arrays,” IEE Proc. H - Microw.,
pins,” IEEE Trans. Antennas Propag., vol. 56, no. 2, pp. 405–415, Feb. Antennas Propag., vol. 136, no. 5, pp. 390–398, Oct. 1989, doi: 10.1049/
2008, doi: 10.1109/TAP.2007.915442. ip-h-2.1989.0070.
[29] J.-C. S. Chieh, A. Phipps, and E. Yeo, “A substrate-less current [47] T. Teshirogi, M. Tanaka, and W. Chujo, “Wideband circularly po-
mode combining power module utilizing ridge gap waveguide,” larised array antennas with sequential rotations and phase shift of
in Proc. 50th Eur. Microw. Conf. (EuMC), 2021, pp. 751–754, doi: elements,” in Proc. Int. Symp. Antennas Propag. (ISAP), Tokyo, Japan,
10.23919/EuMC48046.2021.9338074. 1985, pp. 117–120.
[30] A. Y. Chen, Y. Baeyens, Y. Chen, and J. Lin, “An 83-GHz high-gain [48] R. R. George, A. T. Castro, and S. K. Sharma, “Comparison of a
SiGe BiCMOS power amplifier using transmission-line current- four stage sequentially rotated wideband circularly polarized high
combining technique,” IEEE Trans. Microw. Theory Techn., vol. 61, gain microstrip patch array antennas at Ku-band,” in Proc. 11th Eur.
no. 4, pp. 1557–1569, Apr. 2013, doi: 10.1109/TMTT.2013.2248376. Conf. Antennas Propag. (EUCAP), Paris, France, 2017, pp. 2307–2311,
[31] M. Bohsali and A. M. Niknejad, “Current combining 60GHz doi: 10.23919/EuCAP.2017.7928343.
CMOS power amplifiers,” in Proc. Radio Freq. Integr. Circuits Symp., [49] A. Chen, Y. Zhang, Z. Chen, and C. Yang, “Development of a
Boston, MA, USA, 2009, pp. 31–34, doi: 10.1109/RFIC.2009.5135483. Ka-band wideband circularly polarized 64-element microstrip
[32] G. R. MacCartney and T. S. Rappaport, “73 GHz millimeter wave antenna array with double application of the sequential rotation
propagation measurements for outdoor urban mobile and back- feeding technique,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp.
haul communications in New York City,” in Proc. IEEE Int. Conf. 1270–1273, Nov. 2011, doi: 10.1109/LAWP.2011.2175433.
Commun., Sydney, NSW, Australia, 2014, pp. 4862–4867, doi: 10.1109/ [50] P. Sharma and K. Gupta, “Analysis and optimized design of
ICC.2014.6884090. single feed circularly polarized microstrip antennas,” IEEE Trans.
[33] “E-Band drives trading markets’ need for speed with new ultra-low Antennas Propag., vol. 31, no. 6, pp. 949–955, Nov. 1983, doi: 10.1109/
latency radio for HFT customers,” Bus. Wire, 2012. [Online]. Available: TAP.1983.1143162.
https://www.businesswire.com/news/home/20121102005175/ [51] J.-C. S. Chieh et al., “Development of flat panel active phased
en/E-Band-Drives-Trading-Markets%E2%80%99-Need-for-Speed array antennas using 5G silicon RFICs at Ku- and Ka-bands,”
-With-New-Ultra-Low-Latency-Radio-for-HFT-Customers IEEE Access, vol. 8, pp. 192,669–192,681, Oct. 2020, doi: 10.1109/AC-
[34] C. Bonefazi, M. Ruggieri, and A. Paraboni, “The DAVID mission CESS.2020.3032841.
in the heritage of the SIRIO and ITALSAT satellites,” IEEE Trans. [52] “AC-27 CDL antenna system, data sheet,” Honeywell, Phoenix,
Aerosp. Electron. Syst., vol. 38, no. 4, pp. 1371–1376, Oct. 2002, doi: AZ, USA, 2016. [Online]. Available: https://aerospace.honeywell.
10.1109/TAES.2002.1145759. com/content/dam/aerobt/en/documents/learn/products/satellite
[35] B. Kim, A. Tran, and J. Schellenberg, “Full W-band power am- -communications/broucheres/N61-1551-000-000-AC-27CDL-bro.pdf
plifier/combiner utilizing GaAs technology,” in Proc. IEEE MTT-S [53] K. K. W. Low, T. Kanar, S. Zihir, and G. M. Rebeiz, “A 17.7–20.2-
Int. Microw. Symp. (IMS), Montreal, QC, Canada, 2012, pp. 1–3, doi: GHz 1024-element K-band SATCOM phased-array receiver with
10.1109/MWSYM.2012.6259686. 8.1-dB/K G/T, ±70° beam scanning, and high transmit isolation,”
[36] J. Schellenberg, A. Tran, L. Bui, A. Cuevas, and E. Watkins, “37 IEEE Trans. Microw. Theory Techn., vol. 70, no. 3, pp. 1769–1778, Mar.
W, 75–100 GHz GaN power amplifier,” in Proc. IEEE MTT-S Int. 2022, doi: 10.1109/TMTT.2022.3142275.
Microw. Symp. (IMS), San Francisco, CA, USA, 2016, pp. 1–4, doi: [54] K. K. W. Low, S. Zihir, T. Kanar, and G. M. Rebeiz, “A 27–31-GHz
10.1109/MWSYM.2016.7540195. 1024-element Ka-band SATCOM phased-array transmitter with
[37] B. Glance and R. Trambarulo, “A waveguide to suspended strip- 49.5-dBW peak EIRP, 1-dB AR, and ±70° beam scanning,” IEEE
line transition (Letters),” IEEE Trans. Microw. Theory Techn., vol. 21, Trans. Microw. Theory Techn., vol. 70, no. 3, pp. 1757–1768, Mar. 2022,
no. 2, pp. 117–118, Feb. 1973, doi: 10.1109/TMTT.1973.1127938. doi: 10.1109/TMTT.2021.3139911.
[38] A. R. Kerr, “Elements for E-plane split-block waveguide circuits,” ALMA [55] G. Gültepe and G. M. Rebeiz, “A 256-element dual-beam polar-
Memorandum, vol. 381, pp. 1–9, Jul. 2001. [Online]. Available: http:// ization-agile SATCOM Ku-band phased-array with 5-dB/K G/T,”
www.mma.nrao.edu/memos/html-memos/alma381/memo381.pdf IEEE Trans. Microw. Theory Techn., vol. 69, no. 11, pp. 4986–4994,
[39] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Nov. 2021, doi: 10.1109/TMTT.2021.3097075.
Impedance-Matching Networks, and Coupling Structures. New York, [56] G. Gültepe, T. Kanar, S. Zihir, and G. M. Rebeiz, “A 1024-element
NY, USA: McGraw-Hill, 1964. ku-band SATCOM phased-array transmitter with 45-dBW single-
[40] “MAAP-011106 datasheet,” MACOM, Lowell, MA, USA, 2016. polarization EIRP,” IEEE Trans. Microw. Theory Techn., vol. 69, no. 9,
[Online]. Available: https://cdn.macom.com/datasheets/MAAP- pp. 4157–4168, Sep. 2021, doi: 10.1109/TMTT.2021.3075678.
011106.pdf

May 2023 73
IMS2023 Guest Editor’s
Welcome
Fritz Raab

T
his special issue of IEEE Micro- low associates and find out what new areas of technology. We have members
wave Magazine is focused on technology and innovative techniques from industry, academia, and govern-
the International Microwave are in the works. ment. So, our feature articles include
Symposium (IMS) for 2023, which The special issue has two main parts: overviews of technology from
will be held in sunny San Diego, CA, • feature articles
USA, from 11 to 16 June. The steering • short articles (also called “columns”).
committee and the engineers of the The feature articles highlight tech-
area are excited to help you plan your nology from the San Diego area and
attendance at IMS2023. It is always a conference themes. The short articles
great chance to network with our fel- (“columns”) present the many activities
and events planned for your interest
and enjoyment.
Fritz Raab (fraab@gmrr.biz) is with
Green Mountain Radio Research, Boone, Feature Articles
IA 50036 USA. San Diego has become a major center
Digital Object Identifier 10.1109/MMM.2023.3242521
of RF and microwave technology. The
Date of current version: 6 April 2023 conference themes highlight several

74 May 2023
• the San Diego area Columns They also recognize behind-the-scenes
• the conference themes The short articles, or “columns,” give the activity that makes the conference run.
• industry, academia, and government. organizers of the activities and events a The columns include:
Unfortunately, space does not per- chance to tell you about their plans for • Welcome a nd Over view f rom
mit us to cover everything we would these events at IMS2023. These columns general chairs John Wood and
like, but I think we have a fine combi- can serve as a guide to the many activi- Gail Collins
nation of four papers with something ties in IMS and will help you to decide • Technical Program and Sessions
for everyone no matter your position how best to spend your limited time. by Technical Program Committee
in the field:
• “The Challenge to Develop the Per-
fect Flat Panel Satcom Terminal”
• “Integrated Circuits for Wireless
Communications: Research Ac-
tivities at UCSD”
• “An Overview on RF and Micro-
wave Research in Latin America”
• “RF and Microwave Technology
Development at the Naval Infor-
mation Warfare Center.”
Regrettably, two other papers from
industry could not receive clearance
in time.

May 2023 75
Photo Courtesy of the San Diego Convention Center. Photo Courtesy of the San Diego Convention Center.

chairs Dominique Schreurs and • Teaser Tutorials • Young Professionals Reception


Peter Zampardi • Paper Competitions (Best Paper, • Women in Microwaves Reception
• Focus/Special Sessions Industry/Advance Practice, and • Ham Radio Social
• Connected Future Summit Early Career) • Reviewers’ and Publications
• Workshops • Student Design Competition Reception
• Technical Lectures • Three Minute Thesis Competition • Awards and Banquet
• Panel and Rump Sessions • Plenary Session, Receptions, • IEEE RF Integrated Circuits
• Boot Camps and Closing Session Symposium
• Automatic Radio Frequency Tech-
niques Group
• Exhibition
• MicroAps Seminars
TAP. • Industry Focus, including work-

CONNECT. shops
• Project Connect
NETWORK. • Student Volunteers

SHARE. • Ph.D. Student Sponsorship


• Historical Exhibit
• Marketing, Publicity, Publications,
and Promotions
• Guest and Hospitality Suite.
Connect to IEEE–no matter where
you are–with the IEEE App. Closing Comments
Stay up-to-date with the latest news An unexpected turn of events gave me
the job of guest editor. It has been a real
Schedule, manage, or join pleasure to work with people from the
meetups virtually many different committees that make
Get geo and interest-based IMS work so well. I hope you enjoy the
recommendations special issue.
The pandemic, followed by IMS2022,
Read and download your IEEE magazines certainly showed the desirability of
Create a personalized experience in-person conferences. IMS attendees
come from industry, academia, and
Locate IEEE members by location, government and range from graduate
interests, and affiliations students to experts. It is a great chance
for everyone to interact and to exchange
ideas. We expect to have a great con-
ference in 2023, and I look forward to
meeting and to seeing you there.

76 May 2023
IMS2023 General Chairs’
Welcome: Coolest Ideas
Under the Sun
John Wood and Gayle Collins

W
elcome to San
Diego—city of sun,
sa nd, surf, m icro-
breweries, and a lot of RF and
microwave activity.
It is our pleasure to invite
you to the 2023 IEEE Interna-
tional Microwave Symposium
(IMS2023), the center pie ce
of a m ic rowave week that
includes the RF Integrated
Circuits (RFIC) Symposium
and the Automatic RF Tech-
n iq u e s Gr oup ( A R F T G )
microwave measurements
con fer e nce. In addition, we Figure 1. San Diego Bay, showing the Convention Center in the center of the photograph and
will also have a one-day “Con- the Hilton Bayfront Conference Hotel on the right, by the water.

nected Futures” summit on 5G/6G gineers from academia, industry, and


John Wood (john.wood@ieee.org) is with
Wolfspeed, Durham, NC 27709 USA. Gayle technologies for future wireless net- government. This year’s event takes
Collins (gayle_collins@ieee.org) is with works, cosponsored by the IEEE Com- place from Sunday 11 June through
Obsidian Technology, Raleigh, NC 27624 USA. munications Society. The IMS week is Friday 16 June and will be held in the
John Wood and Gayle Collins are the chairs the world’s largest technical confer- San Diego Convention Center, which
of IMS2023.
ence and industry exhibition for RF, is on the Bayfront in San Diego (Figure 1).
Digital Object Identifier 10.1109/MMM.2023.3242840 microwave, millimeter-wave, and tera- We have received almost 750 paper
Date of current version: 6 April 2023 hertz researchers and practicing en- submissions for IMS2023—back to

May 2023 77
prepandemic levels—and our techni- it to the Super Bowl again, and the begins with workshops on Sunday
cal program will feature the “Coolest Padres have finished at the bottom and Monday, with the industry show-
Ideas Under the Sun”: don’t miss it. another seven times. As an example case and opening plenary session on
The last time that IMS was held in of how some things Monday after noon,
San Diego was 1994: wow, that was a have changed dramati- We have followed by the wel-
long time ago! Just to remind you of a cally, just think of how come reception; IMS
few things, that may (or may not) have the mobile phone has
received almost technical sessions run
changed the world, in 1994 changed since 1994: 750 paper from Tuesday through
• the pilot show of Friends aired nowadays, a smart- submissions for Thursday, with each
• The FIFA World Cup was held in phone is an essential day including a spe-
the United States accessory for the con-
IMS2023—back cific technical topic
• Amazon was founded by Jeff nected lifestyle, but, to prepandemic or theme. On Friday,
Bezos back in 1994, the mo- levels—and there are more IMS
• Harry Styles born bile phone was much work shops a nd t he
• PlayStation was first released chunkier and less,
our technical ARFTG Symposium,
• Al Gore presented at the Super- well, er, mobile. Take a program will which will be held in
highway Summit look at Figure 2, which feature the the Hilton Bayfront
• Nelson Mandela was elected shows a typical mobile Hotel, adjacent to the
president of South Africa phone circa 1990, with
“Coolest Ideas Convent ion Center.
• the first internet radio broadcast a Mini car shown life Under the Sun”: The general mes-
was done by University of North size for comparison. don’t miss it. sage for the microwave
Carolina WXYC. No, only joking—the week is a cont i nued
More locally to San Diego, Mini is about six times larger than is emphasis on RF and microwave sys-
• the San Diego Chargers got to Su- shown in the figure. tems and applications, with all of the
per Bowl XXIX conferences supporting this idea.
• the Padres finished at the bottom What Do We Have in Store We are following the example f rom
of the National League West for You at IMS2023? IMS2022 of havi ng topical techni-
• 14 (yes, 14!) inches of snow fell in Overall, the microwave week will be cal themes highlighting each day
Southern California comfortably familiar, starting with of IMS. Each theme is supported by
• Pink Floyd played in San Diego the RFIC Symposium on Sunday with focused technical sessions, a key-
on their “Division Bell” tour. workshops and the reception, fol- note speaker, a panel session, and
A lot has changed since then. lowed by technical sessions on Mon- a technical lecture. There will also
However, the Chargers have not made day and Tuesday. The IMS program be several workshops related to the
theme topics.
On Tuesday, the highlight theme is
“Artificial Intelligence and Machine
Learning (AI/ML) Technologies for
Microwaves,” sponsored by the Future
Directions Committee of the IEEE
Microwave Theory and Technol-
ogy Society (MTT-S). This theme will
include keynote speaker Prof. Linda
Katehi. There is also the Connected
Futures Summit on Tuesday. This is
a conference within a conference, co-
sponsored by the IEEE Communica-
tions Society, and focuses on strategic
and tactical developments in 5G/6G
technologies for future wireless com-
munication systems, with several in-
vited speakers.
Wednesday’s big theme is “Space
System s.” System s a nd compo -
Figure 2. The MicrotacTAC Elite, a very modern mobile phone for its time, compared nents for deployment in space are
with the Mark 1 Mini for size. often not cutting-edge research but

78 May 2023
are exemplars of excellent engineer- IMS2023 and Industry nical leaders from industry, and they
ing practice and implementation. At IMS2023, we are encouraging will be providing an overview of the
Additionally, Wednesday’s track will greater participation by industry. latest developments in their topics.
shine a spotlight on model-based We will host an In- Also new in IMS2023
systems engineering (MBSE). MBSE du st r y Showc a s e, is the “Early Career”
brings several modeling approaches where authors of the
Systems and Best Paper award, en-
and simulation tools together to best technical papers components for couraging younger
describe the complete system and its from industry are en- deployment in engineers in industry
operating environment. This is a rela- couraged to present to publish their work.
tively new area for microwave engi- their work in a poster
space are often
neering, and we are pleased to high- a nd demo s e s sion, not cutting-edge IMS2023: Diversity
light this at IMS2023. which will be held research but and Inclusion
The theme on Thursday is “RF and immediately prior to IMS2023 is pleased
Microwave Technology in Biomedi- the opening plenary
are exemplars to have the new posi-
cal Applications,” reflecting the session on Monday. of excellent tion of “humanitarian
strong academic and industrial This will give all at- engineering chair” on our Steering
interest in biomedical systems in the tendees the opportu- Committee to motivate
San Diego area. nity to see the latest
practice and interest in applying RF
Additionally, we will have a “Sys- results and projects implementation. and microwave tech-
tems Pavilion” in the industry ex- in industry, with t he nology to humanitar-
hibition. This pavilion will have opportunity to meet the authors ia n ef for t s. A good example of this
demonstrations of satellite hardware and discuss their work. is the IEEE “MOVE” trucks, which are
by finalists of the MTT-S Satellite De- New for 2023 will be Industrial deployed to natural disaster sites as
sign Competition “MTT-Sat,” demon- Keynote Speakers, who will provide a communications center, providing
strations of applications of MBSE, and the kickoff presentations on the ma- emergency radio and Internet links
exhibitors focused on all of the confer- jor technical themes of IMS, including when local infrastructure is broken.
ence systems themes. filters; devices and technology; power We will have a local San Diego-based
amplifiers; monolithic microwave in- MOVE truck onsite at IMS2023. Our
tegrated circuits; wireless systems; humanitarian chair is Timothy Lee,
and the highlighted themes of AI/ IEEE Region 6 director, who has been
ML, biomedical applications, and a leader in the IEEE humanitarian
MBSE. The speakers are invited tech- initiative for some time.

Figure 3. The Gaslamp Quarter, the


social center of San Diego, is across the
street from the Convention Center.

Figure 5. The Hotel del Coronado, just across the bay from the Convention Center, has
starred in several movies and has one the finest beaches in the Unites States.
Figure 4. Cool surfing Woody, San
Diego style! (continued on page 102)

May 2023 79
The IMS2023 Technical
Program Encourages
Industry Participation
Dominique Schreurs and Pete Zampardi

W
e are honored to serve the
International Microwave
Symposium (IMS) and IEEE
Microwave Theory and Technology
Society (MTT-S) community as the
Technical Program Committee (TPC)
cochairs for IMS2023, in San Diego,
CA, USA. Working with the TPC team,
we developed a program that should
have something for everyone.
For IMS2023, the call for papers

IMAGE LICENSED BY INGRAM PUBLISHING


a nd the Tech n ical Paper Review
Committee (TPRC) subcommittees
have been reorganized to provide
some balance to the number of sub-
mitted papers for each topic area
and to emphasize the transition to
systems. Two new subcommittees,
Subterahertz (THz) and THz Systems
and Model-Based System Engineering, Connected Futures Summit (formerly
emphasize this. the 5G Summit) along with MTT-S
Dominique Schreurs (dominique.schreurs@ This year, we continue to tie in and Future Directions Day on artificial
kuleuven.be) is with KU Leuven, 3001 Leuven, increasingly highlight systems-ori- intelligence (AI)/machine learning
Belgium. Pete Zampardi (zampardi@ieee.org) is ented design and applications through- (ML). On Wednesday, space will take
with Qorvo, Newbury Park, CA 91320 USA.
out the conference theme days. On center stage along with the growing
Digital Object Identifier 10.1109/MMM.2023.3242768 Tuesday, wireless communications area of model-based system engineer-
Date of current version: 6 April 2023 will be featured with the returning ing. Finally, RF/microwave technology

80 May 2023
in biomedical applications will be the
TABLE 1. Paper submissions by subcommittee.
theme for Thursday. Since IMS is an
Number of international conference, we are excited
Submitted to have microwaves in Latin America
Subcommittee Papers as a conference theme this year.
1. Field analysis, guided waves, and computational electromagnetics 24
2. Circuit and system CAD algorithms 16
For IMS2023,
3. Instrumentation and techniques for guided and over-the-air measurements 33
we involved the
4. Planar passive components and circuits, excluding filters 24
MTT-S technical
5. Planar passive filters 19
committees in
6. Integrated passive circuits and filters 25
the development
7(a). Nonplanar passive components and circuits, excluding filters 13
of this
7(b). Nonplanar passive filters 24
8. Tunable passive circuits and active filters 34
year’s TPRC
9. Microwave acoustic, ferrite, ferroelectric, phase change, and 32
subcommittee
microelectromechanical system components topics and
10. Packaging, multichip module, and 3D manufacturing technologies 29 evaluation
11. Semiconductor device technologies and modeling 24 of workshop
12. High-frequency, very high-frequency, and ultrahigh-frequency circuits, 11 proposals.
technologies, and applications
13. Signal generation, modulators, and frequency conversion 20
For IMS2023, we involved the
14. Microwave and millimeter-wave low-noise amplifiers, variable-gain 26 MTT-S technical committees (TCs) in
amplifiers, and receivers the development of this year’s TPRC
15. Low-power (<10 W) amplifiers, below 30 GHz 17 subcommittee topics and evaluation of
workshop proposals. They also helped
16. High-power (>0 W) RF and microwave amplifiers, below 30 GHz 16
identify “industry keynote” speakers,
17. Millimeter-wave and THz power amplifiers 21 as we will discuss in the following.
18. Linearization and transmitter techniques for power amplifiers 18 We would like to thank all the TCs for
19. Mixed-signal, wireline, and signal shaping circuits 12 their contributions.
We have paid special attention to
20. Integrated transceivers and phased-array chips for beamformers 21
enhancing industry participation in
and imaging
IMS2023. This started by evaluating
21. THz and photonic integrated circuits 13 the makeup of the TPRC invitation
22. Wireless power transmission 25
23. Sensing and RFID systems 23
24. Microwave and millimeter-wave wireless subsystems and systems 25 Other
25. Radar and imaging systems 30
26. Airborne and space systems 17
27(a). Megahertz (MHz)-to-THz devices for biological and health-care 24 North Asia
applications America
27(b). MHz-to-THz systems for biological and health-care applications 26
28. AI/ML for RF to millimeter wave 30
29. Quantum devices, circuits, and systems 21 Europe

30. Model-based system engineering 9


31. Sub-THz and THz Systems 19 Latin and
South America
32. Invited papers 21
Total 742
Figure 1. Submissions by continent.

May 2023 81
250

206
200
Number of Submissions
150

100 84
67
49
50 37 33
29 2723 23
21 17
1312 8 7 7 6 5 5 5 4 4 4 4 3 3 3 2 2 2 2 2 1 1 1 1 1 1 1 1 1 1 1 1
0

Sweden
Brazil

Saudi Arabia
Israel

Australia
Ukraine
United States
Mainland China
Germany
Canada
Taiwan
South Korea
France
Spain
Italy
Japan
United Kingdom
India
The Netherlands
Belgium
Austria

Turkey
Singapore
Mexico
Finland
Ireland
Portugal

Hong Kong
Poland
Romania
Norway
Egypt
Ecuador
Bangladesh

Tunisia
Switzerland
South Africa
North Korea
Antilles
Peru
Malaysia
Iceland
Greece
Denmark
Phillippines
Figure 2. Submissions by country.

list and closely monitoring the bal- umn. These talks will As something Table 1 shows the pa-
ance among industry, academia, and be delivered by indus- per submissions by
government in subcommittee assign- try experts providing new this year, subcommittee.
ments, resulting in a very diverse 168 an overview of and please keep This year’s submis-
unique affiliations represented in the look at future direc- your eyes open sions reflect the true
TPRC. The makeup of the TPRC is tions of a given area. international nature
58% from academia, 35% from indus- The Industry Showcase for information of IMS, with similar
try, and 7% from government and re- acknowledges the most on “teaser nu m b er s of pap er s
search institutes, which is very similar outstanding industry tutorials” that from North America,
to last year. To encourage increased papers, as recommend- Asia, and Europe (Fig-
industry participation in the technical ed by the TPRC sub- will broadcast ure 1). A closer look,
sessions, “industry keynote” talks will committees. Finally, in prior to the Figure 2, highlights
kick off many of the technical tracks. addition to IMS’s three conference. this diversity of the
We r e c e ive d nom i n at io n s f r om long-standing paper countries/regions (ap-
20 TCs and have a total of 17 con- competitions (best student, best indus- proximately 45) by showing the num-
firmed speakers at the time of this col- try paper, and best advanced practice ber of submissions by country/region.
paper), a new competition for early The submissions by type (academia,
career professionals (early career pa- government/lab, and industry) are pro-
Government, 2% per) will take place this year. This vided in Figure 3 and are very similar
new competition is open to authors to previous years.
from industry and government agen- As something new this year, please
Industry, cies as well as postdoctoral candidates keep your eyes open for information
19% with fewer than 10 years of profes- on “teaser tutorials” that will broad-
sional experience and who are not cast prior to the conference (see Matt
full-time students or faculty members. Ozalas’ article in this issue for more
This new competition drew 135 en- information). These tutorials will be
Academic,
79% tries (14% of the overall submissions), open to conference registrants only.
while the other competitions also con- None of these activities would be
tinue to thrive. possible without the dedication and
This year, the conference received support of our IMS2023 volunteers and
742 paper submissions from 45 differ- professional staff. We look forward to
Figure 3. Submissions by type (Academic, ent countries/regions and represent- seeing you in beautiful San Diego.
Government, and Industry). ing 390 distinct submitter affiliations.

82 May 2023
11-16 June 2023

IMS
San Diego California
San Diego Convention Center
Connecting Minds. Exchanging Ideas.

Reserve Your Spot to Showcase


Your Coolest Ideas Under the Sun

Exhibit at IMS2023
Meet with RF & microwave professionals from across the globe
Connect with new and existing customers
Showcase your company’s innovative solutions, products and services in action

The IMS2023 Exhibits & Sponsorship Guide is Now Available. Check


out the many sponsorship opportunities to maximize your presence and
boost your brand.

Book Your Booth Space Today


ims-ieee.org
Digital Object Identifier 10.1109/MMM.2023.3243443
IMS2023 Focus and
Special Sessions
Alessandra Costanzo and Anding Zhu

T
he 2023 IEEE Microwave The-
ory and Technology Society
(MTT-S) International Micro-
wave Symposium (IMS2023) will offer
a variety of focus and special sessions
(Figure 1) in addition to the regular
technical sessions. The focus and spe-
cial sessions are aimed to present spe-
cific emerging technical topics that are
of high interest to the RF and micro-
wave community. In particular, this
year, we will focus on artificial intelli-
gence (AI) and machine learning (ML)
techniques applied in microwaves,
emerging gallium nitride (GaN) tran-
sistor technologies, millimeter-wave
(mm-wave) sensing, and biomedical
radar. We also have a special session
on “RF and Microwave Research in Figure 1. The 2022 sessions on Tuesday.
Latin America.”

AI/ML Sessions Future Directions Day Initiative. We


With the remarkable advances in the will kick off with a special session, “AI
Alessandra Costanzo (alessandra.costanzo@
available computational power over and ML Technologies for Microwaves,”
unibo.it) is with University of Bologna, 40136
Bologna, Italy. Anding Zhu (anding.zhu@ucd. the past years, AI and ML have become organized by the MTT-S AI/ML Work-
ie) is with University College Dublin, Belfield, the forefront of R&D in a wide and di- ing Group. This special session includes
Dublin 4, Ireland. verse range of fields. At IMS2023, we four distinguished speakers who
Digital Object Identifier 10.1109/MMM.2023.3242802 will feature AI/ML as a special the- will present exciting new developments
Date of current version: 6 April 2023 matic area, supported by the MTT-S in AI/ML-based technologies, from

84 May 2023
spectrum sensing and advanced pack- and monolithic microwave integrated monitoring and detecting the physi-
aging to end-to-end design automation circuits toward 5G/6G wireless and ological conditions and locations of
and electronic design automation tools. U.S. Department of Defense (DoD) ap- human targets. Owing to their non-
This event is designed to introduce the plications with speakers from both invasive and noncontact characteris-
AI/ML Day at IMS2023, with high-cali- industry (Intel and Qorvo) and DoD tics, biomedical radar sensors result
ber presentations aimed at inspiring and (BAE, Northrop Grumman, HRL) in minimal discomfort and can avoid
engaging the IMS audience. companies. Recent advances in mm- sanitation issues for the targets un-
After the special session, we will have wave GaN devices and circuits for der detect ion. Fu rthermore, due
a focus session, “Artificial Intelligence defense and advanced wireless appli- to the recent COVID-19 pandemic,
and Machine Learning Techniques cators are described. Scaled GaN on there has been an ever-growing de-
for Signal-Power Integrity.” This focus silicon, graded-channel mand for noncontact
session exposes advanced AI and ML c o n c e p t s , a n d mul- The level and portable real-time
methodologies as applied to the diverse tichannel devices as vital sign and physi-
modeling, design, and optimization as- w e l l a s c i rc u i t a n d
of research olog ic mon itor i ng
pects of signal integrity and/or power module implementa- activities on RF de v ic e s. T h e f o c u s
integrity. It includes the following, tions are included. and microwave session will introduce
among other aspects: some recent advances
• reinforcement learning-based Microwave and
engineering, in biomedical radar
methodologies for optimum pow- mm-Wave Sensing both in terms sen si ng tec h n iques
er delivery networks, considering Techniques and of quantity and and applications in the
multiple voltage domains Applications
• dedicated Bayesian surrogates for Characterizing biolog-
quality, has been microwave and mm-
wave frequencies.
efficient and accurate representa- ical materials with mi- significantly
tion of realistic high-speed digital crowaves is of interest increased in RF/Microwave
channels
• innovative knowledge-based neu-
for various application
fields, such as health
the last years in Research
Latin America
in

romodeling approaches for ran- monitoring, medicine, Latin America. The level of research
dom jitter under stochastic power biology, chemistry, and activities on RF and
supply noise the food industry, for instance. This is microwave engineering, both in
• Gaussian process regression due to the attractive characteristics of terms of quantity and quality, has
metamodels to speed up indus- dielectric spectroscopy, while provid- been significantly increased in the
trial postsilicon validation of state- ing label-free and rapid measurements last years in Latin America. Several
of-the-art high-speed link of complementary physical properties indicators confirm that trend, in-
• advanced surrogate-based opti- of matter. This focus session address- cluding the successful implementa-
mization methods of decoupling es the latest developments in mi- tion of the first three editions of the
capacitors in power delivery crowave sensors and techniques for IEEE MTT-S Latin America Micro-
networks. characterizing materials, including wave Con ference, in Puerto Val-
biological ones. Modeling and manu- larta, Mexico; Arequipa, Peru; and
Emerging mm-Wave GaN facturing methods for various sensors Cali, Colombia as well as the con-
Technologies for U.S. and systems are demonstrated with sistent growth of Region 9 MTT-S
Department of Defense and applications including food, wood, memberships during the last years.
5G/6G Applications and structural characterization. At IMS2023, we will host a special
With 6G and mm-wave defense systems session to present advanced RF and
on the horizon, next-generation GaN Recent Advances in Microwave microwave research and develop-
technologies are being developed to re- and mm-Wave Biomedical ment currently undertaken in Latin
place the conventional GaN transistors. Radar Sensing Techniques American countries.
This focus session will cover emerging Over the past decades, biomedical ra-
mm-wave GaN transistor technologies dar sensors have been developed for

May 2023 85
IMS2023 Connected
Future Summit
Debabani Choudhury , Upkar Dhaliwal,
Peiying Zhu, Ashutosh Datta,
and Timothy Lee

T
he IEEE Microwave Theory Next G Summit. The event is held on exchange technology ideas related
and Technology Society Inter- the Tuesday of Microwave Week to to technologies for next-generation
national Microwave Sympo- attract attendees from IMS and IEEE connectivity and use cases. The Con-
sium (IMS) Connected Future Summit Radio Frequency Integrated Circuits nected Future Summit Committee is
has been held since its inception at symposia as well as IMS exhibitors. a part of the IMS Technical Program
IMS2017 in collaboration with the IEEE The day-long summit provides a plat- Committee, and in 2023 includes two
Communications Society (COMSOC). form for academic, government, and members from COMSOC. The Com-
It has evolved from a 5G Summit to a industrial communities to interact and mittee is responsible for selecting

Debabani Choudhury (debabani@ieee.


org) is an IEEE MTT Society Member Connecting the
Thousand Oaks, CA 91362 USA. Upkar Cellular: Evolution
Unconnected With
Dhaliwal (upkar@ieee.org) is a member of 5G Standardization of
LEO Satellite
the IMS2023 Panel Sessions Committee. and Deployment Wi-Fi
Constellations
Peiying Zhu (peiying.zhu@huawei.com) is
with Huawei Technologies Canada Co., Ltd.,
Markham, ON, L3R 5A4 Canada. Ashutosh
Datta (ashutosh.dutta@jhuapl.edu) is with Towards 6G,
Johns Hopkins University Applied Physics Next G
Lab (JHU/APL), Laurel, MD 20723 USA.
Timothy Lee (ttlee@ieee.org) is the current
IEEE Region 6 director and a technical
Future of Connectivity
fellow at The Boeing Company, Huntington
With AI/ML/Quantum
Beach, CA 92647 USA.

Digital Object Identifier 10.1109/MMM.2023.3242913 Figure 1. A vision of a connected future. LEO: low-Earth orbit; AI: artificial
Date of current version: 6 April 2023 intelligence; ML: machine learning.

86 May 2023
timely topics and inviting speakers
to create an agenda. This event has
had sponsorships from the industry
with an encouraging attendance every
year, ranging from approximately
300–400 attendees.
Future Next G 6G networks will
need to deliver a quality of experi-
ence through a seamless integration
of communication, computation, and
artificial intelligence. In addition to
the technology advancements toward
6G IMT2030, the wireless connectivity
landscape is changing rapidly with the
evolution of local area network Wi-Fi
and broadband wireless nonterrestrial
networks (NTNs) satellite networks Figure 2. Upkar Dhaliwal (left) introduces keynote speaker Dessa Bokides of NEOM
based on low-Earth orbit satellite con- at the IMS2022 Connected Future Summit in Denver, Colorado.
stellations (see Figure 1). The Next G
technical specifications are transposed
into standards by the seven regional
Standards Setting Organizations that
form the 3rd Generation Partnership
Pro j e c t towa rd 6G I M T2030 v i a
the International Telecommunication
Union Radiocommunication Sector.
These standards for Next G deploy-
ment, along with R&D of the cellular
technologies, are impacting future
directions of connectivity in coor-
dination with next-generation Wi-Fi
technologies and broadband satel-
lite networks. Figure 3. IMS2022 Connected Future Summit attendees listen to the talk “Spectrum
The IMS2022 Connected Future and Standards for Mobile Communications for the Next Decade,” by Intel’s Reza Arefi
Summit was held on 21 June 2023 in the Denver Convention Center.
in Denver, Colorado. The event fea-
tured four sessions: “The Connected a panel on “Will Flexi b i l it y a n d and academia sharing tech nical
Future”; “Spectrum, Standards, and D ig it a l Bottlenecks Break 6 G?”, fol- knowledge and strategies. The top-
Innovation”; “NextG lowed by a reception ics will include future trends of 6G
N e x t - G e n e r a t i o n Future Next G (see Figure 3). and beyond; standardization of both
Te c h nolo g ie s,” a nd T h e u p c o m i n g cellular and Wi-Fi; broadband wire-
“NextG, What Will 6 G 6G networks IMS2023 Connected less with satellite constellations and
Bring?” (see Figure 2). will need to Future Summit will other high-altitude platforms; ve-
The agenda included deliver a quality be held on 13 June hicle-to-everything technology be-
technical talks on time- 2023 in San Diego, yond 5G; semiconductor, packaging,
ly topics and a keynote of experience California. The Sum- and heterogeneous integration tech-
on smart cities and our through a mit will review core nologies; reconfigurable front ends,
con ne c te d f ut u re, a seamless technologies for fu- system and platform architectures,
fireside chat on NTNs t u r e w i r e l e s s n e t- and test and measurement challenges
with industry execu- integration of wo r k s a l o n g w i t h impacting next-generation connectiv-
tives, a lunchtime pan- communication, their human and soci- ity evolution.
el on “Race to the Next computation, etal impacts. The day- Please join the Connected Future
G—Ride the mmWave lo n g p r o g r a m w i l l Summit during IMS2023 to learn about
or Wave Goodbye!”. and artificial feature experts from the future of connectivity!
The event ended with intelligence. industry, government,

May 2023 87
Workshops
at IMS2023
Pere L. Gilabert and Ethan Wang

A
t the IEEE Microwave Theory
and Technology Society (MTT-S)
2023 International Micro-
wave Symposium (IMS), in San Diego,
CA, USA, there will be three days of
workshops, on Sunday, Monday, and
Friday, to accommodate all properly
selected high-quality workshops. In
San Diego, Fridays are the new Mon-
days, so we strongly believe that the
Friday workshops will also be very

IMAGE LICENSED BY INGRAM PUBLISHING


well attended.
Sunday is dedicated mostly to RF
Integrated Circuits (ICs) Symposium
workshops and joint IMS/RFIC ones,
with the breakdown given in Table 1.
There will be 10 full-day workshops and
six half-day workshops. On Monday,

there will be a total of 12 workshops, with


TABLE 1. The IMS RFIC and ARFTG
Pere L. Gilabert (plgilabert@tsc.upc.edu) is four joint workshops with the Automatic
workshops.
with the Signal Theory and Communications RF Techniques Group (ARFTG). Eight
Department, Universitat Politècnica de of the workshops on Monday will be Sunday RFIC 9
Catalunya (UPC)-Barcelona Tech., 08860, full day, while four will be half day. Joint IMS/RFIC 4
Castelldefels, Spain. Ethan Wang (ywang@
Finally, on Friday, there will be two IMS 3
ee.ucla.edu) is with the Electrical and Computer
Engineering Department, University of full-day workshops and three half- Monday IMS 8
California at Los Angeles, Los Angeles, day workshops. Workshop details Joint IMS/ARFTG 4
CA 90095 USA. can be found in Table 2 (check for
Friday IMS 5
Digital Object Identifier 10.1109/MMM.2023.3242803 updated info at https://ims-ieee.org/
ARFTG: Automatic RF Techniques Group.
Date of current version: 6 April 2023 technical-program/workshops).

88 May 2023
LYLE PHOTOS

LYLE PHOTOS
(a) (b)

LYLE PHOTOS
(c)

Figure 1. Workshop sessions at IMS2022. a) RFIC2022 workshop presentation, b) IMS2022 workshop panel session, and
c) IMS2022 workshop presentation.

T h i s yea r, we re - The topics of p r o p o s a l . MTT-S ics, such as the design and lineariza-
turned to an old tradi- TCs did a great job re- tion of power amplifiers (PAs), to
tion that consisted of the workshops viewing all workshop new areas for the MTT-S, such as
involving MTT-S techni- range from proposals, and their microwave solutions for quantum
cal committees (TCs) in the traditional feedback was very computing. Other nonoverlapping
review of the workshop useful to workshop or- topics include 6G, phased ar rays,
proposals. The idea was microwave ganizers to refine their m i l l i me ter-wave (mm-wave) and
to avoid uncomfortable topics, such as proposals and make sub-terahertz (THz) tech nolog ies,
last-minute petitions for the design and them more appealing acoustic filters, RF sensing appli-
e n d o r s e m e n t f r om to the audience. As a cations for biomedical applications
workshop organizers to linearization results, 33 high-quality and more. We are excited by the
TCs. T herefore, when of power workshops have been quality of the proposed workshops
submitting their pro- amplifiers, to selected out of the and hope you will find the time
posal, work shop or - 49 original workshop to at tend a few of t hem, bene f it
ganizers were asked to new areas for proposals. from the excellent speakers, and
select at least one TC the MTT-S. The topics of the challenge them with some excel-
with affinity with the wo rk s h o p s r a n g e lent questions.
topics addressed in the wo rk s h o p from t r a d it io n a l m i c r owave top- Nos vemos en San Diego en Junio!

May 2023 89
TABLE 2. The IMS workshops.

Day—Conference Code Duration Title/Organizers/Abstract


Sunday—RFIC WSA Half day Recent Advancements in Ultralow-Power (ULP) Wireless Communication
Technology
Sai-Wang Tam, Yao-Hong Liu, Oren Eliezer, and Minyoung Song
Abstract: ULP wireless communication technology provides many unique features over
conventional wireless communication, such as high energy efficiency, low cost, a small
form factor, large-scale deployments, reconfigurability, and a simple architecture. This
workshop will bring together experts from academia and industry to highlight recent
works and applications in this exciting technology. In the first topic, we are going to review
the industry impacts on the most successful and large-scale commercialization using
ULP wireless communication technologies, such as RFID and near-field communication.
After that, we are going to shift our focus to recent research advancements on using
RF backscattering techniques in reconfigurable intelligent surfaces and wireless local
area network/Bluetooth connectivity solutions. In the final topic of this workshop, we
will discuss recent advancements from both the medical industry and academia on
biomedical implants, with technologies such as co-optimizing antennas and RFIC to
miniature radio module volume. Unconventional wireless propagation methods will also
be introduced, such as body channel communication, magnetoelectric applications,
ultrasound, and so on.
Sunday—RFIC WSB Half day A Deep Dive Into Circuit Design for Wireline/Optical and Wireless Transceivers:
Commonalities and Differences
Mahdi Parvizi and Bahar Jalali
Abstract: This workshop presents the audience with the similarities and differences
between wireless and wireline/optical along with circuit design innovations that
enable the next generations of wireline and wireless communications. There
are undeniable
similarities between the electronic building blocks in wireline and wireless transceivers.
On the transmitter side, the linearity of both power amplifiers (PAs) and modulator
drivers is the subject of extensive research. On the receiver side, the design of low-noise
low-power front ends inspired novel ideas in the design of low-noise amplifiers (LNAs)
and transimpedance amplifiers. High-speed data converters, clock generation, and
distribution are the essential parts of both wireless and wireline transceivers.
Sunday—RFIC WSC Full day 6G Challenge: Overpass RF Bandwidth Limitation to Reach 100 Gb/s to 1 Tb/s
Didier Belot, Hao Gao, and Pierre Busson
Abstract: Wireless systems with small RF bandwidths, high-order modulations, and
advanced signal processing techniques have reached a saturation point. They run
into spectrum saturation and interference troubles under the sub-6-GHz frequency
band. The International Telecommunication Union announced the opening of
275–450 GHz for superhigh-data-rate communication applications. 5G is becoming
a reality worldwide, and 6G is in a championship worldwide. The complete paradigm
change of this new generation implies the evolution from today, and one of the
elements to be defined will be the revolution in transceiver functions: the data
rate is targeted beyond 100 Gb/s, and the carrier frequency to support such data
transfer will be in the combination of millimeter-wave (mm-wave) and subterahertz
(THz). In 6G, the mm-wave/sub-THz front end has challenges in bandwidth, power
consumption, antenna coupling, array integration, and so on. In this workshop, we
are also dedicated to silico-based building blocks to present realizations targeting 5G
to 6G evolution.
(Continued)

90 May 2023
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Sunday—RFIC WSD Half day Electromagnetic (EM) Circuit Codesign and Conflation of Passive/Active Circuits
at mm-Wave Frequency
Vadim Issakov and Ruonan Han
Abstract: The integration of passive EM structures and, particularly, the integration
of antennas on silicon (Si) becomes feasible at frequencies above 100 GHz, due to
wavelength-related size reduction. The goal of this workshop is to give an inspiration
on the various novel circuit techniques relying on the conflation of passive and active
devices. We discuss how to realize passive on-chip components, such as transformers,
coupler baluns, and antennas, and how to combine them with the active circuitry.
Furthermore, antennas can be codesigned synergistically with active circuits to realize
novel hybrid antenna–electronics with “on-radiator” and near-field functions, such as
power combining/splitting, impedance scaling/filtering, active load modulation, noise
cancellation, and reconfigurability. A significant research challenge is the application of
suitable multiphysics simulation tools and codesign/co-optimization methodologies.
This requires 3D full physics solutions for EM simulations. In this workshop, we will
discuss emerging techniques for on-chip mm-wave active/passive circuit codesign and
applications of these new techniques.
Sunday—RFIC WSE Half day Fully Depleted (FD)-Si-on-Insulator (SOI) CMOS Energy-Efficient 5G and Internet
of Things (IoT) Design Techniques and Related Technology
Wu Wanghua and Andreia Cathelin
Abstract: Thanks to the extended body biasing feature, the FD-SOI process has
enabled new system and circuit design techniques to improve RF and mm-wave system
performance drastically. Tremendous industry collaboration efforts have committed to
taking FD-SOI to higher volumes of production to serve the wireless, IoT, and automotive
market in the near future. This workshop includes an overview introductory presentation
followed by four talks on FD-SOI technology and industry design examples for RF and
mm-wave applications. The introduction provides the overview of FD-SOI technology and
its benefits for analog/RF/mm-wave circuit design, focusing on the technology perspective.
The following three talks demonstrate RF and mm-wave system design examples using
FD-SOI technology for 5G infrastructure and user terminals as well as for the ULP IoT. The
final talk reveals the advanced FD-SOI process design road map and what to expect in the
near future.
Sunday—RFIC WSF Full day Enabling Quantum Computing: A Survey of Readout Technologies
Duane Howard, Fabio Sebastiano, and Kevin Tien
Abstract: The continued prevalence of microwave system techniques for interacting with
superconducting transmon qubits and spin qubits has driven a resurgence of interest in
cryogenic circuits and systems for quantum computing. Moreover, quantum computing
applications demand low power, high scalability, and high precision in control signal
generation and readout signal processing, which has led to several recent demonstrations
of innovative system building blocks as well as end-to-end control and readout chains. In
this workshop, we introduce the state of the art in system architectures for qubit control
and readout and then focus on the recent developments in technologies related to qubit
readout. We examine current building blocks found in high-end systems, then look at the
next generation of high-performance cryo-LNA technologies. Finally, we conclude with deep
dives into full readout chain construction and testing and metrology for this very challenging
ecosystem of components.
(Continued)

May 2023 91
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Sunday—IMS/RFIC WSG Full day Fundamentals of RF PAs: From the Basics to Advanced PA Architectures,
Practical Design Aspects, and Process Technologies
Debopriyo Chowdhury and Jennifer Kitchen
Abstract: As the performance bottleneck to most RF wireless transmit systems and a
critical design component to any RF system, RF PA fundamental design knowledge and
realization expertise are highly desired and regarded traits in the RF community. This
workshop will walk you through the various aspects of RF PA design, starting from the
basics and then introducing the most popular advanced PA architectures. PA designers
with decades of experience will provide insight into successfully implementing RF PAs,
including practical design aspects (“tricks of the trade”), accounting for PA memory and
thermal effects (the big “gotcha”), process technologies, and effectively simulating PA
designs to closely predict measured performance. This workshop will provide design
insights not obtained from textbook reading, thus benefiting those who are new to the
RF PA design field and seasoned warriors who would like a rapid refresher.
Sunday—RFIC WSH Full day Integration of 6G Systems, From Baseband to Antenna for 6G Phased Arrays
Gernot Hueber and Shariar Shahramian
Abstract: Wireless networks have enabled socioeconomic growth worldwide and are
expected to further advance to foster new applications, such as autonomous vehicles, virtual/
augmented reality, and smart cities. Due to the limitations of further growth in capacity in
the sub-6-GHz spectrum, mm-wave and sub-THz frequencies are gaining an important role
in emerging 6G and communication-on-the-move applications. In 6G, RF/mm-wave/sub-
THz front ends have challenges in bandwidth, power consumption, antenna coupling, array
integration, and so on, considering the integration technologies and packaging challenges. 6G
coverage from sub-10 GHz to high frequency and the complexity of systems are increasing,
which demands implementations in the right technology [CMOS, Si–germanium (Ge), and so
on] and the heterogeneous integration of chipsets, from the baseband and transceiver to the
antenna. Heterogeneous integration will be important with the multitude of frequency bands
covered, e.g., 7–14-GHz bands up to frequencies >100 GHz.
Sunday—RFIC WSI Full day mm-Wave Integrated Radars: Opportunities and Challenges
Yahya Tousi and Vito Giannini
Abstract: The unique sensing capabilities of mm-wave radars, bolstered by modern
nanoscale Si technology and advanced image processing, have created the opportunity
for integrated radar technology to create substantially improved image perception at a
considerably lower size and cost compared to the radars of the 20th century. There is a
growing effort in both academia and industry to bring this technology to fruition. In this
workshop, we overview the existing opportunities in this field and the challenges that need
to be overcome to standardize and commercialize integrated radar technology. The workshop
brings together a complementary mix of top academic and industry speakers with a breadth
of expertise and experience in this field, ranging from the fundamental aspects of circuit
design and system integration to sensor fusion, product design, and testing.
Sunday—IMS/RFIC WSJ Full day mm-Wave and Sub-THz PA Design for Next-Generation Wireless and Sensing Applications
Steven Callender and Sungwon Chung
Abstract: There is no silver bullet PA design that provides a one-size-fits-all solution for
next-generation communication and imaging systems, due to the diversity of applications
and their associated PA specs (e.g., output power, linearity, bandwidth, and back-off
efficiency). The goal of this workshop is to explore leading mm-wave and sub-THz
applications and the associated PA specs for these systems. The applications of focus are
massive multiple-input, multiple-output (MIMO) and large-scale phased arrays, suborbital
satellite communication (satcom), and sub-THz radar. A balanced mixed of both industry
and academic perspectives will be provided, offering both a high-level familiarization of
the application and associated specifications along with deeper technical dives into current
leading PA design techniques in modern process nodes.
(Continued)

92 May 2023
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Sunday—RFIC WSK Full day To 100 Gb/s and Beyond: High-Data-Rate Interconnect Technologies—Who Will
Win at Which Scenario?
Jane Gu and Wooram Lee
Abstract: The interconnect bottleneck has been a long-standing grand challenge over
decades, caused by the increasing gap between exponentially growing data generation and
transmission demand and slowly increasing data bandwidth. Both the electrical interconnect
(EI) and optical interconnect (OI) have been investigated extensively to try to combat the
challenge; however, both of them face their own inherent constraints. The newly emerging
sub-THz/THz interconnect (TI) aims to complement the EI and OI to close the interconnect
gap. This workshop plans to bring experts from different domains—OI, EI, and emerging TI—to
discuss the challenges, opportunities, and best use scenarios of each interconnect scheme.
Sunday—IMS/RFIC WSL Full day State-of-the-Art mm-Wave Gallium Nitride (GaN) Transistor and Monolithic
Microwave IC (MMIC) Technologies and Future Perspective
Farid Medjdoub and Keisuke Shinohara
Abstract: Owing to the superior electrical and thermal properties of GaN-on-Si-carbide
material systems, tremendous progress has been made on GaN-based transistor and MMIC
technologies. Advanced heterostructure material designs, epitaxial growth techniques,
and transistor scaling processes enabled GaN MMICs to extend their applications from
microwave to mm-wave frequencies (up to the W-band). Next-generation RF systems
require high efficiency and high linearity for more complex modulation schemes to
support very high data rates. The traditional tradeoff among efficiency, linearity, and
power density imposes performance limitations on GaN MMICs, which become more
pronounced at mm-wave frequencies. In this workshop, world-leading experts will discuss
the present status, challenges, and future perspective of mm-wave GaN transistor and
MMIC technologies, covering emerging materials and devices, device modeling, thermal
management, reliability, and circuit designs.
Sunday—IMS WSM Full day Advances in Microwave and mm-Wave Wideband Measurements for Radar and
Communications Applications
Gian Piero Gibiino and Nicholas C. Miller
Abstract: Wideband measurement and characterization techniques at microwave and
mm-wave frequencies are becoming increasingly demanding to satisfy the specifications
of the ever-evolving communications and radar industry. This workshop presents recent
research and technology advancements from industry, research centers, and academia by
discussing relevant performance metrics and their experimental evaluation across different
hardware platforms. Advanced characterization techniques are presented for transistors,
PAs, and beamformers, encompassing the over-the-air testing, linearity, load pull, and
calibration of precision radar. The first half of the workshop is dedicated to state-of-the-
art wideband device characterization techniques and load pull. The second half of the
workshop is focused on beamformers and over-the-air characterization techniques and
standards. Both the morning and afternoon sessions of this workshop will end with open
interactive discussions useful to outline future trends and research on these topics.
Sunday—IMS WSN Half day Engineered Surfaces and Materials for EM Propagation Control in Emerging Applications
Ryan Cadwell and Connor Devitt
Abstract: Engineered surfaces and materials have shown interesting qualities in EM
propagation that may be useful in various applications. Characteristics such as reflection,
transmission, and absorption can be designed by the control of properties, including
metal and dielectric geometry, material permittivity and the refractive index, and the
consideration of phenomena such as surface waves. New and reconsidered EM design
perspectives, newly enabled geometries from additive manufacturing approaches, and new
material compositions, including flexible and tunable (such as phase change) materials,
present emerging opportunities for investigation. These areas of exploration may yield
advances in communication and sensing ranging from microwave to optical frequencies,
including potential applications in 5G and 6G technology.
(Continued)

May 2023 93
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Sunday—IMS/RFIC WSO Half day Advanced Wafer-Level Heterogeneous Integration and Packaging for mm-Wave 5G and
6G Applications
Kamal Samanta and Kevin Gu
Abstract: This workshop will cover various recently developed technologies and the
state-of-the-art performance in wafer-level integration and packaging technologies
and manufacturing techniques, with challenges and possible future directions and
solutions. In particular, it will highlight the latest advancements in areas such as
embedded wafer-level ball grid array (eWLB) technology for system integration
with high-Q interconnects and passives in thin-film redistribution layers, wafer-level
heterogeneous integration of different substrates, bipolar CMOS embedded through-
Si vias, sub-THz on-chip antenna integration, innovative fan-out technologies for
wafer-level packaging, RF integrated passive devices, fan-out systems in packages,
and embedding various chips within the Si metal-embedded chip/chiplet assembly.
Further, the workshop will present the practical realization of highly integrated
systems, including 60- and 77-GHz eWLB transceiver modules with integrated
antennas, 3D wafer-level packaging for mm-wave and submm-wave space systems,
and heterointegration technology solutions to enable a full 2D arrays of phased-array
systems above 120 GHz.
Sunday—IMS WSP Full day RF Devices Exploiting Intimately Coupled Multiphysics
Chris Nordquist and Roy Olsson
Abstract: Advances in materials, fabrication, modeling, and testing have enabled
devices that achieve new functionality through the coupling of multiple physical
phenomena. These devices combine piezoelectric, ferroelectric, magnetostatic,
acoustoelectric, and other physics to achieve performance beyond that of mass-
produced bulk and surface-wave devices. These unique attributes provide potential
for significant impacts on future RF applications. Interactions among different types of
physics provide coupling and exchanges of energy among complementary mediums
and modes. Examples include integrating piezoelectric and semiconductor materials
to couple acoustic and electronic traveling waves, integrating ferromagnetic and
piezoelectric materials to couple acoustic and magnetic domains, incorporating
ferroelectric materials to change and tune piezoelectric orientation, and the strain
tuning of magnetostatic waves. Devices using these effects provide the potential for
miniature high-Q tunable resonators and filters, nonreciprocal devices, and single-chip
analog signal processors. This workshop will provide perspectives on the physics and
application potential for these technologies.
Monday—IMS/ARFTG WMA Half day Modulation Characterization Enabling Design of High-Efficiency and Linear PA Systems
Marc Vanden Bossche and Zoya Popovic
Abstract: RF PAs play a dominant role in the system performance of wireless transmitters.
PA designers are faced with the intractable goal of providing simultaneous high linearity
and efficiency as communications standards adopt ever-higher modulation orders and
bandwidths. Traditional PA design begins with a nonlinear transistor model based on
continuous waveform measurements. When the PA is measured under the desired
modulated signals, degraded performance compared to simulation is commonly observed.
Commercial adoption of phased arrays increases the disparity between traditional
simulation and realistic measurements; coupling between antenna elements affects the
PA performance in ways not accounted for in simulation. This workshop presents the next
steps in improving designs by using modulation characterization to optimize the global
realistic performance of a system of PAs. The goal is to provide theoretical and practical
background that can be applied directly at the lab bench. The workshop includes a practical
demonstration using a commercial GaN device.
(Continued)

94 May 2023
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Monday—IMS/ARFTG WMB Half day Innovative and Compact Methods for Over-the-Air Characterization of Active
Antenna Array Systems
Thomas Deckert and Okay Schierhorn
Abstract: Active array antennas have become mature technology in communication
and radar applications. The spatial radiation characteristics are typically measured “over
the air” using anechoic chambers and positioning gear to perform far- and near-field
measurements. These approaches have long been used by engineers to characterize
classic passive antennas, while measurements of RF front ends and baseband circuitry
could be performed conductively, bypassing the antenna. Since frequencies continue to
increase to sub-THz frequencies, designers need to integrate antennas with beamforming
chips, making a separate characterization of antennas and RF chips impossible.
Additionally, the classical methods do not scale well to high test volumes that will
come with active antennas becoming more ubiquitous because they are slow, large,
and mechanically challenging, all driving up the test cost significantly. This workshop
highlights key advancements on alternative multiprobe testers, near-field sockets, and
quantum sensing probes to overcome these limitations.
Monday—IMS WMC Full day Artificial Intelligence (AI) and Machine Learning (ML) for RF PA Design and
Digital Predistortion (DPD)
Anding Zhu and Rui Ma
Abstract: AI and ML have transformed technologies across all sectors and are offering
solutions to many complex problems. In RF design, many AI/ML-based solutions have
been proposed. This workshop brings researchers from both academia and industry to
discuss how newly developed AI/ML algorithms can be used in RF PA design and DPD.
The topics include using multidimensional search algorithms to automate matching
network synthesis, postlayout generation using fully automated optimization methods,
AI-based signal control technology, and deep learning-based inverse design in mm-wave
PAs. We will also discuss the latest development of DPD algorithms using ML, including
DPD model simplification, long-term memory effect compensation, model extraction
data selection, closed-loop adaptation, and neural network-based DPD for linearizing
multiband MIMO phased-array transmitters.
Monday—IMS/ARFTG WMD Full day Device Thermal Noise Metrology: Needs, Challenges, and Opportunities
Tom McKay and Leonard Hayden
Abstract: The availability of high-volume extremely low-noise-transistor very
large-scale integration technologies with minimum noise figures as low as 0.2 dB
(Te,min 14 K) at cellular, Wi-Fi, and satcom frequencies challenges existing noise
metrology practice. State-of-the art device noise metrology systems are unable to
provide system architects and technology developers the ability to clearly discern
the performance of one device technology over another at these low noise levels.
Recent investments by the European Union and U.S. government in semiconductor
manufacturing, including RF, microwave, and mm-wave applications, underscore
the need and opportunity for further public–private collaboration in this area. This
workshop begins with motivation for extremely low-minimum-noise-figure technology
from applications in low-Earth orbit satcom and remote sensing, followed by
technology developers’ experience with existing metrology practice, and culminating
with discussions on ways forward with commercial vendors and the National Institute
of Standards and Technology.
(Continued)

May 2023 95
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Monday—IMS WME Full day Microwave/RF Sensors for Near-Field and Long-Range Sensing Applications
Mohammad Zarifi and Valentina Palazzi
Abstract: This workshop will provide a comprehensive overview of the latest
results on the sensing, monitoring, and characterization capability of RF/microwave-
based devices operating from 30 MHz to 300 GHz. Microwave-based sensors have
demonstrated great potential for the nondestructive and nonionizing monitoring
of physical parameters and characterization of materials in liquid and solid phases.
The main advances and results in this multidisciplinary subject, involving chemistry,
material science, and microwave engineering, will be illustrated. Microwave resonator
sensors, RFID sensors, and antenna-based sensors for nondestructive, nonionizing, and
contactless sensing and characterization applications will be covered to provide the
audience with an in-depth understanding of the subject and the potential synergies
among different approaches.
Monday—IMS WMF Half day Emerging Synthesis-Based Design Techniques for Filters in Advanced
Communication Systems
Giuseppe Macchiarella, Ming Yu, and Fabien Seyfert
Abstract: The evolution of communication technologies in recent years has required
more and more performing subsystems and devices. This workshop is focused on the
latest solutions devised for the filtering subsystems required in the latest-generation
communications systems. Developing these subsystems is challenging and expensive,
and it increases the time to market for new equipment. The scope of the workshop is to
show how a synthesis-based approach may beneficially affect new filter development (as
an alternative to the brute force optimization of full-wave models). In the first part of the
workshop, five presentations show novel synthesis solutions for filters used in modern
and future communication systems. In the second part, the goal is to involve interactively
the audience, showing the synthesis of some previously introduced filters, using in-house-
developed software. This interactive moment is conceived to highlight the benefits of a
synthesis-based design approach and familiarize attendees with this technique.
Monday—IMS WMG Half day Recent Advances in Industrial Microwave Power Applications
Zoya Popovic, Vadim Yakovlev, and Malgorzata Celuch
Abstract: Applications of microwave power span an increasing number of research and
industrial sectors. They include the widely known microwave heating, cooking, sterilization,
vulcanization, and so on. The microwave sintering of particulate materials, microwave
plasma generation, and microwave acceleration of chemical reactions for applications such
as waste treatment are among the new disciplines showing the potential for new efficient
technologies. Additionally, traditional S-band magnetron high-power sources are being
challenged by semiconductor technologies that have some advantages but are still more
costly. The workshop has speakers from industry who will compare existing technologies
and discuss the most recent applications and multiphysics tools used to address them. One
academic talk will discuss the main fundamental challenges through a few examples, such
as the pyrolysis of mixed waste.
Monday—IMS/ARFTG WMH Full day Microwave Measurements in Extreme Environments for Emerging Applications
in Computing, Energy, and Life Sciences
Kamel Haddadi, Mitch Wallis, and Luca Pierantoni
Abstract: Microwaves have a vital role to play in a diverse collection of emerging
application areas far beyond wireless communications and conventional microelectronics,
spanning from quantum computing to energy storage to medical diagnostics. To unlock
these potential applications, reliable microwave measurements are critical. Quantitative
functional data are required at each step of development to transform conceptual designs
into fully engineered, validated, and optimized products. While microwave measurement
techniques are generally well established, new applications that are emerging today
present new measurement challenges. This workshop will explore the current state of the
art in microwave metrology techniques that are extended to new and novel measurement
(Continued)

96 May 2023
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


environments and scenarios. The event will bring together researchers from across
academia, industry, and government laboratories who work in varied application spaces.
While these emerging applications may appear disparate, convening experts for detailed
discussions of their microwave measurement challenges may uncover previously unseen
connections and commonalities.
Monday—IMS WMI Full day Quantum Circuits, Methods, and Algorithms in EM and Microwave Applications
Johannes Russer, Vladimir Okhmatovski, and Zhen Peng
Abstract: In recent years, significant advances have been made in quantum
computing, quantum sensing, and quantum communications. Circuit quantum
electrodynamical models provide tools for modeling quantum devices. Superconducting
electronics exhibit special quantum properties and, when monolithically integrated,
extend the possibilities for integrated microwave circuits and devices, deeply rooted in
microwave engineering, to a quantum level. For RF microwave engineers, this signifies
an extension and transfer of microwave engineering concepts to the quantum realm.
Using quantum circuit electrodynamics, key devices in microwave quantum engineering
can be modeled. On the other hand, within quantum computing, new quantum-based
algorithms can also harness problem-solving in EMs. In recent years, the remarkable
progress made in quantum computing hardware has defined a new noisy intermediate-
scale quantum computing era. By exploiting fundamental properties of quantum
mechanics, these quantum computing systems have the potential to deliver a significant
speedup against classical computing hardware for solving hard EM problems.
Monday—IMS WMJ Full day History and Recent Advances in Reflect Arrays for Satcom, 5G/6G, and Imaging
Systems (Also Known as Intelligent Reflecting Surfaces)
Gabriel Rebeiz
Abstract: Reflect arrays, invented in the 1980s, have been predominantly used for
satellite communications, high-speed imaging systems at 24 GHz (airport security
systems), and mm-wave radars. Recently, they have been proposed as programmable
reflecting surfaces for 5G communication systems and renamed “intelligent reflecting
surfaces.” This workshop presents the previous work in this area and the new work
being done from 24 GHz to 300 GHz. Some of the new work is geared toward large
reflecting surfaces for 5G/6G, some toward THz imaging systems, and some toward space
applications. What is important is that with new low-loss Si technologies and the high level
of integration offered by Si, one can now demonstrate large low-power low-loss reflecting
surfaces. The new reflect arrays are expanding this classic steerable antenna technology
to a wide range of application areas spanning 5G, 6G, frequency-modulated continuous-
wave radars, and THz systems.
Monday—IMS WMK Full day Transitioning From Microwave to mm-Wave Acoustic Wave Devices: Future Road
Map and Challenges
Jordi Verdu and Pedro de Paco
Abstract: The complexity of the requirements in advanced 5G and forthcoming scenarios
has a direct impact on the design of acoustic wave filters. The latest developments
have pushed acoustic technology to an unprecedented situation that requires facing the
incoming challenges from different perspectives. Taking this into account, the workshop
aims to present the latest developments related to synthesis methodologies, linear and
nonlinear modeling, reconfigurability, and new orthogonal markets that may consider the
use of acoustic wave resonators. The affiliation of the presenters will lead to talks with a
more industrial focus but also with an academic approach, which may contribute to a more
enriching discussion.
(Continued)

May 2023 97
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Monday—IMS WML Full day Recent Advances in Low-Phase-Noise and High-Stability Microwave Oscillators
Alexander Chenakin and Paul Khanna
Abstract: This workshop will address the timely subject of low-phase-noise and
high-stability microwave oscillators that are key building blocks of virtually any RF/
microwave system. State-of-the-art low-noise and high-stability microwave oscillators
are particularly
important in high-speed telecommunications, wireless spectrum management,
and high-resolution imaging systems. The overall performance of most microwave
subsystems depends on, and is often limited by, phase noise fluctuations in oscillators.
With respect to phase noise and stability performance, designers primarily rely on
ovenized crystal oscillators. However, recent advances in using other physical principles
and materials are expected to enable oscillators with performance never imagined
before. Various oscillator types, techniques, new materials, and their main characteristics
will be reviewed.
Friday—IMS WFA Half day Microwave Challenges and Solutions for Quantum Computing
Michael Hamilton and Alirio Boaventura
Abstract: Quantum computing platforms are actively being scaled up to a level that
can outperform tomorrow’s most powerful classical supercomputers to solve certain
impactful complex computations related to materials, energy, and climate. Despite
the tremendous progress made over the past decade in the science and engineering
of an array of quantum computing systems, many challenges remain. One of the
current promising candidate platforms for scaling up uses superconducting qubits
that are controlled and read out using conventional microwave electronics operating
at room temperature. Future versions of these systems are envisioned to bring more
of the microwave electronics nearer the quantum processor but will require innovation
to overcome the associated microwave challenges. The engineering challenges
of realizing practical large-scale and densely integrated quantum information
processing systems present quantum microwave engineers with new challenges
and opportunities. This workshop will address current challenges and solutions
being explored to realize scaled superconducting microwave quantum information
processing systems.
Friday—IMS WFB Half day Packaging and Interconnects for Superconducting Applications
Matt King, Robert Jackson, and Wolfgang Heinrich
Abstract: There have been significant advances in the application of quantum
technologies, with several examples demonstrating the feasibility of what a few decades
ago were only theories. However, key challenges still remain as a barrier to fully realizing
the advantages brought by quantum technologies. One of the main challenges to
overcome is scaling up quantum systems by several orders of magnitude. For instance, as
the leading approach in quantum computing relies on superconductors and microwave
signal processing, exploring options in packaging and interconnects for superconducting
applications in the 4-K and milli-Kelvin range is necessary. This workshop offers the
opportunity to hear from multiple speakers who are actively working in the areas of
microwave packaging and interconnects for superconducting application to face the
challenges ahead.
(Continued)

98 May 2023
TABLE 2. The IMS workshops. (Continued)

Day—Conference Code Duration Title/Organizers/Abstract


Friday—IMS WFC Half day Frontiers of mm-Wave Phased Arrays
Hasan Sharifi and Laleh Rabieirad
Abstract: With the development of high-performance semiconductor nodes and
emergence of 5G and 6G systems, significant advances have been achieved in
electronically scannable mm-wave phased arrays. The continued performance
improvements of advanced node CMOS and scaled SiGe heterojunction bipolar
transistors have enabled the development of highly integrated mm-wave phased arrays
for low-cost, small-size, and low-dissipation applications. As a result, we have made
great advances in RF front ends, antenna arrays, and high-speed analog-to-digital
converters. On the other hand, the recent development of THz III-V high-electron
mobility transistors has enabled phased arrays at previously inaccessible frequencies.
This workshop will discuss some of the highlights of major advances in mm-wave
phased arrays in four invited talks by industry and academic leaders. The range of
these topics will show how the varying application spaces impose requirements
that flow down through the system architecture and component designs to the
semiconductor technologies.
Friday—IMS WFD Full day D-Band and Sub-THz Technologies, Circuits, and Systems for High-Data-Rate
Communication, Sensing, and Imaging
Telesphor Kamgaing, Ali Farid, and Alberto Valdes-Garcia
Abstract: The large available spectrum at mm-wave frequencies above 100 GHz
offers wideband channels with tens-of-GHz-wide bandwidth. This enables the
development of wireless and waveguide communication systems with unprecedented
data capacity. The small carrier wavelength (m) permits compact arrays with many
antennas. This paves the path for compact radio imaging systems with very high
resolution. The goal of this workshop is to review the most recent advances in
wireless, waveguide, and radar systems at the D-band and beyond. Selected experts
from academia and industry will discuss end-to-end components and challenges
associated with novel mm-wave massive MIMO arrays, large-scale phased arrays, high-
data-rate waveguide systems for data centers, and radar and sensing systems with
very high resolution above 100 GHz. The topics addressed will include semiconductor
technology, mm-wave wireless transceivers, antenna arrays, waveguide channels, and
fully packaged modules.
Friday—IMS WFE Full day RF and mm-Wave Biomedical Radar Technologies
Changzhan Gu, Chung-Tse Michael Wu, Fu-Kang Wang , Nils Pohl, and Changzhi Li
Abstract: In the past few years, the COVID-19 pandemic has drawn attention to health.
RF and mm-wave radar has been regarded as an emerging technique for the contactless
monitoring of health conditions, particularly the health of a subject’s respiratory and
cardiovascular systems. Radar has evolved from a complex high-end technology into a
relatively simple low-cost solution penetrating industrial, automotive, and consumer market
segments. The adoption of short-range radars for consumer applications requires reliable
system performance in a small form factor, low power, and low cost. The advancement of
Si and packaging technology has led to a small form factor that can be mounted on devices
and aesthetically concealed without affecting system performance. This workshop covers
multiple aspects of how to leverage short-range radar sensing for biomedical applications,
including metamaterial bioradar, clinical evaluations, gait analysis, monitoring impaired
people, system design principles, and MIMO bioradars.

May 2023 99
Lunch Is More Than
Just a Meal at IMS2023
Tomislav Markovic

L
ately, we’ve often heard subject matter. Each techni-
about the “walking cal lecture lasts 80 min, in-
lunch.” A few people cluding time for Q&A with
or a small group of people the speaker.
who take their lunch and Last year at IMS2022, we
combine it with a relaxing, gave two technical lectures:
short walk. Younger gen- “Electromagnetic Funda-
erations like Millennials, mentals Underlying Health
Generation Z, or our IEEE Impact of Millimeter Wave
Young Professionals some- Radiations” and “Semicon-
times take their lunch in front ductor Electronics for High
of their smartphones while Power/High Speed Recon-
watching a sitcom or a lec- IMAGE LICENSED BY INGRAM PUBLISHING figurable RF and Microwave
ture. But we can all ask our- Electronics” (see Figure 1).
selves whether it would not be better to sions while you eat your lunch. It’s fair This year at IMS2023, we will offer
see the same lecture live rather than on a to say that you attend the IMS for busi- three technical lectures on each day
small smartphone. That’s exactly what a ness and pleasure. of the IMS:
technical lecture at the IEEE Microwave The technical lectures have been a 1) “Integrated Digital Twins for De-
Theory and Technology Society Interna- part of the IMS since 2020. They im- sign and Test of 5G Networks,” by
tional Microwave Symposium (IMS) can part knowledge to attendees through Dr. Rajive Bagrodia, general manag-
give you: an educational story that is an comprehensive educational content er, Aerospace and Defense Govern-
easy introduction to IMS technical ses- focused on a set of defined learning ment Solutions group at Keysight
objectives. What makes a technical Technologies, and Emeritus Profes-
lecture special is its educational value sor of Computer Science at the Uni-
Tomislav Markovic (tomislav.markovic@fer.hr) for engineers, scientists and techni- versity of California, Los Angeles.
is with the University of Zagreb, Faculty
cians who may not be as familiar
of Electrical Engineering and Computing,
10000 Zagreb, Croatia and KU Leuven, with the subject matter. The lecturer Abstract
3000 Leuven, Belgium. assumes that the participants will There is growing interest in the po-
Digital Object Identifier 10.1109/MMM.2023.3242851
learn the fundamentals and more tential of digital engineering and,
Date of current version: 6 April 2023 without already being familiar with the more specifically, model-based systems

100 May 2023


engineering and digital twins (DTs) to nonterrestrial objects (e.g., high-altitude Country and currently heading the
shorten product development lifecycles platforms and satellites), which may Radio Frequency Equipment and
and reduce costs. A primary benefit of optionally host a base station. The vari- Technologies Section, the European
such an approach is a shift left, such ous attributes of NTNs, like long com- Space Agency.
that many end-to-end system-level per- munication delays, ground-air/space
formance, interoperability, and secu- propagation links, and handoff among Abstract
rity issues may be investigated earlier space-based platforms, make them an Spaceborne RF high power amplifiers
in the product development lifecycle interesting case study for an IDT. Using (HPAs) are key building blocks used
than is typically the case using the tra- this case study, both an overall method- in telecommunication, navigation, re-
ditional V-based design model. ology for how the IDT can be applied to mote sensing, science, and human
DTs leverage high-fidelity soft- look at the end-to-end performance of spaceflight appl icat ion s. Due to
ware models of physical systems to an NTN from the pur- their limited efficiency,
support the design, test, and lifecycle view of applications like NTN design and they often play a cen-
management of complex systems in an streaming videos, and a architectures tral role in the elec-
efficient and comprehensive manner. description of the com- trical, thermal, and
A DT uses simulation and emulation posability of models are being mechanical design of
but differs from them in that the DT from the RF, network, standardized complete instrument
continuously learns and updates itself and service domains by the 3rd and payloads on board
from multiple sources to represent will be presented. The the spacecraft.
the near-real-time status and operat- case study will also il- Generation T he a i m of t h i s
ing conditions of the corresponding lustrate how IDTs can Partnership technical lecture is to
real-world system. A network DT support the shift-left Project as an provide, through a real-
(NDT) is the DT of a communications approach to early inves- case scenario, a com-
network that uses real-time data to en- tigations of end-to-end integral part prehensive insight of
able understanding, learning, and rea- system-level perfor- of the 5G solid-state power am-
soning across its lifecycle. mance, interoperabil- infrastructure. plifiers including key
We use integrated DTs (IDTs) to de- ity, and security issues. semiconductor tech-
scribe a DT that consists of the follow- 2) “The Insight of Spaceborne Solid- nologies and tradeoffs, basic principles
ing three primary layers: State Power Amplifiers: From of HPA operating modes, traditional
1) a software or services twin that rep- Semiconductor Technologies to architectures used in space systems,
resents the middleware and services Flight Model Equipment,” by Ian step-by-step design and integration as-
that must directly satisfy the appli- Davies, M.Sc., from the University pects, and validation activities as well
cation-level service-level agreements College London; Elisa Cipriani, as development challenges brought by
2) an NDT that models the dynamic Ph.D., from the University of Roma the different application domains.
end-to-end communication path Tor Vergata; and Natanael Ayllon, The technical lecture aims to be
over a potentially heterogeneous Ph.D., from University of the Baque an entertaining and interactive forum
network incorporating the proto-
cols at the transport, network, link,
and physical layers
3) an RF DT that captures the behav-
ior of transceiver devices, anten-
nas, and signal propagation among
communicating neighbors.
In this technical lecture, the concept
and primary components of an IDT are
presented. Also demonstrated is the
application of an IDT to design complex
systems, using a 5G nonterrestrial net-
work (NTN) as an example case study.
NTN design and architectures are be-
ing standardized by the 3rd Genera-
tion Partnership Project as an integral
part of the 5G infrastructure. Broadly
speaking, an NTN refers to a 5G net- Figure 1. A room packed with attendees at a technical lecture at IMS2022 in
work that includes a segment spanning Denver, Colorado.

May 2023 101


where participants will have the oppor- radar systems. The audience will be this exciting human-microwave fron-
tunity to exchange insights throughout exposed to various radar systems, tier will be given on physiological
the lecture. including Doppler, ultrawideband, signal sensing, noncontact human–
3) “Smart Radar Circuits and Systems frequency-shift keying, and fre- computer interface, driving behavior
for Healthcare and IoT Applications,” quency modulated continuous wave recognition, human tracking, and
by Prof. Changzhi Li from Texas (FMCW). Furthermore, the audience anomaly detection.
Tech University, and IEEE Distin- will be shown the fundamentals of All attendees, exhibitors, and visi-
guished Microwave Lecturer. synthetic aperture radar, inverse syn- tors are invited to gain knowledge
thetic aperture radar, and pulse-com- and experience from an inspiring
Abstract pression radar. A few examples based and diligent educator over a one-time
This lecture will enable audience on interferometry, Doppler, and luncheon for a small, token fee. For
members to design and analyze mod- FMCW modes at 5.8, 24, and 120 GHz, questions about the technical lec-
ern portable radar systems for health respectively, will be discussed. Then, tures at IMS2023, please contact Asst.
care and Internet of Things applica- the mechanism and applications of Prof. Tomislav Markovic at tomislav.
tions. It will develop an understand- nonlinear radar-sensing technologies markovic@fer.hr.
ing of the fundamentals of smart will be illustrated. Case studies at

IMS2023 General Chairs’ Welcome: Coolest Ideas Under the Sun (continued from page 79)

Our Steering Committee, which vances in RF and microwave research Reception, the Young Professionals
organizes the IMS technical program as in Latin America, with paper submis- Mixer, the Ham Radio Social, and
well as social and networking events, sions curated by Prof. Jose Rayas the MTT-S Reviewers’ Reception. All
is probably the most diverse ever. The Sanchez and Prof. Apolinar Reyno- of these events will be held in the
committee comprises so Hernandez, and Hilton Bayfront Conference Hotel,
about 25% women par- At IMS2023, we we will have a Latin which has some great open spaces
ticipants, with women
cochairs of the Tech-
are encouraging American flavor to so-
cial events throughout
and bay-view terraces for hosting, so
you can easily move from one event
nical Program, Com- greater the week. For example, to another to meet old friends and
mu n ic at ion s, L o c a l participation the IMS opening recep- make new ones.
Arrangements and Op- tion will feature a Latin The Convention Center is adja-
erations, and Finance
by industry. American street party cent to the famous Gaslamp Quarter
Committees of the Steering Commit- for music, food, and refreshments, and (Figure 3), which is the lively social
tee, and, of course, the general cochair. the “Sweet Treat Tuesday” in the exhi- center of San Diego, with plenty of
We definitely have the “international” bition will be churros. Get there early! restaurants and bars for all tastes.
in IMS, with about 25% of the mem- Many of the social and networking
bers being located outside the United Social and Networking Events events will be held in the Gaslamp
States, including Australia, Austria, In postconference surveys, the most Quarter. San Diego is also home to
Belgium, Ca n ada, C h i n a, Germa- frequently cited reason for attending famous landmarks, such as the USS
ny, India, Ireland, Italy, Mexico, The IMS is “networking.” IMS2023 will be Midway, Balboa Park containing
Netherlands, Portugal, Spain, and the an in-person conference, and we are many museums, the San Diego Zoo,
United Kingdom. It has been fun chair- looking forward to the return of net- and SeaWorld. There are plenty of
ing the Steering Committee meetings! working at IMS2023. beaches, for surfing or just relaxing:
San Diego is a stone’s throw from There will be several networking the white sands at Coronado Island
Latin America. One of the IMS2023 fo- receptions held on Tuesday evening, are among the best in the United
cus technical themes is to highlight ad- including the Women in Microwaves States (Figures 4 and 5).

102 May 2023


2023 IEEE International Symposium on Radio-Frequency Integration Technology

August 14-16, 2023, Cairns, Australia

First Call for Papers


General Chair: The 2023 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT2023)
Kamran Ghorbani
will be held in Cairns, Australia on August 14-16, 2023. This conference is sponsored by the IEEE
Microwave Theory and Technology Society.
RMIT Univ., Australia
The RFIT2023 invites papers in the following technical areas:
Technical Program § Device Technologies: CMOS, SOI, LDMOS, SiGe, GaAs, InP, GaN, MEMS, reliability,
Chairs: characterization
Yang Yang, § Modeling and CAD: active/passive device modeling, CAD, EM simulation, co-simulation
University of § Packaging Technologies: MCM, SiP, TSV, flip chip assembly, wire bonding, anisotropic
Technology Sydney, conductive film, additive manufacturing
Australia § Passive circuits and antennas: on-chip antennas, integrated passive devices, ferrite,
piezoelectric
Konstanty Bialkowski, § Frequency Generation/ Conversion ICs: VCOs, PLLs, synthesizers, dividers/multipliers/ mixers
University of § Front-end RFICs: LNAs, VGAs, phase shifters, RF switches
Queensland, Australia § Power ICs: power amplifiers, linearization circuits, drivers
§ Millimeter-wave and THz ICs: circuits operating at mm-wave and THz bands
Tutorial/Workshop § Analog and Mixed Signal ICs: ADC, DAC, comparators, filters, AGC/VGA
Chair: § High-Speed Data Transceivers: wireless/wireline/optical transceivers, CDRs for data links.
§ RF Sensor ICs: automotive radars, wearable devices, security, biomedical and healthcare
Forest Zhu, applications
University of § Power Transmission ICs: RFID, electromagnetic induction, wireless power transmission ICs
Technology Sydney, § Emerging ICs: power management, digital RF circuits, RF BIST, reconfigurable ICs, vehicle
Australia electronic ICs
§ Radio Integrated Systems: IoT M2M, automotive radars, wearable devices, security, biomedical
Award & Publication and healthcare applications
Chair: § 5G/B5G/6G Systems MIMO systems, smart radio systems, cube satellite and satellite
Amir Ebrahimi, RMIT
communication systems
Univ, Australia Paper Submission:
To encourage timely reporting of the latest results and have better opportunities to expand papers
Treasurer:
for possible journal publications, prospective authors are invited to submit a 3-page manuscript
James Scott (both initial submission and final manuscript, if accepted) in English and in IEEE PDF eXpress
RMIT Univ, Australia format. The manuscript should emphasize original contributions and key findings, including
figures, diagrams and results from both simulations and measurements. References should be
Publicity Chair clearly cited and up-to-date. Accepted conference papers can be submitted for inclusion into IEEE
Thomas Baum,
Xplore subject to meeting IEEE Xplore's scope and quality requirements. By submitting a
manuscript, the authors agree that, if accepted, at least one of the authors will make a full
DSTG, Australia registration and attend the RFIT2023 to present their paper.
Important Dates:
Manuscript submission deadline: May 05, 2023
Notification of acceptance: June 15, 2023
Submission of final manuscript: July 01, 2023

All must be made through the RFIT2023 website: www.rfit2023.org

Digital Object Identifier 10.1109/MMM.2023.3249439


IMS2023 Panel and
Rump Sessions
Nuno Borges Carvalho and Ke Wu

I
MS panel and rump sessions (Fig-
ure 1) have always served as a
unique platform to present tech-
nological updates and debates, which
allows for discussions, brainstorming,
and future perspectives. Scheduled
during lunch times during the IMS2023
week, except for the rump session on
Tuesday evening, they cover a broad
range of topics of interest to everyone.
In addition to the panel sessions, (co)
sponsored by the IEEE RF Integrated
Circuits (RFIC) Symposium and the IEEE
Microwave Theory and Technology
Society’s (MTT-S’s) IMS, we will pres-
ent two Inter-Society Technology Panels LYLE PHOTOS

(ISTPs), which have been organized by


the MTT-S Inter-Society Committee
since 2021 on behalf of the MTT-S Figure 1. An IMS2022 panel session.
Administrative Committee. Those ISTPs
are set to promote inter-societal collaborations and dialogue on cross- “AI/ML-Based Wireless System Design
sector and multidisciplinary technolog- and Operation—Hope or Hype?” will
ical research and developments in the cover recent developments in machine
Nuno Borges Carvalho (nbcarvalho@
ua.pt) is with Instituto de Telecomunicacoes, MTT-S fields of interest. learning (ML) and artificial intelligence
Universidade de Aveiro, 3810-193 Aveiro, The 2023 Microwave Week panels (AI) for circuit and system design
Portugal. Ke Wu (ke.wu@polymtl.ca) is with start with an RFIC panel on Monday, and operation.
Poly-Grames Research Center, Polytechnique “How Soon Will We Become Cyborgs?” We will also bring back the Tuesday
Montréal, Montreal, QC H3T 1J4, Canada.
This panel will debate the growing use rump session this year, organized as part
Digital Object Identifier 10.1109/MMM.2023.3242804 of smart devices and implants. Then, of the afternoon sessions, with a topic
Date of current version: 6 April 2023 a joint IMS/RFIC panel on Tuesday, dedicated to “Meet the MTT-S Journal

104 May 2023


Editors and Publication Enthusiasts” has already been demonstrated in a wide on Terahertz Science and Technology
and addressing various issues and mat- range of applications, including even (TTST), IEEE Microwave and Wireless
ters of interest for MTT-S publications. music composition and artistic design. Technolog y Letters (MW TL), IEEE
On Wednesday, two other panels This lunchtime panel, with both M i c r o w a v e M aga z i n e, a n d I E E E
will be organized. The first panel’s topic industry and academia experts, will Journal of Microwaves (JMW)], indus-
will focus on digital twins and model- explore how we may harness AI in try and government lab represen-
based systems engineering, with an wireless system design and operation, tatives, and young professionals
important question: will this actually and will attempt to distinguish hope to present their perspectives and
work? The other is the first ISTP on “RF/ from hype. experiences on publication policies
Microwave Packaging and Interconnect and practices. Panel participants
Technologies for Global Integration— Tuesday, 13 June, IMS Rump include: Almudena Suarez, EiC of
Are We on the Right Track?” Session: Meet the MTT-S T-MTT; Nuria Llombart-Juan, EiC
On Thursday, two more panels Journal Editors and of TTST; Malgorzata Celuch, presi-
will be presented, with one devoted Publication Enthusiasts dent of QWED Poland; Sara Barros,
to “5G frequency range FR1–FR2 Session organizers: Pete Siegel (JPL and Thales Nederland B.V.; and Chris
Convergence: Challenges and Outlook Caltech) and Ke Wu (Polytechnique Rodenbeck, Naval Research Labs.
for Remote Health Care and Time- Montréal). Please join us for an interesting dis-
Critical Communications.” The other The technical publications environ- cussion on scientific publishing in gen-
is the second ISTP on emerging mul- ment is currently undergoing an enor- eral as well as an opportunity to learn
tidisciplinary topics of multi-societal mous upheaval. The tried-and-true sub- more about our own technical publica-
interests, with a discussion on the scription journals, which have been the tions. The rump session will take place on
“Tapping Power WIRELESSLY every- backbone of scientific dissemination for Tuesday, 13 June, from 5–6:30 p.m. in the
where? Technologies, Standards and more than 300 years, are rapidly being San Diego Convention Center in Room
Impact in Our Life.” challenged by all-digital pay-to-publish 20A (off the Ballroom Lobby on the upper
venues from both reputable and not- level across from the Sails Pavilion). It
Monday, 12 June, RFIC Panel: so-reputable, for-profit enterprises. The will be followed by a ticketed event,
How Soon Will We Become number of new journals entering the “Get to Know Our Journals Reception,
Cyborgs? marketplace is increasing at an expo- Panel, and Poster Session,” from 7–9 p.m.
Session organizer: Oren Eliezer (Sam- nential rate. Within the MTT-S itself, we at the Hilton San Diego Bayfront Hotel.
sung Semiconductor). have more than doubled the number of (Sign up for the follow-on reception here:
Augmented-reality contact lenses, publications we sponsor within the last https://mtt.org/ims2023-journal-event/.)
cochlear implants, AI-aided earbuds, 10 years, and authors, readers, and tech-
and thought-activated prosthetics nical experts are being overwhelmed Wednesday, 14 June, IMS
have already demonstrated the res- with both content choice and demands Panel: Model-Based Systems
toration and enhancement of human for peer reviewing. Engineering in Electronics Design:
capabilities, and the incorporation of This special MTT-S rump session, Micro to Macro and Driving the
AI into these technologies can fur- moderated by Dr. Peter Siegel and Era of the Digital Twin
ther increase their potential. This Dr. Ke Wu, will focus on the growing Session organizers: Ian Rippke (Key-
lunchtime panel will host academic concerns of our author and end user sight Technologies) and Sherry Hess
researchers and industry pioneers communities regarding the future of (Cadence).
who are developing these technologies scientific publishing and the posi- Even as today’s sophisticated electronic
and will debate how they will affect tion that IEEE—and specifically the systems have evolved, the designs behind
our near- and long-term lifestyles. MTT-S—should take in moving for- them have, unfortunately, remained
ward. The session will also focus on largely in multiple silos: digital, analog,
Tuesday, 13 June, Joint IMS/RFIC the editorial content and practices RF, package, board, analysis, and more.
Panel: AI/ML-Based Wireless of our core publications, including These disjointed flows involving mul-
System Design and Operation— manuscript preparation, the peer- tiple tools cause delays and design risks.
Hope or Hype? review process, quality standards, How can these silos be broken down and
Session organizers: Costas Sarris (Uni- industrial contributions, and edito- design led with integration and optimiza-
versity of Toronto), Qi-jun Zhang (Car- rial practices. We will bring together tion at the electronic system level?
leton University), Bodhi Sadhu (IBM MTT-S editors-in-chief (EiCs) and/or Model-based systems engineering
T.J. Watson), and Oren Eliezer (Sam- Editorial Board members from sev- (MBSE) is a methodology where a set
sung Semiconductor). eral of our core publications [IEEE of models is developed for use in the
The use of machine learning (ML), or Transactions on Microwave Theory and design, analysis, and verification of com-
more broadly, artificial intelligence (AI), Techniques (T-MTT), IEEE Transactions plex electronic systems. MBSE is having

May 2023 105


a renaissance, expanding beyond high- techniques will be reviewed. This panel offered by 5G and beyond and to dis-
level requirements capture and system will debate the critical issues and relative cuss their need for eventual FR1–FR2
modeling (macro) to incorporate more advantages and suitability of various coexistence on both the chip and sys-
detailed, higher fidelity, and physics- emerging materials and interconnects tem levels.
based modeling techniques (micro). (hybrid/metaconductors); processes,
While MBSE is already employed such as additive and subtractive manu- Thursday, 15 June, MTT-S ISTP:
within major aerospace and defense facturing; and matured and emerging Tapping Power WIRELESSLY
companies, it is more recently expand- integration techniques—multichip mod- Everywhere? Technologies,
ing into industry and companies within ules, antenna in packaging/systems on Standards and Impact in
the space and wireless arenas. However, chip/system in packaging/system on Our Life
will the advance and industry expan- substrate, heterogenous and wafer-level Session organizers: Naoki Shinohara
sions usher in an era of the digital twin packaging, and chiplets—considering (Kyoto University), Alessandra Costan-
that impacts all electronic systems and the cost, performance, reliability/repeat- zo (Universite di Bologna), and J.-C.
products? Or will electronic design ability, and volume production require- Chiao (Southern Methodist University).
remain largely one of siloed design and ments. It will suggest possible applica- Recently, the industry of wireless
“over-the-wall” information sharing? tion spaces with timescales and future power transfer (WPT), which involves
Join us at this panel session where directions. The panel will also discuss near-field WPT and far-field WPT,
experts in MBSE, spanning industry, the impact of new technologies on the has risen significantly. For near-field
government, and academia, will discuss traditional realm of metal conductors transfer, we can already buy wire-
whether MBSE really is the gateway to and subtractive manufacturing. less chargers everywhere and cheaply
digital twinning or if the challenge is too for smartphones. Start-up compa-
big to overcome on the path to success. Thursday, 15 June, IMS Panel: nies are developing far-field WPT
5G FR1–FR2 Convergence: for Internet of Things (IoT) sensors
Wednesday, 14 June, MTT-S ISTP Challenges and Outlook for based on New Radio regulations. In
Session organizers: Ke Wu (Polytech- Remote Health Care and Time- the International Telecommunication
nique Montréal), Kamal K. Samanta Critical Communications Union–Radiocommunication Sector,
(Sony Europe), Manos M. Tentzeris Session organizers: Ali Sadri (Airgain enthusiastic discussions for New Radio
(Georgia Tech), and J.-C. Chiao (South- Inc.) and Abbas Omar (University regulation of WPT and safety concerns
ern Methodist University). of Magdeburg). are taking place every year. WPT is
RF/microwave front-end modules, The chip- and system-level coexis- expected to be one of the game-chang-
including antenna systems operat- tence of FR1 (sub-6 GHz) and FR2 (mil- ing technologies with electromagnetic
ing over the megahertz through tera- limeter-wave) in wireless standards, waves/fields.
hertz frequency range for sub-7-GHz including 5G and beyond, is highly Although the theory has been estab-
and 5G/6G applications, continuously debated because of both capital and lished and advanced, with a long his-
require innovative solutions for meet- operational expenditures. On the other tory since the 1960s, the expectation for
ing high electrical, RF, mechanical, and hand, these bands are utilized to offer the WPT market and industry seems to
thermal performance at a low cost. This uninterrupted connectivity for relevant be higher than the reality. High-power
MTT-S ISTP will discuss recent advance- time-critical applications that demand wireless chargers for electric vehicles
ments in RF/microwave packaging and ultrareliable low-latency communica- and batteryless IoT sensors powered
interconnect technologies enabled by tions. These include, for example, tele- by microwaves have not appeared in
emerging new materials, advanced pro- surgery, remote diagnostics, remote the mass-production markets. What
cessing techniques and topological inno- monitoring of health conditions of will the industrial future of WPT be?
vations as well as underlying technical patients and elderly individual, virtual What are the innovative technologies
challenges. This special panel will bring clinics, etc. Many of the sensors involved needed to create wide-use markets?
together industrial and academic experts in these applications, such as those used How do we harmonize WPT with con-
of various backgrounds from different for remotely monitoring vital param- ventional radio applications? How do
IEEE Societies and other organizations, eters of patients and elderly individuals we create human-safe WPT systems?
including the IEEE Electronics Packaging (remote measurement of blood pressure, Can we have worldwide universal
Society, IEEE Antennas and Propagation blood sugar, sudden falling, etc.), and standards? In this panel, panelists
Soc iet y (AP-S), IEEE Sol id-St ate communicating their measurements from the WPT and application indus-
Circuits Society, IEEE Electromagnetic wirelessly need such a coexistence for a tries, IEEE Power Electronics Society,
Compatibility Society, IEEE Circuits and reliable operation. and AP-S will discuss these important
Systems Society, MTT-S, and others. It is the aim of this panel to present topics from diverse and cross-disci-
State-of-the-art achievements in man- the relevant health-care applications that plinary perspectives.
ufacturing, interconnect, and packaging rely on the wireless communications

106 May 2023


Boot Camps at IMS2023
in San Diego, CA, USA
Larry Dunleavy , Joanne Mistler , and Ulf Johannsen

I
ntroduced at IMS2015, the RF Boot
Camp has been a great way for
newcomers to the RF & Microwave
field, as well as seasoned profession-
als, to expand their knowledge regard-
ing the basic principles and techniques
used in the exciting field of RF/Micro- knowledge in optimizing and accu- cont i nui ng educat ion credits are
wave technology. rately characterizing products. Often offered to RF Boot Camp attendees.
embedded with high-speed digital, RF Boot Camp material is updated
Add RF Boot Camp to Your software, and firmware elements, each year based on student feedback,
Learning Plan With a Full our designs require knowledge of the IMS location, and industry needs.
Day of RF/Microwave impact on RFMW system performance Attendance in Denver in 2022, our
Skills Growth across all aspects of development, first in-person event since IMS
on Monday, from simulation to prototyping, lay- Boston in 2019, exceeded 150
12 June 2023! outs, and testing. attendees from industry and
• Sch e dule: Monday, R F Bo ot Ca mp i s de sig ne d to academia (Figures 1 and 2)! RF
full day grow RFMW skills in an educa- Boot Camp has demonstrated
Industry and academia tional forum that is focused on the each year to be a true testament
recognize the critical need fundamentals of microwave theory to the quality and effective-
for RF/microwave (RFMW) and techniques. We focus on teach- ness of—and need for—this
ing the fundamentals, terminology, valuable day of learning in the
and applications of RF and micro- fundamentals of RF and micro-
Larry Dunleavy (ldunleavy@modelithics.
com) is with Modelithics, Inc., Tampa, FL wave design, simulation, and mea- wave theory.
33612 USA. Joanne Mistler (joanne.mistler@ surement—for those new to RFMW, The main agenda for RF IMAGE LICENSED BY
INGRAM PUBLISHING
keysight.com) is with Keysight Technologies, those wishing to stay current with Boot Camp 2023 i nc lude s
Nashua, NH 03063 USA. Ulf Johannsen new technologies and applications, a series of tutorials, delivered by
(u.johannsen@tue.nl) is with Eindhoven
or even for booth staff members experts from Keysight Technologies;
University of Technology, 5600 MB Eindhoven,
The Netherlands. who wou ld l i ke to u nderst a nd a Modelithics, Inc.; University of South
Digital Object Identifier 10.1109/MMM.2023.3242841 little more about microwave tech- Florida; Ei ndhoven University of
Date of current version: 6 April 2023 nology and terminology. Two IEEE Technology; and Ericsson Research

May 2023 107


Sweden. The refreshed and updated
topics for RF Boot Camp at IMS2023
will include the following:
• the RFMW signal chain
• network characteristics, analysis,
and measurement
• fundamentals of RF simulation
• device modeling and impedance
matching basics
• spectral analysis and receiver
technology

LYLE PHOTOS
• signal generation
• modulation and vector signal
analysis Figure 1. Attendees come from a wide range of backgrounds and interests, yet all take
• microwave antenna basics away useful information from the day.
• RFMW focus application (Erics-
son Research).
At R F Boot Ca mp i n Denver at
IMS2022, we introduced a special ses-
sion on RFMW focus application, where
our analog devices guest addressed
the challenges of product development
for a transmitter/receiver system and
inspired RFMW learning. RF Boot Camp
2023 will feature Ericsson Research
Sweden’s insights in our RFMW focus
application session. Register today to
attend IMS2023 in San Diego and RF
Boot Camp and be part of the many

LYLE PHOTOS
other valuable IMS week technical and
networking activities!
Figure 2. Dr. Dunleavy is explaining the nuances of the iconic Smith chart used
extensively in our field!
ML/AI Boot Camp
• Organizers: Qi-Jun Zhang (Car- The course will introduce basic types Quantum Boot Camp
leton University), Costas Sarris of ML methods, such as multilayer • Organizers: Prof. Kevin O’Brien
(University of Toronto), and Ulf perceptrons, radial basis function net- (MIT), Prof. Will Oliver (MIT),
Gustavsson (Ericsson) works, convolutional neural networks, and Dr. Ofer Naaman (Google)
• Schedule: Monday, half day time-delay neural networks, recurrent • Schedule: Monday, half day
The ML/AI Boot Camp will pres- neural networks, long short-term mem- The quantum computing industry
ent the basics of artificial intelligence ory networks, generative adversarial relies heavily on microwave technol-
(AI)/machine learning (ML) for networks, and reinforcement learning. ogies, yet the connection between
microwaves. The course is targeted to Examples of applications of AI/ML to the IEEE Microwave Theory and
general audiences in the microwave microwaves will be presented. Technology Society and quantum efforts
community who are not necessar- This course is intended for engineers is still nascent. For the quantum com-
ily experts in AI/ML. To start with, who want to learn the basics of AI/ML puting industry to succeed, it is essential
the course addresses basic questions, or are interested in using AI/ML for to train multidisciplinary engineers who
such as the following: What is AI/ML? microwave applications, marketing understand both quantum physics and
Why are AI/ML tools relevant for the and sales professionals who are inter- microwave engineering. Quantum engi-
microwave community? How can AI/ ested in understanding the basics and neering is a fast-growing interdisciplin-
ML be used in microwave design, and relevance of AI/ML for microwaves, ary field of research in which microwave
how can it be adopted in microwave and university students who would and RF engineers can play an important
circuits and systems? We also address like to acquire basic knowledge of role, especially in the areas of quantum
what the benefits and limitations of AI/ML. The course will provide ample sensing, quantum communications,
using AI/ML in microwave technolo- opportunities for audience interaction
gies are. as well as questions and answers. (continued on page 117)

108 May 2023


Digital Object Identifier 10.1109/MMM.2023.3231541
New for IMS2023:
Preconference Video
“Teaser” Tutorials
Matt Ozalas

H
ave you ever thought about
attending an advanced paper
presentation, but realized
you didn’t know the basics? This year,
you’ll be able to start learning about
some of the most relevant and exciting
topics at the IEEE Microwave Theory
and Technology Society International
Microwave Symposium (IMS) before
the conference even starts.
A series of online video presen-
tations will be available for all reg- IMAGE LICENSED BY INGRAM PUBLISHING

istered attendees to watch prior to


the show. The presentations will be
hosted by industry experts and are

Matt Ozalas (matthew_ozalas@keysight.com) is


with Keysight Technologies, Santa Rosa,
CA 95403 USA. designed to give an overview of key for more details on the conference
Digital Object Identifier 10.1109/MMM.2023.3242914 topics and tracks that will be fea- website, app, and program book.
Date of current version: 6 April 2023 tured at IMS2023. Be on the lookout

110 May 2023


IMS2023 Paper
Competitions
Holger Maune , Michael Roberg, Freek van Straaten,
R. Neil Braithwaite , Jonas Urbonas , and Paolo de Falco

T
he IEEE Microwave Theory and the TPRC. Each TPRC subcommittee
Technology Society (MTT-S) can nominate up to two papers. After
International Microwave Sym- checking formal eligibility, these pa-
posium (IMS), flagship conference of pers were additionally screened by a
the MTT-S, hosts several paper compe- panel of judges to pick the 10 best pa-
titions. For all competitions, the sub- pers as IMS SPC finalists. The list of
mitted papers will be reviewed under finalists will be published in March on
the same double-blind process as regu- the IMS website. At the IMS, the SPC
lar papers. Papers that are accepted by finalists will hold full-length 20-min
the Technical Paper Review Committee oral presentations in their respective
(TPRC) for the conference will then be technical sessions. The winner will be
ranked as a part of the individual com- selected by a panel of judges based on
the performance of the presentation
IMAGE LICENSED BY INGRAM PUBLISHING
and quality of the Q&A. The first-, sec-
ond-, and third-place awards will be
Holger Maune (holger.maune@ovgu.de) is
with the University of Magdeburg, 39106 petitions review processes to deter- presented at the IMS2023 closing cer-
Magdeberg, Germany. Michael Roberg mine the finalists. emony on Thursday, 15 June.
(michael.roberg@mmtron.com) is with
mmTron, Inc., Evergreen, CO 80439 USA. Student Paper Competition Early Career Paper Competition
Jonas Urbonas (jurbonas@dbmcorp.com) is
The long-standing Student Paper The purpose of the Early Career Paper
with dBm Corporation, Oakland, NJ 07436
USA. Paolo de Falco (paolo.defalco@colorado. Competition (SPC) honors the three Competition is to recognize outstand-
edu) is with the University of Colorado best technical papers presented by ing technical contributions from early
Boulder, Boulder, CO 92122 USA. Freek van students at the IMS in San Diego, Cali- career professionals. Paper submis-
Straaten (freek.van.straten@nxp.com) is with fornia. This year, 354 student authors sions with multiple authors are per-
NXP Semiconductors, 3534AV Nijmegen,
submitted a paper to the IMS, indicat- mitted, but the first author must be
The Netherlands. R. Neil Braithwaite (neil.
braithwaite@ieee.org) is with Keysight ing their interest in participating in an individual who is not a full-time
Technologies, Santa Clara, CA 95041 USA. the competition. These submissions student or a faculty member and has
Digital Object Identifier 10.1109/MMM.2023.3242842
were first subject to the regular IMS fewer than 10 years of professional ex-
Date of current version: 6 April 2023 paper selection process, organized in perience. The eligible paper can come

May 2023 111


from any sector: industry, governmen-
tal agency, organizations/labs, and
postdoctoral research. It will be judged
on the quality, significance, impact,
and novelty of the presented work.
These papers will be reviewed in the
same manner as all other contributed
papers, and the prize will be awarded
based on both content and presenta-
tion. Judging will be performed by
a specially formed committee of ex-
perts. The winner will be announced
and an award will be presented dur-
ing the closing session of IMS2023.

Figure 1. The best industry paper was “A 50 W CW 1-6GHz GaN MMIC Power Industry Paper Competition
Amplifier Module With Greater Than 30% Power Added Efficiency,” by Michael Authors from industry are encouraged
Roberg, Jason Zhang, Robert Flynt, and Matthew Irvine from Qorvo Inc. [1]. to submit papers to IMS2023 and to indi-
cate during the submission process the
desired participants in the Industry Pa-
per Competition (IPC). An industry pa-
per is one that describes an innovation
of a product or system application that
potentially has the highest impact on
an RF/microwave product and/or sys-
tem, significantly benefiting the micro-
wave community and society at large.
A prize will be awarded to the author
of the paper judged best in the category,
which includes a free advertisement in
Microwave Journal or IEEE Microwave
Magazine for the author’s company. To
qualify for consideration in the IPC, the
authors must be affiliated with a corpo-
Figure 2. The winning advanced practices paper was entitled “Deep Learning Enabled rate or private source, not a government
Inverse Design of 30–94 GHz Psat, 3dB SiGe PA Supporting Concurrent Multiband or academic institution.
Operation at Multi-Gb/s,” by Zheng Liu, Emir Ali Karahan, and Kaushik Sengupta
from Princeton University [2]. (continued on page 117)

Figure 3. The winners of the SPC were (first place) Zachary Schaffer, Carnegie Mellon University, for “33 GHz Overmoded Bulk
Acoustic Resonator” [3], (second place) Ping-Keng Lu, the University of California, Los Angeles, for “860 µW Terahertz Power
Generation From Graded Composition InGaAs Photoconductive Nanoantennas” [4], and (third place) Ting Zheng, the Georgia Institute
of Technology, for “Fused-Silica Stitch-Chips With Compressible Microinterconnects for Embedded RF/Mm-Wave Chiplets” [5].

112 May 2023


IMS2023 Student Design
Competitions
Paweł Barmuta and Koen Buisman

O
n behalf of the IEEE Micro-
wave Theory and Technology
Society (MTT-S), we would
like to invite all students to partici-
pate in the Student Design Competi-
tions (SDCs) (see Figure 1). Seize the
opportunity to challenge yourself
and show your finest design skills
in one of many microwave fields of
choice. SDCs are a great space for
exchanging ideas and networking as,
traditionally, SDCs are hosted at the
MTT-S International Microwave Sym-
posium (IMS).
IMS2023 will take place in San
Diego, California, and will see a vari-
LYLE PHOTOS

ety of exciting competition topics cov-


ering a large frequency span, different
Figure 1. The IMS Student Design Competition.
technologies, and a complexity rang-
ing from passive circuits to complete systems. The following competitions • Mm-Wave 3D-Printed Antenna
will take place: Design
• 3D-Printed and Surface-Mounted • Radar for Noncontact Vital Sign
Paweł Barmuta (pawel.barmuta@ni.com) is
with NI, B-1930 Zaventem, Belgium. Koen Bandpass Filter in X-Band Sensing
Buisman (k.buisman@surrey.ac.uk) is with the • 3D-Printed Rectennas for Energy • Reflectionless High-Pass Filter Design
University of Surrey, GU2 7XH Guildford, Harvesting Applications for Load-Pull Measurement Setups
U.K. and Chalmers University of Technology, • Component-Less Bias Tee • Wearable Backscatter Radio Stu-
SE-412 96 Gothenberg, Sweden.
• High-Efficiency Power Amplifier dent Design Competition.
Digital Object Identifier 10.1109/MMM.2023.3242817 for 50 MHz
Date of current version: 6 April 2023 • High-Efficiency Power Amplifier (continued on page 119)

May 2023 113


Our Seventh IMS
Microwave Week 3MT
Competition
Jimmy G.D. Hester, Aline Eid , John W. Bandler ,
Erin M. Kiley, and Daniel Tajik

T
he Merriam-Webster dictionary and understanding the by many of our fellow
defines engineering as “the appli- needs of (preferably electrical engineers
cation of science and mathemat- large numbers of) as black magic. No
ics by which the properties of matter people, then devis- wonder people are
and the sources of energy in nature are ing m i c r o w ave confused and even
made useful to people.” As microwave theories and tech- sometimes fright-
engineers, our mission can there- nologies that could ened. Likewise, it
fore be understood as first, seeking help fulfill these is often also diffi-
needs, and finally, cult for people like
maturing these cre- us, with interests in
Jimmy G.D. Hester (jimmy.hester@atheraxon. ations into products such a counterintuitive
IMAGE LICENSED BY
com) is with Atheraxon, Atlanta, GA 30308 that can serve their users. INGRAM PUBLISHING
discipline, to competently
USA. Aline Eid (alineeid@mit.edu) is with
As you can see, this process connect with the public whose
the Media Lab, Massachusetts Institute of
Technology, Cambridge, MA 02139 USA. starts and ends with people. duty it is for us to serve through our
John W. Bandler (bandler@mcmaster.ca) Unfortunately, a thick and opaque work and who often fund it through
is with McMaster University and Bandler mist between engineers and the gen- their tax contributions.
Corporation, Hamilton, Ontario, L8S 4K1, eral population persistently lingers. IEEE Microwave Week strives to
Canada. Erin M. Kiley (emkiley@mcla.
This disconnect is further enhanced connect members of the community
edu) is with the Massachusetts College of
Liberal Arts, North Adams, MA 01247 USA. by the arcane nature of microwave and to collectively make us better
Daniel Tajik (tajikd@mcmaster.ca) is with engineering: we contort and project engineers. Particularly, it catalyzes the
the Department of Electrical and Computer invisible waves (which are also par- technical prowess of up-and-coming
Engineering, McMaster University, Hamilton, ticles) of energy traveling through young microwave engineers by giving
Ontario, L8S 4K1, Canada.
space at the speed of light by manipu- them the opportunity to participate in
Digital Object Identifier 10.1109/MMM.2023.3242844 lating the properties and shapes of several paper and design competitions.
Date of current version: 6 April 2023 materials in a process even described There is, however, only one event that

114 May 2023


inspires these budding researchers to high-quality, accessible, and enticing The 2023 Microwave Week
connect their technical achievements talks displaying some of the most im- 3MT Competition
to the people that can give them their pactful results achieved by the micro- The 3MT committee chooses finalists
full meaning: the Three Minute Thesis wave theory and technology (MTT) from among those who identified their
(3MT) competition. community, presented by its brightest desire to enter the competition during
and most passionate and articulate the submission process [11], [12] (see Fig-
What Is 3MT? young members. ure 2). To be eligible, a contestant must be
Created at the University of Queensland, We believe that achieving these goals a current student or be within 15 years
Australia, in 2008, the 3MT competition will make a significant contribution of their first professional degree. Final-
[1] motivates contestants to develop and toward popularizing our discipline, ists may speak only once, with no substi-
hone their communication and deliv- attracting a broad and diverse group tutions, and only one 3MT presentation
ery skills, and trains them in the art of of new members and advocates [4], per accepted paper is allowed.
stimulating the imagination, sparking and propelling the careers of our ris- In the weeks leading up to the com-
curiosity, and enlisting the support of ing stars. Previous examples of such petition, finalists work with the 3MT
individuals from all walks of life. In products can be found in the MTT’s organizers, attending online meetings,
3 min or fewer, using only one static YouTube playlists [5], [6], [7], [8], [9], [10]. receiving tips and feedback on their
slide and no other props, contestants
deliver their presentations to a panel of
nonspecialist judges that ranks them
on how engaging, accessible, and com-
pelling they made their presentations
[2] (see Figure 1). A speaker who goes
over his or her time is disqualified.

Goals of the 2023 Microwave


Week 3MT Competition
After a very successful return on stage
in its sixth year, the 3MT competition
is continuing in person for its seventh
year [3]. Our aims for the event con-
tinue to be the creation of a shining

LYLE PHOTOS
beacon, attracting the attention of the
broader public to the flagship confer-
ences of our community, through the Figure 1. Photo of Connor Rowe, first-place winner, on stage during his 2022
production and mass broadcasting of Microwave Week 3MT presentation.

LYLE PHOTOS

Figure 2. Photo of 2022 3MT finalists after the competition at IMS2022 in Denver, Colorado.

May 2023 115


drafts, and rehearsing. This hard work Microwave Week has to offer. Despite [Online]. Available: https://threeminutethesis.
uq.edu.au/resources/judging-criteria
will culminate in a 3MT presentation to its superficially peripheral connection
[3] “Three Minute Thesis (3MT®) competition,” in
be delivered in San Diego, California, to microwave engineering, you will be Proc. Int. Microw. Symp., San Diego, CA, USA,
possibly earning a spot in the top three, hard pressed to find any alumnus or Jun. 2023. [Online]. Available: https://ims-ieee.
leaving the presenter with a battery of alumna of the Microwave Week 3MT org/technical-program/competitions/3mt
[4] J. W. Bandler and E. M. Kiley, “The clarity
techniques and strategies for improv- competition who does not consider it
of hindsight: The first-ever IMS Three Min-
ing communication of technical work, the most intense, terrifying, reward- ute Thesis competition,” IEEE Microw. Mag.,
skills that are useful across disciplines ing, and transformational event that vol. 19, no. 1, pp. 116–123, Jan./Feb. 2018, doi:
and career paths. The winners will be he or she has been involved in at the 10.1109/MMM.2017.2760603.
[5] MTT-S IMS YouTube Playlist from the Inau-
selected by a panel of nonspecialist conference. The 3MT pushes you out
gural IMS2017 3MT® Competition. (2017).
judges, while the audience will select of your comfort zone and forces you, [Online Video]. Available: https://www.
their own Audience Choice winner. The its contestant, to connect your techni- youtube.com/playlist?list=PLVXVJvkEq8EV
awards will be presented during the clos- cal achievements to the people that V2hq1Lw8JmAQn_FWPaAfL
[6] MTT-S IMS YouTube Playlist from the Second
ing session of the 2023 IEEE Microwave they were meant to serve all along.
IMS2018 3MT® Competition. (2018). [Online
Theory and Technology Society (MTT-S) As such, we want to encourage all Video]. Available: https://www.youtube.com/
International Microwave Symposium the students submitting papers to throw playlist?list=PLVXVJvkEq8EXJ3aaLLUV2KJRi
(IMS2023), with cash prizes to be their hat in the 3MT competition ring W326nZQ5
[7] MTT-S IMS YouTube Playlist from the Third
awarded to the top-three ranked contes- and motivate their colleagues, friends,
IMS2019 3MT® Competition. (2019). [Online
tants and to the Audience Choice winner. and advisors to come support them dur- Video]. Available: https://www.youtube.
An equally important benefit of the ing the event. Finally, we would urge all com/playlist?list=PLVXVJvkEq8EX8PbpHl7
competition is promotional: the acces- conference attendees to join us to admire WzJSp8YHZj21yw
[8] MTT-S IMS YouTube Playlist from the Fourth
sible, engaging nature of these talks is and learn from the original and grip-
IMS2020 3MT® Competition. (2020). [Online
perfect for making the general public ping narratives and connections that the Video]. Available: https://www.youtube.
curious about innovations and break- contestants unfailingly achieve to weave com/playlist?list=PLVXVJvkEq8EU0ATNy
throughs in microwaves and high-fre- during their carefully crafted 3-min stage MyicFsP8KAy8CoLF
[9] MTT-S IMS YouTube Playlist from the Fifth
quency electromagnetics. Showcasing performances. We invite you to visit the
IMS2021 3MT® Competition. (2021). [Online
the human element of the MTT-S’s IMS website to get more information and Video]. Available: https://www.youtube.com/
social media channels using videotaped the latest details. The event will take place playlist?list=PLVXVJvkEq8EXpamV_XMZ
award-winning 3MT presentations by on the Monday of Microwave Week at DrJgQwFJuI1E2
[10] MTT-S IMS YouTube Playlist from the Sixth
passionate and articulate younger mem- the Hilton Hotel. See you all there!
IMS2022 3MT® Competition. (2022). [Online
bers [5], [6], [7], [8], [9], [10] should help Video]. Available: https://www.youtube.
attract high school students, undergrad- Acknowledgments com/playlist?list=PLNTXen-GnlMj5x8v5db
uates, and women to our profession. We thank Robin Aiello, Beth Bandler, QGeMa6PrGIWns1
[11] “What is 3MT®, why is it beneficial, how is it
To this end, this year’s Microwave Ricardo Figueiredo, Ramesh Gupta, judged, and how to prepare,” in Proc. Int. Mi-
Week in San Diego warmly welcomes Rachelle Ho, Ana Kovacevic, Michelle crow. Symp., San Diego, CA, USA, Jun. 2023. [On-
all Symposium attendees and guests Ogrodnik, Daniel Shields, Nicholas line]. Available: https://ims-ieee.org/authors
to attend the precompetition presenta- Simard, Megan Vierhout, John Vlacho- -organizers/competitions/3mt/3mt-add
[12] “Three Minute Thesis (3MT®) competition
tion skills workshop, the briefing and poulos, Mahmoud Wagih, and many rules,” in Proc. Int. Microw. Symp., San Di-
coaching sessions, and the competi- more for helping shape this work. We ego, CA, USA, Jun. 2023. [Online]. Available:
tion itself [3]. are grateful to the three 2023 IEEE Mi- https://ims-ieee.org/authors-organizers/
Our references [4], [5], [6], [7], [8], crowave Week Steering Committees: technical-program-competitions/3mt/rules
[13] J. W. Bandler and E. M. Kiley, “Brevity, clar-
[9], [10], [11], [12], [13], [14], [15], [16], [17], Robert Caverly, Rashaunda Henderson, ity, engagement: The IMS2017 Three Minute
[18], [19], [20], [21], [22], [23], [24], [24], Sherry Hess, Michael Oakley, Sandy Thesis® competition,” IEEE Microw. Mag.,
[26] include helpful articles [4], [13], Owens, Amanda Scacchitti, and Elsie vol. 18, no. 3, pp. 85–87, May 2017, doi: 10.1109/
[14], workshops [15], [16], [17], [18], [19], MMM.2017.2665324.
Vega for their support. We are also very
[14] J. W. Bandler and E. M. Kiley, “In the first
[20], and MTT-S webinars [21], [22], [23], grateful to the MTT-S and the MTT-S few blinks of an eye: The basics of engaging
[24], [24], [26]. You can also find the 3MT Education Committee, especially Wen- presentations,” IEEE Microw. Mag., vol. 18,
presentation videos on our Society’s quan Che, Xun Gong, and Anding Zhu. no. 2, pp. 112–114, Mar./Apr. 2017, doi: 10.1109/
MMM.2016.2636681.
YouTube channel [5], [6], [7], [8], [9], [10],
[15] J. W. Bandler, McMaster Univ., Hamilton, ON,
including the virtual competitions con- References USA. You, Your Slides and Your Posters: Allies
ducted in 2020 and 2021 [8], [9]. [1] “Three minute thesis,” The University of or Foes. (Nov. 2016). [Online Video]. Avail-
Queensland, Brisbane, QLD, Australia, able: https://www.youtube.com/watch?v=
2022. [Online]. Available: https://threeminute CtSTppBXbPg
Conclusion thesis.uq.edu.au/home [16] J. Bandler and A. Kovacevic, McMaster Univ.,
Many of us have participated in the [2] “Judging criteria and panel,” The University of Hamilton, ON, USA. Clear, Brief, Engaging:
wonderful student competitions that Queensland, Brisbane, QLD, Australia, 2022. Your Thesis in Three Minutes. (Jan. 2017).

116 May 2023


[Online Video]. Available: https://www. ing with Your Audience, Delivering Your Best. (Feb. [24] J. W. Bandler, E. M. Kiley, and E. Dao, “Con-
youtube.com/watch?v=R_Vv5XKHHlg 2021). [Online Video]. Available: https://www. necting with your audience, delivering your
[17] J. Bandler, M. Ogrodnik, and D. Tajik, Mc- youtube.com/watch?v=hJTskhBgDnw&ab best,” in Proc. IEEE MTT-S Webinar, Mar.
Master Univ., Hamilton, ON, USA. Clear, Brief, _channel=JohnBandler 2020. [Online]. Available: http://bit.ly/3mt
Engaging: Your Thesis in Three Minutes. (Feb. [21] J. W. Bandler, E. M. Kiley, and A. Kovacevic, _wbnr
2018). [Online Video]. Available: https://www. “The art of effectively communicating com- [25] J. W. Bandler, E. M. Kiley, D. Tajik, and A.
youtube.com/watch?v=0hhNHXlNLvE plex, highly technical work in three minutes,” Eid, “Exploring online presentation skills
[18] J. Bandler, M. Ogrodnik, and D. Tajik, Mc- in Proc. IEEE MTT-S Webinar, Mar. 2017. [On- for engaging your audience,” in Proc. IEEE
Master Univ., Hamilton, ON, USA. Authentic, line]. Available: https://t.co/iCsHW8vnRm MTT-S Webinar, Mar. 2021. [Online]. Avail-
Engaging, Clear: Your Thesis in 3 Short Minutes. [22] J. W. Bandler, E. M. Kiley, and D. Tajik, “Com- able: www.tinyurl.com/kzj498yv
(Feb. 2019). [Online Video]. Available: https:// municating your highly technical work to [26] A. Eid, J. Hester, J. W. Bandler, E. M. Ki-
www.youtube.com/watch?v=D5Pq6jzeTA4 non-specialists in three short minutes,” in ley, and D. Tajik, “Communicating your
[19] J. W. Bandler, M. Ogrodnik, and E. Dao, Mc- Proc. IEEE MTT-S Webinar, Mar. 2018. [On- research to the masses: The science of
Master Univ., Hamilton, ON, USA. Authentic, line]. Available: https://goo.gl/AM1ZUA “sticky” ideas and the art of the 3MT,”
Engaging, Clear: Your Thesis in 3 Short Minutes. [23] J. W. Bandler, E. M. Kiley, and D. Tajik, “En- in Proc. IEEE MTT-S Webinar, Mar. 2022.
(Feb. 2020). [Online Video]. Available: https:// gaging your non-specialist, non-technical [Online]. Available: https://tinyurl.com/
www.youtube.com/watch?v=P1odK_Abaiw listener in just three minutes,” in Proc. IEEE jpsudw82
[20] J. W. Bandler, R. Ho, M. Ogrodnik, and D. Tajik, MTT-S Webinar, Mar. 2019. [Online]. Avail-
McMaster Univ., Hamilton, ON, USA. Connect- able: https://goo.gl/UeqLpG

Boot Camps at IMS2023 in San Diego, CA, USA (continued from page 108)
and the microwave control of quantum engineering basics with a focus on The intended audience includes new
computing platforms. the design, fabrication, control, and engineers, engineers who may be chang-
The Quantum Boot Camp will intro- measurement of quantum systems ing their career path, and marketing
duce the basics of quantum engineer- with a focus on superconducting and sales professionals seeking a better
ing, targeting microwave engineers qubits. The course will conclude with understanding of quantum technology
who want to understand how they can an industry perspective from one of as well as current college students look-
make an impact in this emerging field. the leading commercial providers of ing to learn more about the practical
It features speakers covering quantum quantum computing. aspects of quantum technology.

IMS2023 Paper Competitions (continued from page 112)


tiband operation at multi-Gb/s,” IEEE Microw.
Advanced Practice a winner, who will be announced at
Wireless Compon. Lett., vol. 32, no. 6, pp. 724–727,
Paper Competition the IMS Plenary Closing Session. Jun. 2022, doi: 10.1109/LMWC.2022.3161979.
An advanced practice paper is one that Congratulations to the winners of [3] Z. Schaffer, P. Simeoni, and G. Piazza, “33 GHz
describes, in contrast to basic research, the IMS2022 student, industry, and overmoded bulk acoustic resonator,” IEEE Mi-
crow. Wireless Compon. Lett., vol. 32, no. 6, pp. 656–
a practical RF/microwave design, in- advanced practice paper competitions
659, Jun. 2022, doi: 10.1109/LMWC.2022.3166682.
tegration technique, process enhance- in Figures 1, 2, and 3, respectively. [4] P. Lu, D. Turan, and M. Jarrahi, “860 µW tera-
ment, and/or combination thereof that hertz power generation from graded compo-
results in significant improvements in References sition InGaAs photoconductive nanoanten-
[1] M. Roberg, J. Zhang, R. Flynt, and M. Irvine, nas,” in Proc. IEEE/MTT-S Int. Microw. Symp.,
performance and/or in time to produc- 2022, pp. 825–828, doi: 10.1109/IMS37962.2022.
“A 50 W CW 1–6 GHz GaN MMIC power
tion for RF/microwave components, amplifier module with greater than 30% pow- 9865329.
subsystems, or systems. Any author er added efficiency,” in Proc. IEEE/MTT-S Int. [5] T. Zheng and M. S. Bakir, “Fused-silica stitch-
can submit to this category. Judges Microw. Symp., 2022, pp. 426–428, doi: 10.1109/ chips with compressible microinterconnects
IMS37962.2022.9865433. for embedded RF/mm-Wave chiplets,” in
will review the presentations of all fi- Proc. IEEE/MTT-S Int. Microw. Symp., 2022, pp.
[2] Z. Liu, E. A. Karahan, and K. Sengupta, “Deep
nalists, whose identities at this point learning-enabled inverse design of 30–94 GHz 583–586, doi: 10.1109/IMS37962.2022.9865270.
are known publicly, thereby choosing Psat,3dB SiGe PA supporting concurrent mul-

May 2023 117


IMS2022 Plenary Session,
Receptions, and
Closing Session
John Wood and Gayle Collins

A
ll IEEE Microwave Theory and The industry showcase will feature nical folks from industry. And there
Technology Society (MTT-S) the best papers at IMS from indus- will be refreshments.
International Microwave Sym- trial authors who will present their
posium (IMS)/IEEE Radio Frequency work in poster form and potentially Plenary Session
Integrated Circuits Symposium/Auto- give a demonstration of their project. The opening plenary session will be
matic Radio Frequency Techniques It is an opportunity for conference at- held Monday from 5:30 to 7 p.m. in the
Group participants are invited to attend tendees to see the best industrial re- ballroom of the San Diego Conven-
the industry showcase, plenary session, search and advanced practice, and to tion Center (Room 20). In addition to
welcome reception, industry-hosted discuss this work with the top tech- opening addresses from the president
reception, and closing session. Check
out these events in the next sections.

Industry Showcase
The industry showcase will be held
immediately prior to the opening
plenary session from 3:30 to 5:30 p.m.
in the Sails Pavilion just outside the
ballroom where the plenary will be.
IMAGE LICENSED BY INGRAM PUBLISHING

John Wood (john.wood@ieee.org) is with


Wolfspeed, Durham, NC 27709 USA. Gayle
Collins (gayle_collins@ieee.org) is with
Obsidian Technology, Raleigh, NC 27624 USA.
John Wood and Gayle Collins are the chairs of
IMS2023.
Digital Object Identifier 10.1109/MMM.2023.3242818
Date of current version: 6 April 2023

118 May 2023


of the MTT-S, Prof. Nuño Borges Car- Dr. Godshalk’s talk describes the help get you into the California groove.
valho and the IMS conference chairs development of the transmission line, Food, beer, and wine will be served in
(Gayle and John), we will have pres- from its early beginnings through the aisles and from participating spon-
tigious IEEE awards to present to two intracontinental connections, the trans- sors’ booths. Attendees can also visit
illustrious members of our Society: atlantic cables, to global connectivity, the “game zone” to compete with col-
• the 2023 IEEE Transportation illustrating a number of fundamental leagues in assorted fun activities.
Technologies Award to Prof. Hol- inventions and discoveries as well as a
ger Meinel few unusual anecdotes along the way. Closing Session
• the 2023 IEEE Electromagnetics A little bit of history, a little bit of tech- The IMS closing session will be held
Award to Prof. John Bandler. nology, and a fascinating story. Join on the last session of the day on Thurs-
The awards will be presented by IEEE us for what will be an interesting and day from 3:30 to 5 p.m. in the ballroom
President Prof. Saifur Rahman. Our enlightening presentation. of the San Diego Conference Center.
invited presentation at the plenary is At the closing session, we will pres-
“The Role of Transmission Lines in Welcome Reception ent the IMS Best Paper prizes: Best
Connecting People,” by Dr. Ed God- The welcome reception will be held on Student Paper, the new-this-year Early
shalk, IEEE Fellow. Monday night in the Sails Pavilion from Career Paper, and the Best Industry
Dr. Godshalk is currently consult- 7 to 9 p.m., right after the plenary ses- Paper and Advanced Practice Awards,
ing and working part time at George sion. The Sails Pavilion is directly across which are targeted at our industrial
Fox University in Newberg, Oregon. Dr. from the Plenary Ballroom on the top authors. We will also celebrate the Stu-
Godshalk has worked in the microwave floor of the Convention Center. The dent Design Competition Prize win-
and millimeter-wave industry for many theme of this year’s reception is “Latin ners. Additionally this year, we will
years and, among other inventions, is American Street Party.” It will feature a announce the winners of the MTT-Sat
famous for the invention of the air copla- range of Latin American finger foods, Competition for the design of novel
nar microwave/mm-wave wafer probe music, and dancing (if you are enthusi- cubesat space hardware that are capa-
made by Cascade, which revolutionized astic!), and it’s a chance to meet many of ble of being put into space. The three
on-wafer measurements of RF through the other conference attendees. finalists, from more than 20 starters,
mm-wave devices and circuits, enabling will demonstrate their designs and
monolithic microwave integrated cir- Industry Reception explain their projects in the Systems
cuits. These wafer probes are more rug- The traditional industry reception will Pavilion during the exhibition.
ged and easy to use than their anteced- take place on Wednesday from 5 to Keep an eye out for additional speak-
ents and are arguably the world’s most 6 p.m. on the exhibition floor. There ers and events on the IMS webpage.
imitated wafer-probe design. will be a themed beach party vibe to

IMS2023 Student Design Competitions (continued from page 113)


To apply and to read the competition organizers, following the procedure be recognized at the Students Awards
rules, visit the IMS website at https:// described at https://ims-ieee.org/ Luncheon on Thursday, 15 June 2023.
ims-ieee.org/2023SDC. All the applica- visainformation. Please note that the Additionally, participants will be offered
tions must be submitted by 24 May 2023. SDC organizers do not assist the par- an opportunity to publish their winning
The competing designs will be ticipants with bringing their designs designs in IEEE Microwave Magazine.
evaluated on Tuesday, 13 June 2023 to the conference venue nor to the The questions regarding specific
at the premises of the IMS confer- United States, in general. SDCs are best addressed by the corre-
ence. At least one member of the team The winners of each competition sponding SDC organizers. For general
must be present in person during the will be awarded a cash prize sponsored concerns and comments, please con-
evaluation. Please consult the U.S. by the MTT-S through the respective tact us at ims2023sdc@gmail.com. We
visa policy in advance. Visa support technical committee responsible for look forward to seeing your designs!
letters can be obtained from the IMS the SDCs. The winning teams will also

May 2023 119


Young Professionals
Reception and Activities
Tushar Sharma , Jonas Urbonas , and Paolo de Falco

T
he IEEE Young Professionals (YPs)
program has been a part of every
recent the IEEE Microwave Theory
and Technology Society International
Microwave Symposium (IMS) and has
successfully hosted a rich program tar-
geted at RF and microwave engi-
neers in the early stages of their careers.

IMAGE LICENSED BY INGRAM PUBLISHING


The 2022 YPs activities included a rich
program of talks, panel sessions, games,
and a reception. Figure 1 shows some of
the key memorable moments from the
IMS2022 YPs events.
IMS2023 will build on the success
of IMS2022, proposing a new theme of
“entrepreneurship.” There will be an
agenda of talks and panel sessions cov- be held with Women in Microwaves minded people. Anyone who would like
ering various aspects of starting, scal- on Thursday, 15 June 2023 at the Young to attend is required to register on the
ing, and running a business in the RF/ Professionals Pavilion. At the panel IMS2023 website at https://ims-ieee.
microwave field. A panel session will session, female tech leaders and en- org/ims2023.
trepreneurs from large companies and New this year is the Early Career
start-ups will discuss what YPs need to Paper Competition (ECPC). The purpose
Tushar Sharma (sharma.tushar17@gmail.com)
champion in the industry. The panel- of the ECPC is to recognize outstanding
is with Renesas Electronics, San Jose, CA 95138
USA. Jonas Urbonas is (jurbonas@maurymw. ists will give examples of various career technical contributions from early-career
com) with Maury Microwave Corp., Ontario, paths and answer your questions. professionals. Paper submissions with
CA 91764 USA. Paolo de Falco (paolo.defalco@ On Tuesday, the YPs will host a recep- multiple authors are permitted, but the
colorado.edu) is with Qualcomm, San Diego, CA tion at the Hilton San Diego Bayfront ho- first author must be an individual who
92121 USA.
tel. The reception is a place to celebrate is not a full-time student or a faculty
Digital Object Identifier 10.1109/MMM.2023.3243354 YPs, the future of the microwave com- member and has fewer than 10 years
Date of current version: 6 April 2023 munity, network, and interact with like- of professional experience. The eligible

120 May 2023


(a) (b)

(c) (d)

Figure 1. Photo from the YPs IMS2022. (a) YPs Cochair Sara Barros at the YPs panel. (b) YPs lounge. (c) YPs networking reception.
(d) YPs RF Interference Fox Hunt.

paper can come from any sector: indus- presented work. These papers will be and other paper competitions held at
try, governmental agency, organiza- reviewed in the same manner as all other IMS2023, please refer to the IMS2023
tions/labs, and postdoctoral research contributed papers, and the prize will be paper competition article and https://
and will be judged on the quality, sig- awarded based on both content and pre- ims-ieee.org/technicalcompetitions.
nificance, impact, and novelty of the sentation. For more information on this

IEEE connects you to a universe of information!


As the world’s largest professional association dedicated to advancing
technological innovation and excellence for the benefit of humanity,
the IEEE and its Members inspire a global community through its
highly cited publications, conferences, technology standards,
and professional and educational activities.

Visit www.ieee.org.

Publications / IEEE Xplore ® / Standards / Membership / Conferences / Education


IMAGE LICENSED BY INGRAM PUBLISHING
Women in Microwaves
at IMS2023
Johana Yan and Jasmin Grosinger

T
he IEEE Microwave Theory California. All IMS attendees in favor keeps growing every year (see Fig-
and Technology Society (MTT-S) of WiM and engineering are cordially ure 1). The reception will be held
2023 International Microwave invited. This year’s IMS will feature on Tuesday, 13 June 2023, at the Hil-
Symposium (IMS) is hosting Women in two WiM events, the traditional WiM ton Bayfront Hotel from 6:30 p.m. to
Microwaves (WiM) events in San Diego, Networking Reception and a joint panel 9 p.m., featuring social networking
session with Young Professionals. The opportunities, hors d’oeuvres and
WIM’s mission is to inspire, engage, and beverages, games and more (see Fig-
Johana Yan (johana@ieee.org) is with MaXentric advance women in microwaves, whether ure 2). This year’s event will celebrate
Technologies, La Jolla, CA 92037 USA.
Jasmin Grosinger (jasmin.grosinger@tugraz.at)
in industry, academia, or government. Women in Leadership in industry, ac-
is with Graz University of Technology, ademia, and government. The event
8010 Graz, Austria. WiM Networking Reception will emphasize building a network
Digital Object Identifier 10.1109/MMM.2023.3242859 At IMS2023, we will continue our tra- for women who work in microwaves,
Date of current version: 6 April 2023 ditional social cocktail party, which RF, and other engineering leadership

Figure 1. The WiM networking reception at IMS2022 in Figure 2. Creative Smith chart art created by fellow WiM
Denver, Colorado. participants.
(continued on page 126)

122 May 2023


Calling All Hams to
San Diego!
Michelle Thompson

T
he IEEE Microwave Theory
and Technology Society 2023
International Microwave Sym-
posium (IMS) is hosting a ham radio
social event, the Ham Radio Social, in
San Diego, CA, USA, on 13 June, from
6 to 8 p.m., on the Promenade Plaza
of the Hilton Bayfront Hotel (the host
hotel for IMS2023). All radio amateurs
and other interested IMS participants

©SHUTTERSTOCK.COM/SIMON JHUAN
are cordially invited to the event.
There will be a complimentary buffet
with an array of hot and cold appetiz-
ers as well as drinks. The promenade
is a lovely outdoor venue steps out-
side the hotel, and it is where you will
meet some of the most interesting and
dynamic people at IMS. and more. If you have a Bluetooth- allocation, and what experimenters are
There will be friendly and acces- capable phone or device, be sure to up to these days, from the American
sible technical and operational dem- bring it. There will be some puzzles Radio Relay League. Want to upgrade
onstrations ranging from satellite hidden at 2.4 GHz. Prizes will be or renew your license or learn how
operations, open source digital micro- awarded to the top scores. This con- to obtain a license and become a
wave systems, and contest rigs to test can be done either as an indi- ham? Find out how online testing
ambitious distance record attempts vidual or as a team. has revolutionized license exams,
Amateur radio is an incredibly from Greater Los Angeles Amateur
Michelle Thompson (w5nyv@arrl.net) is with
diverse hobby. It offers a wide range Radio Group Volunteer Examiner
Open Research Institute, Inc., San Diego, CA of opportunities on the microwave Coordinators. Have your questions on
92130 USA. bands. Learn about how amateur radio amateur radio answered at this inter-
Digital Object Identifier 10.1109/MMM.2023.3242899 is successfully used in education, what active event!
Date of current version: 6 April 2023 is happening with respect to spectrum

May 2023 123


Join Our IMS2023
“Journals” Reception
and Panel Session in
San Diego
Peter Siegel

I
f you were unable to attend our Letters, IEEE Transactions on Terahertz popular IEEE Microwave Magazine. In
first “Reviewers Recruiting and Science and Technology, IEEE Journal of addition, there are our six cosponsored
Get to Know Our Publications” Microwaves, plus, of course, this very journals: IEEE Journal of Electromagnetics,
event in Denver, CO, USA, in 2022 (Fig-
ure 1), do not despair! We are holding
the event again in San Diego, CA, USA,
and this year, we have expanded it to
include up to 450 people. Join us for
a question-and-answer panel session
staffed by the editors-in-chief (EiCs)
and IEEE Microwave Theory and
Technology Society (MTT-S) Steering
Committee members of each of our
four core journals: IEEE Transactions
on Microwave Theory and Techniques,
IEEE Microwave and Wireless Technology
IMAGE LICENSED BY INGRAM PUBLISHING

Peter Siegel (phs@caltech.edu) is with the


California Institute of Technology, Pasadena,
CA 91125 USA.

Digital Object Identifier 10.1109/MMM.2023.3242904


Date of current version: 6 April 2023

124 May 2023


RF, and Microwaves in Medicine and Systems which will also
The cost of entry for any of the journals
Biology; IEEE Transactions on Quantum be at this year’s event. shown to get more
Engineering; IEEE Journal on Multiscale All these journals are is the promise detailed information.
and Multiphysics Computational Tech- targeted at slightly to do some In June 2023, at the
niques; the new IEEE Transactions on different authors and
reviewing for International Micro-
Radar Systems (not shown at our 2022 readers, and all have wave Symposium (IMS)
event because it just came out this Jan- their own publication one or more of meeting in San Diego,
uary); IEEE/OPTICA Journal of Light- philosophy and per- our sponsored we are again planning
wave Technology (jointly sponsored sonality. You can click
journals over a two-fold gala event/
with the Optical Society of America); on Figure 2 and blow reception to bring in new
and IEEE RFIC Virtual Journal. New in up the detailed poster the coming authors and reviewers
2023 is the IEEE Transactions on Radar (from our 2022 event) 12 months. for all our publications

Figure 1. Attendees at the individual Journals Reception poster booths at IMS2022.

Figure 2. Posters presented at the Journals Reception held at IMS2022.

May 2023 125


and as a way to interact with, and enjoying a generous food-and-bever- an entrance ticket via the e-mail
meet personally with our EiCs, edito- age reception. address you provide. Please print this
rial board members, and journal staff This event is currently sched- or keep it handy on your mobile phone
members. As we did last year, we plan uled to take place on 13 June 2023, to show at the door when you arrive at
to begin with a special panel session between approximately 7 and 9 p.m., the reception. Places are limited, and
wherein all our journals will be briefly at the Hilton San Diego Bayfront Hotel we will close off the ticketing as soon
introduced by our EiCs or their rep- (room to be announced) and will be as we have reached our room/recep-
resentatives, a general by r eser ved t icket tion quota.
discussion on pub- only. Dates and times Don’t miss this second chance to
lishing and reviewing Get your are still preliminary, get acquainted with our publications,
with/for our MTT-S questions so check for the final meet our editors personally, and hear
journals will be initi- room location, date, about our publication philosophy and
ated and targeted at
answered, and starting time future directions. Get your questions
both academia and and give your through the IMS and answered, and give your feedback—
industry, and attend- feedback— MTT-S websites. The positive and negative—directly to
ees will be able to cost of entry is the those who handle your manuscripts
ask general questions
positive and promise to do some and who are constantly asking for
of our publications negative— reviewing for one or more and more of your precious time
experts. This will be directly to those more of our sponsored to do reviews! We can’t wait to see you
for the first time or to meet you again
followed by more inti-
mate one-on-one dis-
who handle your journals over the com-
ing 12 months. You can in San Diego to discuss the coolest
cussions with our EiCs manuscripts. sign up for a ticket by ideas under the sun!
and many editorial using the link at the If you have any questions or com-
board members from our publications, end of the article. We will require ments, do not hesitate to contact the
who will be staffing individual booths some details on your areas of exper- organizers of this event, at peter@
displaying detailed posters (Figure 1) tise, affiliation, and experience level thzglobal.com. The link to get a
and take-home literature. You will to properly place you in our review- ticket for the IMS2023 “Journals”
also get a chance to mingle and inter- ing pools, so please respond to all the Reception is https://mtt.org/ims2023
act with a select group of like-minded questions on the sign-up form. Once -journal-event/.
and interested researchers while the form is submitted, you will receive

Women in Microwaves at IMS2023 (continued from page 122)

areas while offering an informal answer your questions. The panel Please check the sites for the lat-
mentoring network with our many session will be on Thursday, 15 June est information.
young professionals. 2023, starting at 2 p.m. at the Young The MTT-S WiM subcommittee
Professionals Pavilion and will fea- organizes various special sessions at
WiM/YP Joint Panel ture five WiM professional speakers. MTT-S sponsored conferences. Those
In addition, we will feature a joint Details on the joint panel session can activities have increased the visibility
pa nel session a mong WiM, and be found at https://ims-ieee.org/ of distinguished women researchers
Young Professionals. At the panel jointpanel. and inspired young female students to
session, female tech leaders and More details on the reception can follow these career paths. Please check
entrepreneurs from big companies be found on the IMS2023 WIM Web- out the MTT-S WiM subcommittee for
and start-ups will discuss what young site at https://ims-ieee.org/wim-2023. more information at https://mtt.org/
professionals need to champion in Finalized speaker bios for the joint women-in-microwaves/.
the industry. The panelists will give WIM/YP panel session can be found
examples of various career paths and at https://ims-ieee.org/jointpanel.

126 May 2023


MTT-S Awards and the
IMS2023 Awards Banquet
Robert Weigel

T
he 2023 IEEE Mi- and the impressive qual- significant contributions in an article
c r owave T he or y ity of all candidates who published in an IEEE publication.
a nd Tech nolog y were brought forward for In addition, two IEEE Technical
Society (MTT-S) Interna- consideration. In 2023, our Field Awards will be presented at
tional Microwave Sympo- recipients are being rec- the Plenary Session on the morning
sium (IMS2023) Awards ognized for significant of Monday, 12 June 2023.
Banquet will be held on contributions during a ca- • T he IEEE E le c t r om a g ne t ic s
We d n e s d a y e v e n i n g , reer, within a particular Award, which is a prestigious
14 June 2023, during the discipline, for important IEEE Tech n ical Field Award
heart of Microwave Week. IMAGE LICENSED BY INGRAM PUBLISHING past contributions, or for for outstanding contributions
As pa r t of t he Awa r d s
Banquet program, the MTT-S Awards
Committee will present the MTT-S
major awards and the best paper
awards, and recognition will be given
to IEEE-Level Award recipients and
new IEEE Fellows as well as student
competition finalists and award recip-
ients (Figures 1–4).
It is important to acknowledge the
efforts and care of those involved in
the nomination and selection process

Robert Weigel (r.weigel@ieee.org) is the


MTT-S Awards Committee Chair and is
© LYLE PHOTOS

with Friedrich-Alexander-Universität
Erlangen-Nürnberg, 91058 Erlangen,
Germany.
Digital Object Identifier 10.1109/MMM.2023.3242845 Figure 1. Ingo Wolff (right), recipient of the 2022 James Clerk Maxwell Medal, and
Date of current version: 6 April 2023 companion Hannelore enjoying dinner at the IMS2022 Awards Banquet.

May 2023 127


© LYLE PHOTOS
© LYLE PHOTOS

Figure 3. Wolfgang J.R. Hoefer, recipient


Figure 2. MTT-S 2022 President Rashaunda Henderson (left) with Madhu S. Gupta, of the 2022 Microwave Career Award, at
recipient of the 2022 Distinguished Service Award, at the IMS2022 Awards Banquet. the IMS2022 Awards Banquet.

to the theory and/or application • The Microwave Pioneer Award, synthesis of high-performance
of electromagnetics, has been giv- which recognizes an individual microwave filters.
en to John W. Bandler, professor or team, not exceeding three per- • The Microwave Applicat ion
emeritus, McMaster University, sons, having made outstanding Award, which recognizes an in-
Ontario, Canada, for contribu- pioneering technical contribu- dividual or team of no more than
tions to electromagnetic optimi- tions that advance microwave five individuals for an outstand-
zation and the modeling of high- theory and techniques, which ing application of microwave the-
frequency structures, circuits, are described in an archival ar- ory and techniques, which has
and devices. ticle published at least 20 years been reduced to practice nomi-
• The IEEE Transportation Tech- prior to the year of the award, nally 10 years before the award,
nologies Awa rd, wh ich is a has been given to Richard J. has been given to Bumman Kim,
prestigious IEEE Technical Field C a mer on, technical director, professor emeritus, Seoul Nation-
Award for advances in technolo- retired, Com Dev Europe, U.K., al University, South Korea, for the
gies within the fields of interest for seminal and impactful contri- creation of microwave amplifica-
to the IEEE as applied in trans- butions to the theories for direct tions for wireless applications.
portation systems, has been giv-
en to Holger Meinel, technical
director, retired, Daimler, Ulm,
Germany, for the development
and promoting the application of
millimeter-wave technology in
transportation systems.
• The Microwave Career Award,
which recognizes a career of meri-
torious achievement and outstand-
ing technical contribution by an in-
dividual in the field of microwave
theory and techniques, has been
given to Peter Russer, professor
emeritus, Munich University of
Technology, Germany, for a career
© LYLE PHOTOS

of leadership, meritorious achieve-


ment, creativity and outstanding
contributions in the field of micro- Figure 4. String quartet entertainment at the IMS2022 Awards Banquet in Denver,
wave theory and technology. CO, USA.

128 May 2023


• The Distinguished Educator Hillsboro, OR, USA, for outstand- been given to Fabian Thome and
Award, which recognizes a dis- ing early career achievements in Arnulf Leuther for their article,
tinguished educator in the field millimeter-wave phased-array “A 75–305-GHz Power Ampli-
of microwave engineering and in low-cost organic packages fier MMIC With 10–14.9-dBm
science who best exemplifies the and to Timo Jaeschke, CEO, 2pi- Pout in a 35-nm InGaAs mHEMT
special human qualities of Fred LABS, Bochum, Germany, for Technology,” IEEE Microwave and
Rosenbaum, who considered outstanding early career achieve- Wireless Components Letters, vol.
teaching a high calling and dem- ments in advancing wideband 31, no. 6, pp. 741–743, June 2021.
onstrated his dedication to the millimeter-wave radar sensors • The IEEE Transactions on Tera-
MTT-S through tireless service, for industrial applications. In the hertz Science and Technology
has been given to Payam Hey- category “Academia,” it has been Best Paper Award has been given
dari, full professor, University given respectively to Taylor W. to Caitlyn M. Cooke, Kevin M.
of California, Irvine, CA, USA, Barton, associate professor, Uni- K. H. Leong, Alexis Zamora,
and to Michal Mrozowski, full versity of Colorado, Boulder, CO, Ben S. Gorospe, X. B. Mei, Pekka
professor, Gdansk University of USA, for outstanding early ca- Kangaslahti, Erich Schlecht,
Technology, Poland, respectively, reer achievements in high-effi- Mehmet Ogut, Yuriy Goncha-
for outstanding achievements ciency and reconfigurable power renko, Steven C. Reising, and
as educators, mentors, and role amplifiers; to Changzhan Gu, William R. Deal for their ar-
models of microwave engineers associate professor, Shanghai ticle, “A 670 GHz Integrated InP
and engineering students. Jiao Tong University, China, for HEMT Direct-Detection Receiver
• T he D i s t i n g u i s he d S e r v ic e outstanding early career achieve- for the Tropospheric Water and
Award, which recognizes an ments in short-range microwave Cloud Ice Inst rument,” IEEE
individual who has given out- radar sensing for biomedical Transactions on Terahertz Science
standing service for the benefit applications and innovative hu- and Technology, vol. 11, no. 5, pp.
and advancement of the MTT-S, man-computer interaction; and 566–576, Sept. 2021.
has been given to George E. Pon- to Dimitra Psychogiou, full pro- • The IEEE Microwave Magazine
chak, senior research engineer, fessor, University College Cork, Best Paper Award has been giv-
NASA Glenn Research Center, Ireland, for outstanding early en to Ho-Jin Song for his article,
Cleveland, OH, USA, in recogni- career achievements in the de- “Terahertz Wireless Communi-
tion of a distinguished record velopment of 3D and planar RF cations: Recent Developments
of service to the MTT-S and the filtering devices with multicon- Including a Prototype System
microwave profession over a sus- figurable and multifunctional for Short-Range Data Download-
tained period of time. capabilities and exemplary ser- ing,” IEEE Microwave Magazine,
• The N. Walter Cox Award, which vice to the Society. vol. 22, no. 5, pp. 88–99, May 2021.
recognizes an individual who • The IEEE Microwave Prize, which • The IEEE Journal of Microwaves
has given exemplary service to recognizes the most significant Best Paper Award has been giv-
the Society in a spirit of selfless contribution by a published ar- en to Christopher Rodenbeck,
dedication and cooperation, has ticle to the field of interest of Paul Jaffe, Bernd Strassner, Paul
been given to Ramesh K. Gupta, the MTT-S, has been given to Hausgen, James McSpadden,
vice president, Ligado Networks, Wael Abdullah Ahmad, Maciej Hooman Kazemi, Naoki Shino-
Reston, VA, USA. Kucharski, Arzu Ergintav, Salah hara, Brian Tierney, Christopher
• The Outstanding Young Engi- Abouzaid, Jan Wessel, Herman DePuma, and Amanda P. Self
neer Award recognizes an out- Jalli Ng, and Dietmar Kissing- for their article, “Microwave and
standing young MTT-S member er for their article, “Multimode Millimeter Wave Power Beam-
who has distinguished him/her- W-B a nd a nd D -B a nd M I MO ing,” IEEE Journal of Microwaves,
self through achievements with- Scalable Radar Platform,” IEEE vol. 1, no. 1, pp. 229–259, Jan. 2021.
in the MTT-S field of interest that Transactions on Microwave Theory Congratulations to all recipients!
may be technical, may represent and Techniques, vol. 69, no. 1, pp. To receive nomination forms for the
exemplary service to the MTT-S, 1036–1047, Jan. 2021. 2024 MTT-S major awards, see https://
or may be a combination of both. • The IEEE Tatsuo Itoh Award, mtt.org/2024-mtts-awards-nominations
In the category “Industry,” this which recognizes the most sig- or contact mttawardschair@ieee.org.
award has been given respec- nificant contribution in an article The deadli ne for nom i nat ions is
tively to Arnaud Amadjikpe, published in IEEE Microwave and 31 July 2023.
senior RF engineer, Intel Corp., Wireless Components Letters, has

May 2023 129


The 2023 RFIC
Symposium
Donald Y.C. Lie , Danilo Manstretta , and Hua Wang

T
he IEEE RF Integrated Circuits system architectures, usage models,
(RFIC) Symposium is the pre- calibration techniques, and integra-
mier annual forum focused on tion approaches. This systems initia-
presenting the latest breakthroughs tive brings together researchers and
and research results in all areas related practicing engineers at the boundary
to RF, millimeter-wave (mm-wave), of RFICs and systems to the benefit
and wireless ICs. RFIC is part of of all. Additionally, in 2023, we will
Microwave Week, the world’s largest Center, in “America’s Finest City,” San continue with an expanded scope that
RF and microwave technical conven- Diego, CA, USA, from Sunday morn- includes emerging technologies in RF,
tion. Last year, after over two years ing, 11 June 2023, through Tuesday such as novel THz solutions, three-
of the global COVID-19 pandemic, night, 13 June 2023, with even greater dimensional ICs, silicon photonics,
RFIC 2022 in Denver was a big success, attendance expected. quantum computing ICs, hardware
with over 800 attendees (more than This year’s technical papers will be security, MEMS-based sensors and
double the attendance of RFIC 2021). presented through parallel sessions actuators, and artificial intelligence
We also observed an impressive year- on Monday and Tuesday. Our sessions (AI)/machine learning (ML) applied
to-year increase in paper submissions will include topics spanning from to RF circuits.
of 48%. With this positive momentum highly integrated wireless systems- The 2023 RFIC Symposium will fea-
continuing, RFIC 2023 will be held at on-chip and low-power radios to new ture a rich educational program on
the beautiful San Diego Convention power amplifiers, voltage-controlled Sunday, 11 June 2023, with 11 RFIC
oscillators, and front-end circuitry focused workshops and one technical
desig ns. As the mm-wave 5G/6G lecture. The RFIC workshops cover a
Donald Y.C. Lie (donald.lie@ttu.edu), research is getting considerable atten- wide range of advanced topics in RFIC
RFIC 2023 general chair, is with Texas Tech tion lately, increasingly more mm- technology, as follows:
University, Lubbock, TX 79409 USA. Danilo
wave and terahertz (THz) IC content is The mm-wave topics include
Manstretta (danilo.manstretta@unipv.it),
RFIC 2023 technical program chair, is with being published at RFIC. • mm-wave and sub-THz power
University of Pavia, 27100 Pavia, Italy. Hua C o n t i nu i n g i n 2 0 2 3, t h e R F IC amplifier design for next-genera-
Wang (wanghua@ethz.ch), RFIC 2023 technical Symposium has an expanded scope tion applications
program vice-chair, is with ETH, 8092 Zurich, that includes RF systems and appli- • mm-wave integrated radars: op-
Switzerland.
cations dedicated to novel applica- portunities and challenges
Digital Object Identifier 10.1109/MMM.2023.3242846 tions of RFICs at the systems level. • 6G circuits targeting ultrahigh
Date of current version: 6 April 2023 This includes innovations in ICs and data rates.

130 May 2023


The advanced high-speed circuits and A Renaissance for the Semiconductor opportunities that will deliver that
systems topics include Industry?” Dr. Younkin will discuss required system performance, via the
• integration of 6G systems from the status of government investments NIST Microelectronic and Advanced
baseba nd to a nten na for 6G and opportunities arising from the Packaging Technologies Roadmap,
phased arrays Creating Helpful Incentives to Produce awarded in April 2022 and scheduled
• to 100 Gb/s and beyond: high-da- Semiconductors and Science Act of for completion by September 2023.
ta-rate interconnect technologies 2022 (CHIPS Act), Korea’s K-Belt strat- Prof. Mau-Chung Frank Chang,
• circuit design for wireline-optical egy, Europe’s CHIPS Act, and more. t he Wi ntek Chai r i n Elect r ical
and wireless transceivers: com- Dr. Younkin leads a global research Engineering and distinguished pro-
monalities and differences. agenda of about US$90 million annu- fessor at UCLA and the former presi-
The low-power topics include ally, supported by ~3,000 academic dent of the National Yang Ming Chiao
• fully-depleted–silicon-on-insulator and industrial researchers, 27 interna- Tung University, Hsinchu, Taiwan,
CMOS energy-efficient 5G and tional companies, and three U.S. gov- will deliver his exciting vision in
Internet of Things design tech- ernment agencies [Defense Advanced his talk “Future System-on-Chip for
niques and related technology Research Projects Agency, National Full Spectrum Utilization from RF
• recent advancements in ultralow- Science Foundation, and National to Optics.” As the RFIC industry is
power wireless communication Institute of Standards and Technology investigating and moving into and
technology. (NIST)]. They have defined the oppor- beyond the THz sensing/communica-
The tutorial-style topics include tunities for future computing and tion era, he will highlight the multifac-
• fundamentals of RF power am- communication systems, as out- eted challenges and opportunities for
plifiers lined by SRC’s 2030 Decadal Plan for system and technology revolutions,
• enabling quantum computing: a Semiconductors, and are now work- including the “best junction for the
survey of readout technologies ing with over 90 organizations to function” device technology break-
• electromagnetic circuit co-design define the semiconductor hardware throughs that may enable the full
and conflation of passive–active
circuits at mm-wave frequency.
RFIC 2023 will also feature an excel-
lent 80-min short course, which we
call a “technical lecture,” delivered by
world-renowned educator and author
Prof. Behzad Razavi of the University
of California, Los Angeles (UCLA),
“Modern Radio Architectures—From
WiFi to 5G and Beyond.” This lecture
will cover both RFIC and radio system
design aspects and will be instructive
and beneficial for both students and
newcomers as well as for senior prac-
ticing designers. Dr. Todd Younkin. Prof. Mau-Chung Frank Chang.
Following the full day of Sunday
workshops, the RFIC plenary session
will be held in the evening begin-
ning with conference highlights and
the presentation of the Student Paper
Awards and the Industry Best Paper
Awards. The 2023 RFIC plenary ses-
sion will conclude with two visionary
plenary talks.
Dr. Todd Younkin, president and
CEO of the Semiconductor Research Donald Y.C. Lie, Danilo Manstretta, Hua Wang, RFIC
Corporation (SRC), will share his vision RFIC 2023 general RFIC 2023 2023 technical
for the future of global semiconduc- chair, Texas Tech technical program program vice-
tor technologies and design, especially University, USA chair, University of chair, ETH,
those that will enable future RFIC break- Pavia, Italy Switzerland
throughs. In his talk “The Roaring 20s:

May 2023 131


The showcase
will provide
authors the
opportunity to
demo their work
in a lab-like
environment for
more close-up
discussion and
@LYLE PHOTOS

interaction.
RFIC 2022 plenary session.
spectrum utilization from RF to optics
on a single chip.
Immediately after the plenary
session, the RFIC Reception and
Symposium Showcase will follow,
with highlights from our industry
showcase and student paper finalists
in an engaging social and technical
evening event supported by the RFIC
2023 Symposium corporate sponsors.
The showcase will provide authors
the opportunity to demo their work
in a lab-like environment for more
close-up discussion and interaction.
You will not want to miss the 2023
@LYLE PHOTOS

RFIC reception!
On Monday and Tuesday, RFIC will
25th RFIC anniversary reception during RFIC 2022. have multiple tracks of oral technical

Saturday Sunday Monday Tuesday


Date/Time
10 June 11 June 12 June 13 June

RFIC Technical RFIC Technical


Morning RFIC Workshops
Sessions Sessions

RFIC
Lunch RFIC Panel RFIC/IMS Panel
Technical Lecture
Registration

Registration

Registration

RFIC RFIC Technical RFIC


Afternoon
Registration

Workshops Sessions Technical Sessions

RFIC Plenary,
RFIC Technical RFIC Student
Evening Reception and
Sessions Event
Symposium Showcase*

*Symposium Showcase Includes Student/Industry Paper Showcase as Well as the Demo-Session of Selected Papers
(Also Known as the System and Application Forum).

Figure 1. The RFIC 2023 program at a glance (tentative). (continued on page 135)

132 May 2023


2023 Spring/Summer
ARFTG Microwave
Measurement Conference
Marco Spirito , Jeffrey Jargon , Jon Martens,
and Dennis Lewis

T
he Automatic RF Techniques conferences, workshops, and short 2023 Spring/Summer Conference will
Group (ARFTG) is a technical courses covering a wide range of mea- be a single-day event on Friday, 16 June.
organization interested in all surement topics as well as awarding fel- The theme of this 101st ARFTG
aspects of RF, microwave, and millime- lowships and sponsorships to Microwave Measurement
ter-wave (mm-wave) measurement students. Additionally, Conference is “Chal-
t e c h n i q u e s a n d instrumentation. ARFTG’s close as- lenges i n Complex
The group was orig i nally created sociation with the Measurement
as a users’ forum focused on the top vendors of E n viron ments.”
calibration and automation of early measurement Conference top-
vector network analyzers. Since then, instrumentation ics will cover mm-
ARFTG has grown to encompass all and components wave over-the-air
aspects of microwave measurements ensures high-qual- and multiple input/
from RF to terahertz. ity exhibits at its con- multiple output char-
ARFTG’s core mission is educa- ferences. The extended acterization, modulat-
tion, achieved by the group by hosting breaks from the conference ed waveform measurements,
technical sessions enable fruitful discus- on-wafer techniques up to terahertz
Marco Spirito (m.spirito@tudelft.nl) is with sion and networking among colleagues, frequencies, and techniques for con-
TU Delft, 2628CD Delft, The Netherlands. students, experts, and vendors. nector-less environments as well as
Jeffrey Jargon (jeffrey.jargon@nist.gov) is ARFTG sponsors two conferences many other subjects, including RF/
with the NIST, Boulder, CO 80305 USA.
each year (Figure 1). The fall/winter digital mixed-signal measurement and
Jon Martens (jmartens@anritsu.com) is with
Anritsu Corporation, Morgan Hill, CA 95037 conference has recently been colocated calibration, nonlinear/large-signal
USA. Dennis Lewis (dennis.m.lewis@boeing. with Radio & Wireless Week (RWW), measurement and modeling tech-
com) is with Boeing, Seattle, WA 98124 USA. while the spring/summer conference niques, traceability in calibrations
Digital Object Identifier 10.1109/MMM.2023.3242847 is colocated with the International
Date of current version: 6 April 2023 Microwave Symposium (IMS). The (continued on page 137)

May 2023 133


The IMS2023 Exhibition
Carl Sheffres

T
he IEEE International Micro-
wave Symposium (IMS) fea-
tures the largest exhibition in
our industry, showcasing the world’s
leading suppliers of products and
services. When you visit the exhibi-
tion, you will have the opportunity
to see and experience the latest tech-
nologies and innovations available
for all your desig n requirements.
There will be live demonstrations,
new product launches, and plenty
of networking.
IMS2022, in Denver, CO, USA, was a
great success, with 450 exhibiting com-
panies in 726 booth spaces (Figure 1).
Figure 1. The industry exhibition at IMS2022. (Source: Chris Sheppard,
IMS2023 has already exceeded the Contentsource; used with permission.)
total number of 2022 booths as of this
October writing, so we expect an even
more robust and active show floor Center. The exhibition “Sweet Treat will be provided on the
in San Diego, CA, USA. California is hou r s a r e 13 Ju ne, show floor each morn-
home to hundreds of RF/microwave from 9 a.m. to 5 p.m.; Tuesday” has ing and Tuesday and
companies, and San Diego is a perfect 14 June, from 9 a.m. to become a Wednesday afternoons.
venue to host our annual event. 6 p.m.; and 15 June, “Sweet Treat Tuesday”
The exhibition will take place in from 9:30 a.m. to 3 p.m.
welcome staple has become a welcome
halls D through H on the ground Registration will be of the exhibition staple of the ex h ibi-
floor of the San Diego Convention held in the lobby area on Tuesday tion on Tu e s d ay af-
of hall D, next to the ter noons, providing
entrance to the exhibi-
afternoons, all attendees with an
Carl Sheffres (csheffr@horizonhouse.com) tion. A Starbucks is providing all afternoon indulgence.
is with Horizon House Publications,
Norwood, MA USA 02062.
conveniently located attendees with The traditional “In-
near the entrance to dustry Reception” will
Digital Object Identifier 10.1109/MMM.2023.3242848 boost your mornings, an afternoon take place on Wed-
Date of current version: 6 April 2023 and coffee breaks indulgence. nesday, from 5 to 6 p.m.,

134 May 2023


compete with colleagues in an assort-
ment of fun activities.
The MicroApps Theater is located
on the exhibition floor and features
more than 60 presentations from
exhibiting industry experts (Figure 2).
These sessions are 15 min each and
take place through the duration of the
exhibition. They are grouped by topic
to make it convenient for attendees to
work into their schedules.
There is no other i nter nat ional
marketplace where you can meet face-
to-face with thousands of RF and
© LYLE PHOTOS

microwave decision makers. Nearly


90% of all IMS2022 attendees surveyed
reported that the IMS exhibition is the
Figure 2. An industry presentation at IMS2022.
number 1 reason for attending. This
is the place to connect with the indus-
with a themed “beach part y” vibe served in the aisles and from partici- try’s movers and shakers. Join us in
to help get you into the California pating sponsors’ booths. Attendees San Diego for the coolest ideas under
groove. Food, beer, and wine will be ca n also visit t he “game zone” to the sun.

The 2023 RFIC Symposium (continued from page 132)


paper sessions and will offer panel will attempt to distinguish hope Society will offer a Ph.D. Student
sessions during the lunch breaks. from hype. Sponsorship initiative for new students
Monday’s lunchtime panel, “How Last but never the least, RFIC 2023 to become engaged with Microwave
Soon Will We Become Cyborgs?” and Microwave Week will have many Week, providing learning, network-
will be dedicated to the debate on educational and professional devel- ing, and volunteer experiences along
the expected impact of the increased opment opportunities for students, with complimentary registration and
use of various technologies, such as all delivered at an exceptional value. accommodations to qualified and se-
augmented reality and smart hearing Following its introduction in 2022, we lected students. Students will have the
aids, on our everyday lives. Tuesday’s will have a dedicated student session opportunity to purchase the Student
lunchtime panel, organized jointly for RFIC 2023, where students can Superpass, allowing them to experience
with the 2023 International Microwave meet, interact, and learn about exciting every activity within Microwave Week,
Symposium (IMS), will discuss the technology trends and their potential including a workshop, all three con-
topic of “A I/ML-Ba s e d Wi rele s s future careers from industry experts. ferences (RFIC, IMS, and ARFTG), the
System Design and Operation—Hope Furthermore, the RFIC Symposium will Future Summit, a technical lecture, and
or Hype?” This topic is interesting once again conduct a contest to select much more, all at a deeply discounted
and controversial as the use of ML, or the top student papers from the sympo- price for IEEE Student Members.
more broadly, AI, has already been sium. The top student papers will also On behalf of the RFIC Steering and
demon st rated i n a wide ra nge of be featured at Sunday’s Symposium Executive Committees, we welcome
applications, including even music Showcase, providing an additional you all to join us at the 2023 RFIC
composition and artistic design. This exposure opportunity. As part of IMS, Symposium in beautiful San Diego,
lunchtime panel, with both industry students will have the opportunity CA, USA. Please visit the RFIC 2023
and academia experts, will explore to participate in design competitions website (http://rfic-ieee.org/) for more
how we may harness AI in wireless and an RF Boot Camp. Last, the IEEE details and updates.
system design and operation and Microwave Theory and Technology

May 2023 135


The MicroApps
Seminars
Joseph Staudinger and David W. Runton

T
he MicroApps seminars (Fig-
ures 1 and 2) have been an
integral part of IMS for many
years and provide an unique venue
for attendees to hear from industry
experts on specific topics covering a
wide gamut of technical topics. Micro-
Apps presentations are given by
“paying” exhibiting companies from
the tradeshow floor, and speakers are
encouraged to share industry-useful
information, not company advertise-
ments. The spirit of the presentations
encourages early and new breaking

© LYLE PHOTOS
information that may not be fully
ready for the technical sessions. Over
the past two decades, there have
been great examples of early industry Figure 1. Attendees at MicroApps presentations.
trends being first introduced in the
MicroApps seminars. These include niques, design approaches, packag- grouping also allows other exhibitors
now-standard measurement tech- ing, and even system-level integration seeking industry partners and supply
considerations. chains to quickly access all relevant
Each presentation is 15 min in dura- companies and subject matter experts.
tion and is given “live” to the audience. The MicroApps seminars are held
Joseph Staudinger (joseph.staudinger@
nxp.com) is with NXP Semiconductors Inc., The IMS2023 MicroApps and Industry at the MicroApps theater in the exhibit
Chandler, AZ 85224 USA. Workshops Committee will group area to facilitate easy access (at no addi-
David W. Runton (david.runton@macom. and schedule the MicroApps semi- tional charge) for all of the attendees
com) is with MACOM, Morrisville, NC nars based on subject matter, allowing and exhibitor personnel. Companies
27560 USA.
interested attendees to gather informa- presenting the MicroApps seminars
Digital Object Identifier 10.1109/MMM.2023.3242849 tion on a specific topic from a variety are encouraged to invite attendees to
Date of current version: 6 April 2023 of industry experts at one time. The participate. The MicroApps seminar

136 May 2023


presentation materials, i.e., PowerPoint
files converted to PDF, will be avail-
able electronically through a cloud
distribution service at the IMS website
and the IMS Week mobile app.
IMS2023 MicroApps looks forward
to continuing to build in both depth
and breadth on the success of 2022,
which featured 71 presentations deliv-
ered over three days. Topics ranged
over the full gamut of RF and micro-
wave disciplines, touching upon, for
example, power amplifiers and lin-
earization, materials characterization
for 5G millimeter-waves, 5G emis-
sions testing, RF filters for space, and
© LYLE PHOTOS

designing waveguide filters, to name


but a few.
Figure 2. MicroApps presentation stage in the heart of the exhibition.

2023 Spring/Summer ARFTG Microwave Measurement Conference (continued from page 133)

and measurement uncertainty, mate-


rial properties characterization, and
applications and advances in vector
network analysis.
Oral technical sessions are pre-
sented in a single-track format. Extended
breaks combine an exhibition and
interactive forum, which provides net-
working opportunities with vendors
and colleagues, whether researcher
or practitioner. The conference is pre-
ceded by the Nonlinear Network
Vector Analyzer Users’ Forum and the
©LYLE PHOTOS

On-Wafer Users’ Forum, both held on


Thursday, 15 June.
ARFTG also offers student spon- Figure 1. Jeff Jargon opening the 99th ARFTG in Denver, CO, USA.
sorship and fellowship programs. The
sponsorship program gives finan- If you have an interest in mea- our atmosphere to be informal and
cial aid to students presenting at an surements from 1 kHz to 1 THz and friendly. For further details regarding
ARFTG conference, and the fellowship beyond, be sure to add the 101st the conference as well as the student
program provides financial assistance ARFTG Conference to your plans in sponsorship and fellowship programs,
in support of research. San Diego this June. You will find visit www.arftg.org.

May 2023 137


IMS Industry Focus
Damon Holmes and Gayle Collins

I
EEE Microwave Week provides a possible so that they do not overlap, to
forum for interaction among engi- give attendees the opportunity to see
neers from industry, academia, as many as they would like. Multiple
and government. The IEEE Microwave technical tracks this year will have
Theory and Technology Society (MTT-S) keynote speakers who are recognized
International Microwave Sympo- industry leaders in their field and will
sium (IMS) is especially interested in give you an idea of the direction that
encouraging more participation by companies are moving in and insights
industry and has a number of initia- into research occurring in the private
tives in this area. sector. Something to look for while at-
tending this year’s IMS are keynote
Benefits of Participation presentations from industry leaders
by Industry from companies including Ericsson,
Companies can realize huge benefits IMAGE LICENSED BY INGRAM PUBLISHING Infineon, MA-COM, Google, HRL,
by participating at IMS. The exhibi- ADI, Northrop Grumman, Anritsu,
tion, MicroApps Theater, and industry technical and exhibition activities at MathWorks, Keysight Technologies,
workshops are great practical ways to Microwave Week. IMS has long been and more.
get started. So are industrial keynote a place for business to do business, to In addition to the industry key-
speakers and regular IMS, RF Inte- showcase their cutting-edge research, notes, the authors of the best IMS pa-
grated Circuits Symposium, and Au- and to lead our Society to the next pers from industry will be available
tomatic Radio Frequency Techniques level of microwave theory and tech- to discuss their work at the industry
Group papers. nology. To highlight and present the showcase right before the IMS plenary
technical view from industry lead- on Monday.
Industry Keynote Speaker ers, the IMS2023 team is implement-
Initiative ing the Industry Keynote Speaker Top 10 Reasons Why Your
IMS has long had a thriving industry initiative. For the first time, IMS has Company Should Publish at IMS
participation contributing to both the industry keynote speakers imbed- Has your organization considered
ded in the technical tracks. These 17 submitting technical white papers?
choice speakers have been nominated IMS papers offer a different medium
Damon Holmes (damon.holmes@wolfspeed.com)
by their peers from MTT-S technical to showcase your company’s work and
is with Wolfspeed, Mesa, AZ 85204 USA.
Gayle Collins (gayle_collins@ieee.org) is with committees, and the talks are spread stand out in the crowd. If your compa-
Obsidian Technology, Raleigh, NC 27607 USA. out among 17 technical tracks accord- ny has avoided the IMS paper format
Digital Object Identifier 10.1109/MMM.2023.3242850
ing to topic. The keynote presenta- in the past, here are the top 10 reasons
Date of current version: 6 April 2023 tions have been organized as much as you should consider it:

138 May 2023


1) worldwide recognition of your • “Balancing Tradeoffs: Taming (Rohde & Schwarz), Shinnosuke
company’s technical achievement Signal Integrity Challenges in Tsuchiya (Fujikura), and Luc Lan-
2) elevate and recognize specific em- mmWave Antenna-to-Bits Imple- glois (Avnet)
ployees in your organization mentations,” by Matthew Burns • “Li near i zat ion of Upcom i ng
3) enhance employee critical think- (Samtec), Steve Fireman (Otaxa), High-Efficient RF Power Ampli-
ing and writing skills Giorgia Zucchelli (MathWorks), fiers Using Simulation and Hard-
4) promote new alternative and un- Luc Langlois (Avnet), and Markus ware Measurements,” by Salva-
common solutions to customers Loerner (Rohde & Schwarz) tore Finocchiaro (Qorvo), Markus
5) canonize your company’s project • “Building a Hybrid Beamform- Loer ner (Rohde & Schwarz),
in writing for future generations ing Digital Twin of Commercial Giorgia Zucchelli (MathWorks),
6) create talking points with customers Off the Shelf (COTS) Hardware and Florian Ramain (Rohde &
7) highlight your company’s joint Using Commercially Available Schwarz)
venture collaborations EDA Software,” by Muthy Upma- • “mmWave Permittivity Standard
8) attract previously unknown col- ka (Keysight Technologies) and Reference Material Development,”
laborators Sam Ringwood (ADI) by Say Phommakesone (Keysight
9) show your customers that you can • “MBSE Introduction: Systems to Sil- Technologies), Lucas Enright (Na-
pass a technical peer review icon and Back,” by Mark Sampson tional Institute of Standards and
10) encourage competition in your and Marl Malionski (International Technology), Marzena Olszews-
field of expertise. Council on Systems Engineering) ka-Placha (QWED), and Urmi Ray
So, enjoy this year’s IMS, but then • “Improved DUT Characteriza- (International Electronics Manu-
start thinking about presenting a paper tion by Virtue of Accurate Vector facturing Initiative)
at next year’s, IMS2024. Submissions Signal Generation at a Remote • “Optimizing High Performance
will be due about 1 December 2023. (DUT) Plane,” by Marc Vanden Wireless RF Front End Products
Bossche, Markus Rullman, and Time-to-Market: From Eng i-
Industry Workshops Matthew Spexarth (National In- neered Substrates to Systems,” by
The industry workshops are 100-min struments) Luis Andia (Soltec)
industry-led presentations that feature • “GaN-on-SiC: From Technology • “DC-44 GHz Wireless Test Sys-
hands-on practical solutions. They of- to System Design Through Sys- tem Design Accelerator Blocks for
ten include live demonstrations and tematic and Accurate Modeling Wireless Test Systems,” by Larry
attendee participation. These work- of Device Building Blocks,” by Hawkins (Richardson), Bernard
shops are open to all attendees for a Yueying Liu (Wolfspeed) Gobell (Signal Craft Technolo-
small fee. Sign up during the registra- • “Phased Array System Model- gies), and Brian Shah (ADI)
tion process. ing and Design Using MATLAB • “Co-Design Techniques for Wide-
The industry workshops will be and Analog Devices Hardware,” ba nd m mWave a nd S at Com
held in the convention center. Check by Noam Levine, Babak Memar- Phased Array Systems,” by Cecile
the app and program book for the spe- zadeh, Vishwanath Iyer (Math- Masse (Avnet & Otava), Luc Lan-
cific rooms. Workshops planned for Works), Travis Collins, and Jon glois (Avnet), Giorgia Zucchelli
this year are Kraft (ADI) (MathWorks), and Fabrizio Dou-
• “.01–20 GHz Small Form Factor • “Maximizing Return on Invest- rado (Rohde & Schwarz)
Multiport Network Analyzer ment for On-Wafer Over-Tem- • “Design Technology Co-Optimiza-
Design Accelerators,” by Larry perature Millimeter-Wave Char- tion (DTCO) of RF Power Amplifier
Hawkins (Richardson) and Ed acterization,” by Gavin Fisher Designs With GaN Device Technol-
Woytasek (ADI) (Cascade Microtech) ogy,” by Nelson Braga (Synopsis)
• “5G Front End Modules Prin- • “Production OTA and ORAN • “Advances In Multi-Technology
ciples,” by Florinel Baltineau and Testing for 5G mMIMO Radio,” Design Flow to Sign-Off,” by Mi-
Tom Valencia (Skyworks) by Kevin Loughran, Lin Lin chael Thompson (Cadence)
• “6G: Enabling the Path Towards (Jabil), and Ben Smythe (Keysight • “Efficient Simulation of (Semi)
THz Frequencies,” by Markus Technologies) Periodic Microwave Devices Us-
Loerner (Rohde & Schwarz), • “Silicon to Phased Array Design, ing ANSYS HFSS,” by Faezeh
Navneet Sharma (Samsung Re- Analysis and AiP Implementa- Tork Ladani (ANSYS)
search), Deji Akinwande (Univer- tion,” by David Vye (Cadence) • “Low-Loss 3D-Printed Flat Cylin-
sity of Texas at Austin), Marco Di- • “Validating a CATR Benchtop drical GRIN Lens for 5dB Gain
etz (Fraunhofer Institute), Amelia OTA Test System for 5G FR2 Enhancement of a 20dBi Horn An-
Hagelauer (TU Munich), and Paul Ph a s e d A r ray A nte n n a R&D tenna,” by Colby Hobart (Fortify).
Peterson (Rohde & Schwarz) Testing,” by Fabrizio Dourado,

May 2023 139


IMS2023 Project Connect:
10 Years of Awesome
Rhonda Franklin , Rashaunda Henderson ,
Netra Pillay, Heena Rathore , Abhay Samant,
Alex Stutts , and Tom Weller

F
or the past 10 years, the IEEE engineering, in partnership with the teers from academia and industry, PC
Microwave Theory and Tech- Education Committee of the MTT-S. takes place annually in conjunction
nology Society (MTT-S) Interna- Financial support comes from the with IMS. The goal is to provide stu-
tional Microwave Symposium (IMS) Society’s flagship conference, indus- dents with exposure to outstanding
has been the host event for the note- try partners, federal agencies in the industry and educational opportuni-
worthy outreach enrichment activity United States, and private donors. ties and to firsthand access to faculty,
Project Connect (PC). PC is a program Organized by a committee of volun- practicing engineers, and experts to
that aims to broaden participation
in the field of wireless/microwave

Rhonda Franklin (rfrank01@umn.edu) is with


the University of Minnesota, Minneapolis,
MN 55455 USA. Rashaunda Henderson
(rmh072000@utdallas.edu) is with the University
of Texas at Dallas, Richardson, TX 75080 USA.
Netra Pillay (netra.pillay@yahoo.com) is with
Qualcomm, Somerville, NJ 08876 USA. Heena
Rathore (heena.rathore@ieee.org) is with the
Texas State University, San Marcos, TX 78666
USA. Abhay Samant (abhay.samant@gmail.com)
is with National Instruments, Austin, TX 78750
USA. Alex Stutts (astutt2@uic.edu) is with the
University of Illinois Chicago, Chicago, IL 60607
USA. Tom Weller (tom.weller@oregonstate.edu)
is with Oregon State University, Corvallis,
OR 97330 USA.

Digital Object Identifier 10.1109/MMM.2023.3242915


Date of current version: 6 April 2023

140 May 2023


learn about graduate school and
career advancement.
Thanks, in large part, to the incred-
ible support from the IMS Organizing
Committee and dozens of engineer-
ing professionals who share their
time and knowledge with student
participants, the impact of the pro-
gram has been awesome. In its first
10 years, there have been 150 student
participants who were either under-
graduate or graduate students from
one of 45 different universities. The
ethnic and gender demographics of
the student participants are notable:
at least 55% of the participants have
been female, and 77% have been stu-
dents of color.
Even more outstanding is the
fact that PC students pursue gradu- make the 10th year of PC the best how to help connect your students to
ate degrees at three to four times one yet. PC and how you can volunteer to work
the national average in the United You can e-mail imsprojectconnect@ with the organizing team.
States. Of the 49 students in the gmail.com for more information about
2014–2020 cohorts who received their
B.S. degrees at least one year after
participating in PC, 49% have com-
pleted a master’s program, and 12%
are in or have completed a Ph.D.

We want
program. Moreover, the current pro-
fessional positions of the 2014–2022

to hear
cohorts are predominantly in the
RF/microwave/wireless field and grad-

from you!
uate school.
With numbers like this, it is clear

IMAGE LICENSED BY GRAPHIC S


that spending time with these stu-
dents is as good for the MTT-S, IMS
communities, and wireless/micro-
wave industry as it is helpful for the C

students themselves. If you will be


attending IMS between 11 and 16
June 2023, please consider joining the
PC Industry Mixer on Wednesday
afternoon (14 June) and the video pre-
sentations made by the students on
Thursday afternoon (15 June).
If you happen to see PC students Do you like what you’re reading?
strolling about the industry exhibition
or paper competitions, take a minute Your feedback is important.
to say hello and welcome them to the
IMS community. Finally, if you have
Let us know—send the editor-in-chief an e-mail!
the opportunity, personally invite a
student in an underrepresented group
at your university or in your company
internship program to apply for PC,
and be his or her reference. Help us

May 2023 141


Looking for Some
Fun in the Sun? Be a
Student Volunteer!
Spyridon “Spyros” Pavlidis

W
e welcome student volun-
teers to receive backstage
pa s s e s at t he 202 3 I EEE
Microwave Theory and Technology
Societ y Inter nat ional Microwave
Symposium (IMS2023) in San Diego,
California! Our friendly staff of stu-
dent volunteers is essential as more
than half of the workforce at the
IMS consists of student volunteers.
Serving as a volunteer is a great way
to network with students, industry
professionals, and IEEE leaders (see
Figure 1). No previous experience at
the IMS is necessary as both under-
graduate and graduate students are
welcome.
© LYLE PHOTOS

Registration is easy. Simply com-


plete a brief application form on our
website at https://ims-ieee.org/. We Figure 1. Student volunteers at IMS2022.

Spyridon “Spyros” Pavlidis (spavlidis@ncsu. ask all volunteers to work a mini- on the days that they work. Table 1
edu), is with North Carolina State University, mum of two full days. Register early i nc lude s t he add it io n a l b e ne f it s
Raleigh, NC 27695 USA.
as space is limited. Volunteers receive offered to student volunteers. We
Digital Object Identifier 10.1109/MMM.2023.3242920 complimentary conference registra- have multiple committees volunteer-
Date of current version: 6 April 2023 tion as well as food and beverages ing their time to making sure that

142 May 2023


chance to explore the great city of San
TABLE 1. What you need to know about being a student volunteer.
Diego, with its great beaches, parks,
Eligibility Requirements and restaurants; and don’t forget that
• be enrolled as a full- or part-time undergraduate or graduate student
ever-reliable sunshine!
• be friendly, cordial, punctual, and willing to serve
We are committed to creating an
• complete the volunteer application as soon as possible as space is limited; priority review
given to early applicants
inclusive environment to assemble
• work a minimum of two full days. an international and diverse team
of volunteers. Both first-time and
Benefits
• receive complimentary IMS2023 conference registration (details will be sent to returning participants are welcome.
volunteers via email) At the Student Volunteer Lounge,
• receive a complimentary IMS2023 student volunteer t-shirt you will have the opportunity to
• enjoy food and beverages provided during hours of volunteer service, including breakfast, network with peers who are excited
lunch, and snacks about microwaves. Beyond network-
• receive an invitation to the Student Awards Luncheon on Thursday ing, your volunteering efforts will
• be entered into a raffle for free prizes look great on a resume. Only as a
• explore exhibits and have the opportunity to interact with people from around the world. volunteer can you get a behind-the-
Note: transportation and lodging are not included.
scenes experience. Don’t miss out on
Overview of Duties what this conference has planned for
• attend morning training sessions you; help make it successful for you
• provide organizational assistance for technical workshops, forums, panels, competitions,
and everyone else in attendance. See
or interactive sessions
you there!
• distribute workshop/short-course materials
• assist with on-site registration and check-in to short courses, workshops, and technical
And remember that IMS2024 will
sessions. have similar needs next year.
• greet and help conference attendees.

everyone at the IMS, especially stu-


dents, has a great IMS experience in

Are You Moving?


San Diego.
In this issue of IEEE Microwave
Magazine, you can read about all the

IMAGE LICENSED BY INGRAM PUBLISHING


Our friendly
staff of student
volunteers is
essential as
more than
half of the
U
workforce at
the IMS consists C
of student E MAI
volunteers. P E U S
U S
I
fun events that have been planned IEEE
for IMS2023, including the Young IEEE S C
Professionals Panel and Networking IEEE
Social, the industry-hosted Reception
and Awards Banquet, and the Women
in Microwaves networking reception.
Additionally, all IMS attendees will get a

May 2023 143


The Ph.D. Student
Sponsorship Initiative at
IMS2023 and RFIC2023
Rashaunda Henderson

T
he MTT-S Ph.D. Student Spon-
sorship Initiative Program (see
Figure 1) supports students at-
tending the 2023 IEEE Microwave
Theory and Technology Society (MTT-S)
International Microwave Symposium
(IMS2023) and 2023 Radio Frequency
Integrated Circuits Symposium (RFIC
2023). The program provides registra-
tion for both conferences and housing
for first-time attendees. They must be in
their first or second year of their Ph.D.
program and members of the MTT-S
to be eligible. This program is funded
in part by the MTT-S AdCom and the
IMS2023 Steering Committee. The
students participate in two meetings,
one at the beginning of the conference Figure 1. Participants of the MTT-S Ph.D. Student Sponsorship Initiative at IMS2022.
week (Monday) as a kick-off event, and
the other on Friday to wrap up their time at IMS/RFIC. Upon selection to The Friday wrap-up meeting allows
the program, students agree to vol- for student teams to share with their
unteer for one 8-h time slot and assist peers what they learned at the confer-
Rashaunda Henderson (rmhen@ieee.org),
2022 MTT-S president, is with the University of with the operations at the conference. ence. For additional information and
Texas at Dallas, Richardson, Texas 75080 USA. This is a great opportunity to meet application details, visit https://ims
Digital Object Identifier 10.1109/MMM.2023.3242947
conference organizers and learn about -ieee.org/phd-initiative.
Date of current version: 6 April 2023 ways to stay involved with the MTT-S.

144 May 2023


IMS2023 MTT-S
Historical Exhibit
Steven N. Stitzer and Steve Huettner

T
he IEEE Microwave Theory and
Technology Society (MTT-S)
Historical Exhibit (Figure 1)
will be on display during the full 2023
Microwave Week at the San Diego
Convention Center. It will be located
near the commercial exhibit hall. The
display features artifacts and docu-
ments highlighting the invention and
development of our microwave tech-
nologies, going back in time as much
as a century ago. The earliest artifacts
in the collection are examples of split
anode magnetron oscillator tubes
developed by MTT-S microwave pio-
neer Ross Kilgore in the early 1930s.
These tubes were producing micro-
waves in the 1.6–20-GHz range. The
© LYLE PHOTOS

Steven N. Stitzer (s.stitzer@ieee.org) is the Figure 1. The IMS2022 Historical Exhibit.


IEEE Microwave Theory and Technology
historian and a Life Fellow of IEEE. He is
with Northrop Grumman Mission Systems,
Baltimore, MD 21090 USA. Steve Huettner latest artifacts currently held are lected papers of several notable Soci-
(shuettner@nuvotronics.com) serves on the solid-state devices and traveling wave ety members, including the personal
IMS2023 Local Arrangements Committee. tubes from the 1990s. The earliest notebooks of the late Seymour Cohn.
He is with Cubic Nuvotronics, Durham, books in the collection are the Naval This year, we also plan to have an
NC 85705 USA.
Electrician’s Text and Handbook and interactive kiosk featuring short vid-
Digital Object Identifier 10.1109/MMM.2023.3242953 Wireless Telegraphy from the 1900s. eos illustrating the history of micro-
Date of current version: 6 April 2023 Recent acquisitions include the col- wave engineering.

May 2023 145


The MTT-S Histor-
This year, we e q u ipm e nt f r o m a moves. These exhibits include the
ical Collection is cur- vari ety of companies SCR-270 (Pearl Harbor) radar antenna,
rently housed at the also plan to have and laboratories. The German Wurzburg radar antenna,
National Electronics an interactive museum is currently U.S. Navy XAF shipborne radar an -
Museum in Linthicum,
kiosk featuring closed and will be leav- tenna, Nike Ajax missile defense
MD, USA. The Museum ing its present loca- equipment, and SPG-55 shipborne
holdings include many short videos tion near Baltimore/ radar antenna. The museum plans
m i c r o w ave - r e l at e d illustrating Wa s h i n g t o n I nter- to resume its offerings of numerous
items, including com-
the history n at ion a l T hu rgo o d educational and hands-on programs
ponents and radar Marshall Airport by after the move. These programs annu-
systems from Hughes, of microwave the end of March 2023. ally reached more than 5,000 students
Northrop Grumman, engineering. Several outdoor exhib- at onsite and offsite events. More
Raytheon, RCA, West- its will remain onsite at information can be found at https://
ern Electric, and Westinghouse, as well 1745 West Nursery Road in Linthicum, www.nationalelectronicsmuseum.org.
as communications and countermeasures MD, USA, for a time after the museum

Share Your Preprint


Research with the World!
TechRxiv is a free preprint server for unpublished research in
electrical engineering, computer science, and related technology.
TechRxiv provides researchers the opportunity to share early results
of their work ahead of formal peer review and publication.

BENEFITS:
• Rapidly disseminate your research findings
• Gather feedback from fellow researchers
• Find potential collaborators in the scientific community
• Establish the precedence of a discovery
• Document research results in advance of publication

Upload your unpublished research today!

Follow us @TechRxiv_org
Learn more techrxiv.org Powered by IEEE

146 May 2023


IMS2023 Marketing,
Publicity, Publications,
and Promotions Activities
Ryan Baker and Judy Warner

T
he 2023 IEEE Microwave The-
ory and Tech n ique S o c ie t y
International Microwave Sym-
posium (IMS2023) Marketing, Pub-
licity, Publications, and Promotions
(MP3) Committee is constantly work-
ing on enhancing the IMS experience
and technology innovations while
balancing all of those wonderful tra-
ditional parts that make it a fantastic
conference and exposition.
You might not be familiar with
MP3. It is an expansion of the tradi-
tional roles of publicity and publi-
cations, sometimes referred to as
communications. The IMS Committee
places an emphasis on advancing
technologies in responsible ways.

Ryan Baker (ryan.baker@wolfspeed.com)


is with Wolfspeed, Inc., Durham, NC 27703
USA. and Judy Warner (judy.warner@ Figure 1. IMS2022 Program Book, Proceedings, and RFIC2022 Program Book.
impacttechmarketing.com) is with
The EEcosystem Podcast, San Diego, Take the publications portion of IMS. app to make it more useful. On the
CA 92562 USA. Yes, we still have a paper map/guide mobile app, you can download the
Digital Object Identifier 10.1109/MMM.2023.3242954 and paper proceedings (Figure 1).
Date of current version: 6 April 2023 However, we’ve enhanced the mobile (continued on page 153)

May 2023 147


Guest and Hospitality
Suite—Join Us in
San Diego 11–16 June!
Maggie Caverly and Karleen Mays

T
he IMS2023 Guest and Hospi-
tality Suite (Figure 1) will be a
comfortable home away from
home. In addition to a daily continental
breakfast and refreshments, the suite
will be a central location to meet your
family as well as friends, both ones
you knew before IMS2023 and ones
you make while in San Diego. In the
Guest and Hospitality Suite, there will
be information regarding sightseeing
tours and area attractions. Children
of attendees are also welcome to enjoy
the guest lounge with a parent. There
will be free craft activities for children,
teens, and adults.
Check our Facebook page (https://
www.facebook.com/IMSGuestSuite) for
more specific location information as Figure 1. Attendees of the Guest and Hospitality Suite.
well as additional activity information.

Access to the Guest and Hospital- the IMS2023 registration site (https://
Maggie Caverly and Karleen Mays
(ims2023gsuite@gmail.com) are the IMS2023 ity Suite is not included in the basic ims-ieee.org/) for more information on
Guest and Hospitality Suite organizers. free-of-charge guest pass and requires a registering for the Guest and Hospi-
Digital Object Identifier 10.1109/MMM.2023.3242955
one-time fee. Your fee includes a conti- tality Suite.
Date of current version: 6 April 2023 nental breakfast and refreshments. See

148 May 2023


Half-Wave Rectification
■ Takashi Ohira

T
he circuit shown in Figure 1 is often called a
half-wave rectifier because the diode is supposed
to stay on for 50% of one cycle. However, that L D
view is untrue since the on-duty ratio depends on the vs (t ) C∞ Ro
circuit parameters. Assuming the RF voltage source
waveform as
RF dc
Source Load
VQ@ ; E
sin ~t
v s (t) = 6VP
cos ~t Figure 1. The single-series diode rectifier from the last
puzzle, now solving for the specific vs(t) that makes a 50%
duty of the diode. Recall that the diode turns on at t = 0.

a certain relationship exists among VP, VQ, and the on-


Takashi Ohira (ohira@tut.jp) is with the Toyohashi University
of Technology, Toyohashi, Aichi 441-8580, Japan. duty ratio. To exactly expect a 50% duty cycle, which of
He is a Life Fellow of IEEE. the following should be equal to VP ?

(b) 1 VQ
Digital Object Identifier 10.1109/MMM.2023.3242520
(a) VQ (c) r VQ (d) r VQ
Date of current version: 6 April 2023 2 2 4

IEEE connects you to


a universe of information!
A

IEEE
M
IMAGE LICENSED BY INGRAM PUBLISHING

Visit www.ieee.org.

P IEEE Xplore S M C E
Engaging the Public: Using Microwave Wireless “Chargers” to
Charge the Interest of Future Engineers
■ Mahmoud Wagih

I
t is widely recognized that intro- inspire the next generation of diverse can draw inspiration from the 3-min-
ducing school-age students to sci- scientists and engineers. long introductions to research topics.
ence, technology, engineering, The presentation’s storyline should
and mathematics (STEM) subjects can Creating an Engaging integrate all the tools available at the
directly translate to an increased inter- (Microwave) Research presentation. This includes slides
est in taking up STEM careers. From Presentation (digital or printed), physical props,
computers to virtual and augmented Step one in STEM outreach is an en- and any hands-on activities.
reality, there are many technologies gaging presentation. The presenter In my activities, physical props
that can attract future engineers. Yet, must be enthusiastic for the presenta- have been invaluable in attracting the
can microwave engineering research tion to engage its potentially difficult attention of “future engineers.” In a
spark an interest in becom- world full of immersive
ing the next generation animations and graph-
of STEM students? As a ics, it is very unlikely that
researcher focusi ng on even a well-crafted slide
microwave power transfer deck by an engineer will
and harvesting, the quest be eye-catching for school
for engaging the public students. However, proto-
using microwave engi- types, portable equipment,
neering is an ongoing chal- components, and “bread-
lenge that closely follows boardy” demos often gen-
the research. Here I pres- erate sufficient curiosity.
ent my answer to the how, In my opinion, raising the
where, and why of using audience’s curiosity and
microwave engineering IMAGE LICENSED BY INGRAM PUBLISHING
interest, particularly with
research to engage and young students in STEM
audience [1]. The Three Minute Thesis outreach presentations, is the main
Mahmoud Wagih (mahmoud.wagih@glasgow. (3MT) competition at IEEE Micro - objective as opposed to educating them.
ac.uk) is with the James Watt School of wave Week has resulted in a unique The two-way dialogue increases the
Engineering, University of Glasgow, collection of straight-to-the-point positive impact of an outreach presen-
G12 8QQ Glasgow, U.K. presentations covering the breath tation. Where possible, structuring a
Digital Object Identifier 10.1109/MMM.2023.3242497
of microwave engineering [2], [3]. session or presentation to make it inter-
Date of current version: 6 April 2023 Aspiring microwave ambassadors active will grip the audience’s attention.

150 May 2023


In an interactive “Meet the Scientist” enables a hands-on lab experience body interacts with propagating elec-
session with middle school students for the audience at very little cost tromagnetic waves.
at the University of Southampton’s or added complexity. Thus, observ- Hands-on activities can also apply
LifeLab, a range of hands-on to pre- ing how the human body interacts in more mobile exhibitions. For exam-
sentation-style activities were incorpo- with wearable antennas can be easily ple, “Microwaves in Everyday Life”
rated in the 15-min session. This led to visualized. Similarly, the influence was present at the Glasgow Doors
the creation of my presentation tool kit, of an antenna’s radiation patterns Open Weekend Festival, organized by
shown in Figure 1, which is composed and directivity can also be explained the University of Glasgow’s ARCadia
of the following: by rotating a directional source and Festival, as shown in Figure 2. The
• flexible and printed antennas on observing the effect in real time. The audience of such outreach fairs is wider
textiles [see Figure 1(a) and (e)] same mechanism can be used to show than that of organized school groups
• E-field distributions: a printed a group of observers how the human and can range from preschool-age
E-field distribution plot [see Fig-
ure 1(a) and (b)] of the patch an-
tenna on fabric (c)
• flexible rectennas based on dif-
ferent designs, loaded with LEDs
• a far-field wireless power source
(a Powercast 915-MHz 3-W trans-
mitter).
Alternating among props, slides, and (a)
(e)
hands-on experiments gives the pre- (b)
sentation a more natural feel. Starting
by handing the wearable antenna
samples [see Figure 1(a) and (e)] over to
the students and simply asking them
to guess what their function is raised
(d)
the students’ curiosity. It is crucial to
note that, in some cases, STEM out-
reach presentations are an element of
a structured school visit that the stu-
dents did not voluntarily participate
in. Therefore, the presenter has the Figure 1. The STEM Outreach session tool kit. (a) A printed antenna on textile, and
job of exciting the audience early on a print of its surface E-fields. (b) The broadside E-fields of the patch. (c) A Powercast
during their presentation; finding a transmitter. (d) A selection of state-of-the-art flexible rectennas [8]. (e) A concealed
common ground that links the presen- rectenna in textile.
tation’s material to the students’ inter-
ests is key.
Inviting students to volunteer to
help run the demo engages both the
volunteer and their peers. In the RF
wireless power demo (using the tool
kit shown in Figure 1), the volunteer
student explored how the positioning
of a reflecting metal mesh around a
rectenna influences the LED’s inten-
sity and working range. In an attempt
to gamify the experience, one could
ask the students to guess what caused
the changes; in my presentation, the
flexible reflector sheet was simply hid-
den in a paper folder.
The availability of very compact and Figure 2. A photo of the author explaining microwave wireless charging technologies
extremely inexpensive hardware, such at the Glasgow Doors Open Festival in an exhibition stand. The inset shows a recently
as the tinySA Spectrum Analyzer [4], developed flexible rectenna array [9] likened to a battery.

May 2023 151


children to experienced senior sci- and RFID)-based sensing to the power disciplines. Collecting feedback from
entists from other backgrounds. One emissions of Wi-Fi, gives a tangible nearly 30 students following multiple
also needs to consider the venue when feel for safe radiated power levels. One interactive sessions was highly valu-
planning the material to be delivered. could acknowledge the ongoing work able in getting an insight into what
In a fair-type exhibition stall, reflectiv- to evaluate the safety of new millime- worked well and, crucially, where to
ity of the human body can be easily ter-wave frequency bands [5]. In my improve the presentation. From com-
demonstrated to a small group simply opinion, the approach of acknowledg- mending the practical, hands-on ele-
by observing how subtle movements ing ongoing work on evaluating the ments of the event, stating that the
of the presenters and the audience safety of microwave technologies, with presentation “inspired [them] to help
influence the received power levels. the aid of clear diagrams of param- the planet,” to asking the presenter
The appeal of involving the audi- eters such as the specific absorption to “be a bit more confident with your
ence, however, comes at the risk of rate, both informs the audience and words,” a number of Post-it Notes
derailing the presentation. This can sparks curiosity. (shown in Figure 3) collected from
only be managed by rehearsing the the students acted as both a reward
“show”; inviting a colleague or friend How to Get the Most Out It? and a guide to enhance future public
who is not familiar with the work to In many cases, especially for research- engagement activities.
test drive the setup is a good approach ers in academic institutions, dedicated One must remember that STEM
to gain feedback and explore poten- public engagement and outreach teams outreach and public engagement activi-
tial pitfalls. Overall, I recommend that and STEM ambassadors/networks ties have a key benefit in making our
the presenter budgets more time than have relevant events and venues where profession more diverse and inclu-
expected when a two-way interaction engineers can interact with schools, sive. From increasing the presence of
is planned but also keeps a number families, and the public. Particularly women in (microwave) engineering to
of backup activities in mind in case for young professionals, leading STEM approaching students from underrep-
the audience goes through an activity activities and communicating research resented minorities [10], taking part in
rather quickly. to nontechnical audiences can be a STEM outreach events has more than
Connecting with the audience’s rewarding experience [6]. A young pro- one benefit. Engaging a broad range of
interests and potential concerns is key fessionals-led STEM workshop bene- students at a young age, showing them
to an engaging and useful presenta- fits the organizers, assisting university an area of engineering and its applica-
tion. For instance, the safety of per- (engineering) student volunteers and, tions that is usually transparent, will
vasive microwave technologies is a ultimately, the audience [7]. The ability help diversify the pool of future engi-
key, frequently asked question. Most to convey technical information effec- neers. The additional and often missed
students are active users of micro- tively to a nonspecialist, but interested, benefit is that by preparing and taking
wave-enabled technologies such as audience is transferable to all engineer- part in public engagement events, one
smartphones; explicitly explaining ing communication domains [1], [2], [3]. can develop presentation skills that
the similarity between a microwave Feedback is invaluable and could are particularly useful when engag-
oven and Wi-Fi, both of which oper- translate to technical presentations, ing audiences from diverse and often
ate in the 2.4-GHz band, helps the tradeshows, interviews, and public underrepresented backgrounds, con-
audience appreciate the difference lectures. The questions received from trary to the conventional research pre-
that power levels and the operation (an excited group of) middle or high sentations to “like-minded” audiences
environment could make. Likening school students were broadly akin to at conferences and technical events.
emerging microwave applications, those received at exhibitions aimed at In summary, my experience has
such as wireless power and RF (radar researchers and engineers from other shown that the best way to approach

Figure 3. Excerpts from feedback notes from school students following a 15-min interactive “Meet the Scientist” session on wireless
power transfer using microwaves.

152 May 2023


STEM outreach activities is to closely “Meet the Scientist” sessions, Abiodun [4] tinySA. Accessed: Dec. 3, 2022. [Online]. Avail-
able: https://www.tinysa.org/wiki/
link them to ongoing research activi- Komolafe and Russel Torah for orga-
[5] J. C. Lin, “Health safety guidelines and 5G
ties. Rather than thinking about pub- nizing the E-Textiles exhibition at the wireless radiation [Health Matters],” IEEE Mi-
lic engagement at the end of a project, Southampton Science and Engineering crow. Mag., vol. 23, no. 1, pp. 10–17, Jan. 2022,
taking research prototypes straight of Day, the University of Glasgow Public doi: 10.1109/MMM.2021.3117307.
[6] V. Palazzi, “3MT’s positive impact: Personal and
the lab to the public (see Figure 2) [9] Engagement team for organizing the
professional growth [Women in Microwaves],”
makes the process more rewarding ARCadia Festival, and Jungang Zhang, IEEE Microw. Mag., vol. 23, no. 3, pp. 80–82, Mar.
and seamless to implement. Asking Yao Ge, and Syed Basit Ali for their 2022, doi: 10.1109/MMM.2021.3132195.
ourselves, “Which element of my re- help delivering the activities. Finally, I [7] Kiourti, “STEM outreach by young profes-
sionals—A TechnoFashion paradigm [Young
search would interest the public?” acknowledge the U.K. Royal Academy Professionals],” IEEE Antennas Propag. Mag.,
could help us identify new research of Engineering and the Office of the vol. 63, no. 6, pp. 138–140, Dec. 2021, doi:
and engineering challenges. Finally, Chief Science Adviser for National 10.1109/MAP.2021.3116460.
I would encourage microwave engi- Security for supporting my work under [8] M. Wagih, A. S. Weddell, and S. Beeby,
“Meshed high-impedance matching network-
neers to think more about which ele- the U.K. Intelligence Community free rectenna optimized for additive manu-
ment of their everyday tasks would Research Fellowship Program. facturing,” IEEE Open J. Antennas Propag.,
appeal to the public, and to start a con- vol. 1, pp. 615–626, Nov. 2020, doi: 10.1109/
versation with a local STEM outreach- References OJAP.2020.3038001.
[1] C. Cormick, “Top tips for getting your science [9] M. Wagih and S. Beeby, “Thin flexible RF en-
focused network on how to get started. out there,” Nature, early access, Jan. 2020, doi: ergy harvesting rectenna surface with a large
10.1038/d41586-020-00239-6. effective aperture for sub μW/cm2 powering
Acknowledgment [2] J. W. Bandler and E. M. Kiley, “In the first of wireless sensor nodes,” IEEE Trans. Microw.
few blinks of an eye: The basics of engaging Theory Techn., vol. 70, no. 9, pp. 4328–4338, Sep.
I thank John Bandler for his extensive
presentation [Speakers’ Corner],” IEEE Mi- 2022, doi: 10.1109/TMTT.2022.3192532.
promotion of engaging presentations crow. Mag., vol. 18, no. 2, pp. 112–120, Mar./Apr. [10] R. Franklin, R. Henderson, N. Pillay, H. Ra-
and mentoring throughout the IEEE 2017, doi: 10.1109/MMM.2016.2636681. thore, A. Samant, and T. Weller, “IMS2020
Microwave Theory and Technology [3] J. W. Bandler, E. M. Kiley, D. Tajik, and A. project connect: Connectivity that works,”
Eid, “The IMS2021 microwave week virtual IEEE Microw. Mag., vol. 21, no. 5, pp. 69–73,
Society’s. International Microwave
3MT competition,” IEEE Microw. Mag., vol. May 2020, doi: 10.1109/MMM.2020.2971410.
Symposium 3MT competitions, South- 22, no. 5, pp. 63–65, May 2021, doi: 10.1109/
ampton’s LifeLab for inviting me to the MMM.2021.3056985.

IMS2023 Marketing, Publicity, Publications, and Promotions Activities (continued from page 147)

slides from a talk as well as a PDF. This unique flavor from the San Diego
enhanced functionality to provide you location. The Welcome Reception will
with a great experience also reduces have a unique “Latin American Street
our carbon footprint as a conference. Party” theme. It includes all the fun,
Sustainability is important, and our music, and food you would expect
digital presence supports it! from a vibrant street party.
The IMS Microwave Week app Keeping the good vibes going, we
(Figure 2) is available in the Apple also have a “beach party” theme for
App and Google Play stores. Install the for IMS Industry Reception, with
the app on your Android or iOS special prizes. This will take place on
device to view the full schedule of the exhibition floor on Wednesday,
Workshops, Technical Lectures, IMS 14 June. Wednesday also happens
and RFIC Technical Sessions, ARFTG, to be the day attendees can gain
Panel Sessions, Social Events and Ex- free access to the exhibits. For all
hibition information. On-site during the week’s events, be sure to check
Microwave Week, you will be able to out the “Schedule of Events” page
download IMS and RFIC papers and ( ht t ps://i m s-ieee.org/con ference
presentations, access Workshop mate- exhibitionschedule) or in the mobile
rials, locate exhibitors and explore all app. We look forward to delivering
that San Diego, CA, has to offer! an amazing experience and will see
MP3 is working with other IMS you at IMS2023!
subcommittees to bring you some Figure 2. The IMS Microwave Week app.

May 2023 153


■ Edward C. Niehenke

A
s your Ombuds Officer, I received
16 inquiries (five non-U.S.) from
IEEE Microwave Theory and
Technology Society (MTT-S) mem-
bers from 1 November to 31 December
2022. All inquiries were typically acted
upon within one week, and replies
were sent to all MTT-S members.

Technical Questions also had specific questions, which are included. Finally, a member was
and Information were answered. interested in when MTT-S will be pro-
A member was approached by AT&T A member requested the location viding the 70th anniversary souvenir
to place a 5G cell tower on the mem- of the article Tatsuo Itoh [In Memoriam], to members; I reported to the mem-
ber’s six-floor concrete building and by Peter H Siegel, Ed Niehenke, ber that it was delayed and will be
was interested in documentation on and Jerry Hauser. I informed the shipped shortly.
the health and safety of such an instal- member that it is found in IEEE
lation. I provided the member with Microwave M agazine, volu me 22, MTT-S Web
many additional publications con- issue 6, June 2021. One agency wants I have had many requests concerning
cerning this situation. I also contacted to subscribe to IEEE Transactions on www.mtt.org. One agency wants to
Technical Committee 23, Wireless Microwave Theory and Techniques and advertise, sponsor, or even post oppor-
Communications, which had informa- Microwave and Wireless Component tunities on our website. I informed the
tion as follows. Dr. Abas Omar, who Letters and requested an invoice, agency that we do not allow any of the
is the chair of the recently launched which was sent. items listed. Another agency wants to
working group Health and Safety A member wanted display ads on our website. I informed
Aspects of Millimeter-Wave Radiation to know if one has the agency that we do not allow this.
in 5G and Beyond, provided the access to the MTT-S Another agency wants to post guest
member with some material related to International Micro- articles. I informed the agency that we
the health aspects of 5G. The member wave Symposium as do not allow this.
well as the MTT-S
International Confer- Publishing Papers
Edward C. Niehenke (e.niehenke@ieee.org) is with Prof. Tatsuo Itoh ence on Microwave One member has t wo published
Niehenke Consulting, Eldridge, MD 21075 USA. 1940–2021.
Acoustics and Mechanics MTT-S papers and wants to integrate
Digital Object Identifier 10.1109/MMM.2023.3242498 in the Conference Digital Library. them into the member’s Ph.D. thesis
Date of current version: 6 April 2023 I reported to the member that both and asked if it is OK since IEEE owns

154 May 2023


the copyright. I contacted our publi- himself/herself only. If the two of you and Canada at +1 800 678 4333 or world-
cation committee chair, Dr. George want to apply, then you should submit wide at +1 732 981 0060; fax: at +1 732
Ponchak, and he replied that the mem- two different applications. However, 562 5445, attention member services;
ber can use them as part of that mem- if multiple applications e-mail contactcenter@
ber’s thesis. are subm it ted f rom ieee.org. To add a new
one i n st it ut ion a nd A member was service electronically,
IEEE Microwave Magazine one supervisor, accord- interested in please visit IEEE at
ing to the rules, only
One of our members did not receive
six issues of IEEE Microwave Magazine. one applicant from the
when MTT-S will www.ieee.org and click
on “Renew.” To pur-
Another member was missing one same institution can be providing the chase an IEEE prod-
issue. A request was made to issue all receive the award. 70th anniversary uct by e-mail, contact
the missing issues. customercenter@ieee.
International
souvenir to org or call the numbers
MTT-S Chapter Support Microwave members; I listed previously.
One of our very active MTT-S Chapters Symposium reported to the Please feel free to
inquired about financial assistance. I A request was made to contact me by letter,
reported to the Chapter that details include two MicroApp
member that it telephone, or e-mai l
are found in the Chapter Officers Hand late submissions, which was delayed and concerning any com-
book (https://mtt.org/chapter-officers were sent to the Micro- will be shipped plaint you may have
-handbook/). Needy chapters are Apps Exhibits. or any assistance you
entitled to US$1,000 annually if affili-
shortly. may need in obtaining
ated only with MTT-S. Chapters affili- MTT-S Web Page membership services
ated with two Societies can receive and Help Line from IEEE and the MTT-S (mtt.org).
US$500 annually. The MTT-S AdCom Additional IEEE contact information is My contact information can be found
has established a fund to aid needy as follows: toll-free in the United States on the first page of this column.
chapters in putting on workshops and
symposia. The fund provides US$500
seed money per Chapter to aid in put-
ting on a yearly workshop or sympo-
sia. Criteria for funding for US$500 as
well as higher levels are found in the
Chapters Officer Handbook.

Undergraduate Pre-Graduate
Scholarships
I received an inquiry on whether a joint
application (two) for the Undergraduate
Pre-Graduate Scholarship is allowed.
I contacted the chair, Dr. Zlatica
Marinkovic, who explained that joint
proposals of two or more students are
not allowed. Each applicant applies for

May 2023 155


MAY 2023 AUGUST 2023 2023 International Topical Meeting
2023 IEEE 27th Workshop on Signal 2023 IEEE International Symposium on Microwave Photonics (MWP)
and Power Integrity (SPI) on Radio-Frequency Integration 15–18 October 2023
7–10 May 2023 Technology (RFIT) Location: Nanjing, China
Location: Aveiro, Portugal 14–16 August 2023
Location: Cairns, Australia 15th International Conference on
2023 IEEE MTT-S International Advanced Technologies,
Wireless Symposium (IWS) SEPTEMBER 2023 Systems, and Services in
16–19 May 2023 2023 IEEE MTT-S International Micro- Telecommunications (TELSIKS)
Location: Qingdao, China wave Biomedical Conference (IMBioC) 25–27 October 2023
11–13 September 2023 Location: Nis, Serbia
JUNE 2023 Location: Leuven, Belgium
2023 IEEE Wireless Power Transfer NOVEMBER 2023
Conference and Expo (WPTCE) 2023 European Microwave Week 2023 SBMO/IEEE MTT-S International
5–9 June 2023 17–22 September 2023 Microwave and Optoelectronics
Location: San Diego, CA, USA Location: Berlin, Germany Conference (IMOC)
5–9 November 2023
2023 IEEE/MTT-S International 2023 48th International Conference Location: Castelldefels, Spain
Microwave Symposium— on Infrared, Millimeter, and Terahertz
IMS 2023 (co-located with RFIC Waves (IRMMW-THz) IEEE Conference on Microwaves,
2023, ARFTG) 17–23 September 2023 Communications, Antennas, Bio-
11–20 June 2023 Location: Montreal, QB, Canada medical Engineering, and Electronic
Location: San Diego, CA, USA Systems (IEEE COMCAS 2023)
OCTOBER 2023 6–8 November 2023
2023 IEEE MTT-S International 2023 IEEE Global Humanitarian Location: Tel Aviv, Israel
Conference on Numerical Technology Conference (GHTC)
Electromagnetic and Multiphysics 12–15 October 2023 2023 International Workshop on
Modeling and Optimization (NEMO) Location: Radnor, PA, USA Integrated Nonlinear Microwave and
28–30 June 2023 Millimeter-Wave Circuits (INMMIC)
Location: Winnipeg, MB, Canada 2023 IEEE BiCMOS and Compound 8–11 November 2023
Semiconductor Integrated Circuits and Location: Aveiro, Portugal
JULY 2023 Technology Symposium (BCICTS)
2023 Sixth IEEE International 14–18 October 2023 2023 IEEE MTT-S International
Workshop on Mobil Terahertz Location: Monterey, CA, USA Microwave Workshop Series on
Systems (IWMTS) Advanced Materials and Processes
3–5 July 2023 2023 IEEE 32nd Conference on for RF and THz Applications
Location: Bonn, Germany Electrical Performance on Electronic (IMWS-AMP)
Packaging and Systems (EPEPS) 13–15 November 2023
Digital Object Identifier 10.1109/MMM.2023.3242956 15–18 October 2023 Location: Chengdu, China
Date of current version: 6 April 2023 Location: Milpitas, CA, USA

156 May 2023


PROGR AM P RE V I E W

ur se lf
e e Yo 3
S
A t I M S 2 0 2
IMS
Connecting Minds. Exchanging Ideas.

Register
Now!
IEEE MTT-S International
Microwave Symposium
11-16 June 2023
San Diego California
Week At-A-Glance/IMS & RFIC Plenary Speakers
Sunday Monday Tuesday Wednesday Thursday Friday
11-Jun-23 12-Jun-23 13-Jun-23 14-Jun-23 15-Jun-23 16-Jun-23
Workshops
Technical Lectures
RFIC Plenary Session, Reception,
Industry Showcase
Quantum Bootcamp
AI/ML Bootcamp
RF Bootcamp
RFIC Technical Sessions and
Interactive Forum
Three Minute Thesis
IMS Industry Showcase, Plenary
and Welcome Reception
IMS Technical Sessions and
Interactive Forum
Panel Sessions
Connected Future Summit
Exhibition
MicroApps and Industry Workshops
Amateur Radio Reception
Young Professionals Reception
Industry Hosted Reception
Women In Microwaves Reception
IEEE WIE ILC
IMS Closing Ceremony
101st ARFTG

Workshops Technical Lectures RFIC Bootcamp Three Minute Thesis IMS Panel Sessions
Connected Future Summit Exhibitor Activities Focus Groups ARFTG IEEE WIE ILC

IMS and RFIC


Plenary Speakers

RFIC SPEAKER RFIC SPEAKER IMS SPEAKER


Prof. Mau-Chung Frank Chang Dr. Todd Younkin Ed Godshalk, PhD
Wintek Chair in Electrical Engineering President & CEO, Consultant and Engineer in
and Semiconductor Research Residence, George Fox
Distinguished Professor, University of Corporation (SRC) University
California, Los Angeles (UCLA)
Panel Sessions,
Technical Lectures & Workshops

PANEL/RUMP SESSIONS
• Meet the MTT-S Editors and Publication Enthusiasts
• How Soon Will We Become Cyborgs?
• RF-Microwave Packaging and Interconnect Technologies for Global Integration – Are We on the Right Track?
• Industrial Future of Wireless Power Transfer as Game Changing Technology
• AI-ML Based Wireless System Design and Operation – Hope or Hype?
• Model Based System Engineering in Electronics Design: Building Bridges from Micro to Macro
• 5G FR1-FR2 Convergence: Challenges and Outlook for Remote Healthcare and Time-Critical Communications

TECHNICAL LECTURES
• Modern Radio Receivers – From WiFi to 5G and Beyond
• Integrated Digital Twins for Design and Test of 5G Networks
• Smart Radar Circuits and Systems for Healthcare and IoT Applications
• The Insight of Spaceborne Solid-State Power Amplifiers: From Semiconductor Technologies to Flight Model Equipment

WORKSHOPS
• Human Body Communications
• Advances in SATCOM Phased-Arrays and Constellations for LEO, MEO and GEO Systems
• Hands On Phased Array Beamforming using Open Source Hardware and Software
• Quantum RF Receivers: Using Rydberg Atoms for Highly Sensitive and Ultra Wideband Electric Field Sensing
• SWIPT – Simultaneous Wireless Information and Power Transmission for Future IoT Solutions
• mm-Wave and Terahertz Systems for Near-Field Imaging, Spectroscopy and Radar Sensing Applications
• Emerging MIT/PCM Based Reconfigurable Microwave Devices
• Toward Tbps Optical and Wireline Transceivers: A Tutorial for RFIC Designers
• GaN/GaAs Technology Development and Heterogeneous Integration for Emerging mmWave Applications
• System Design Considerations for Advanced Radios
• On-wafer mm-wave Measurements
• Health Aspects of Millimeter-Wave Radiations in 5G and Beyond
• Measurement and Modeling of Trapping, Thermal Effects and Reliability of GaN HEMT Microwave PA Technology
• Wideband and High Efficiency mm-Wave CMOS PA Design for 5G and Beyond
• Supply Modulation Techniques: From Device to System
• Front-End Module Integration and Packaging for 6G and Beyond 100 GHz Communication and Radar Systems
• Wireless Proximity Communication
• Advanced Interference Mitigation in Integrated Wireless Transceivers
• In-Band Full-Duplex Integrated Devices and Systems
• Advanced Manufacturing and Design Techniques for Emerging 3D Microwave and Millimeterwave RF Filters
• AI/ML-Based Signal Processing for Wireless Channels
• Large-Scale Antenna Arrays: Circuits, Architectures, and Algorithms
• Superposition and Entanglement: When Microwaves Meet Quantum
• mmWave Design Challenges and Solutions for 6G Wireless Communications
• RF Large-Signal Transistor Performance Limits Related to Reliability and Ruggedness in Mobile Circuit Applications
• Microwave Techniques for Coexistence Between 5G and Passive Scientific Systems
• Commercial Applications of Medical RF, Microwave and Millimeter-wave Technology
• Emerging Low-Temperature/Cryogenic Microwave Techniques and Technologies for Quantum Information Processing
• Digitally Intensive PAs and Transmitters for RF Communication
• Recent Developments in Sub-6 GHz PAs and Front-End Modules
• Micro and Nano Technologies Challenges to Address 6G Key Performance Indicators

For the latest on IMS and Microwave Week visit ims-ieee.org


IMS Technical Sessions
Tuesday, 13 June
We2E Sensors and Models for Microwave and mm-Wave Propagation
Tu1A AI-Machine Learning Technologies for Microwaves
We2F Substrate Integration Technology for GHz and THz Applications
Tu1B Recent Advancements in HBTs and HEMTs for RF Applications
We2G Advanced MMW Radar Systems
Tu1C Advanced Techniques in Multichannel and MIMO Systems
We2H Advanced Waveguide Filters
Tu1D Millimeterwave CMOS LNAs and Receivers
We3A Quantum Computing-Sensing Components and Techniques
Tu1E Advanced Techniques for mm-Wave Signal Generation
We3B THz Imagers and Detectors and Microwave Photonics
Tu2A Machine Learning for RF to mm-wave Systems
We3C Novel Power Amplifier Architectures for High Power Applications
Tu2B Emerging mm-Wave GaN Technologies for DoD and 5G-6G Applications
We3E Advances in Computational Techniques for Microwave and Millimeter
Tu2C Advances in RF and mm-Wave Phased Array Antennas and Modules Wave Applications
Tu2D Microwave and Millimeterwave LNAs We3F Emerging Planar Filters: From L-band to mm-Waves
Tu2E Advanced Frequency Conversion and Signal Generation We3G RF-Microwave Research in Latin America
Tu3A Artificial Intelligence and Machine Learning Techniques for Signal and We3H Non-Planar Passive Components and Technologies
Power Integrity
We4A Advances in Quantum Devices, Circuits and Systems
Tu3B Integrated Passive Devices
We4B THz Communications Components
Tu3C Emerging mm-Wave Integrated Transceivers and Beamformers
We4C HF Through UHF, Watts to Kilowatts Power Amplifiers and Applications
Tu3D Power Amplifier Design Solutions for Sub 6-GHz Applications
Thursday, 15 June
Tu3E Advanced High-Frequency Mixed-Signal Circuits and Systems
Th1A Reconfigurable Planar Filters and Diplexers
Tu4A Advances in Computer-Aided Analysis and Design
Th1B Advances in Biomedical Sensing and Wave Interaction
Tu4B Integrated Filters
Th1C Recent Advancement in Injection Locked Radar Sensing
Tu4D Advanced Linearization Techniques for Power Amplifiers and MIMO
Transmitters Th1D Advanced Near Field Wireless Power Transfer

Wednesday, 14 June Th1E Recent Advances on Microwave Acoustics

We1A Space Systems and Technologies Th1F Advances in Over-the-Air and Millimeter Wave Measurements

We1B Enabling Technologies for Sub-THz and THz Systems Th1G High Linearity Millimeter-Wave Power Amplifiers

We1C Broadband and High Frequency GaN Power Amplifiers Th2A Advanced Reconfigurable Filters

We1D Advanced Additively Manufactured RF Systems and Heterogeneous Th2D Advanced Far Field Wireless Power Transfer
Solutions Th2E Application of Integrated Magnetic Materials and Control Circuits
We1E Theory and Inverse Design for Novel Applications Th2F Conducted and Over-the-Air Nonlinear Characterization Techniques
We1F Emerging Technologies for Transmission Lines and Planar Components Th2G Broadband Millimeter-Wave MMIC Power Amplifiers
We1G Automotive and MIMO Radar Th2H Microwave-mm-Wave Sensing Techniques and Applications
We1H Innovative Non-planar Filter Topologies and Synthesis Th3A Tunable Devices
We2A Model Based Systems Engineering for RF, Microwave, and Th3B Integrated RFID Systems and Applications
Millimeter-Wave Applications
Th3C High Accuracy Physiological Sensing and Positioning
We2B Thz and Sub-Thz System Demonstrations
Th3G MTT-S Sat Challenge
We2C High-Power (>10W) Load Modulated GaN Power Amplifiers
Th3I Recent Advances in Microwave and mmWave Biomedical Radar Sensing
We2D Advanced Packaging and Interconnects Techniques

Technical Track Key:

Field, Device and Circuit Tech. Passive Components & Active Devices
Packaging
Emerging Technologies Focus or Special Sessions RFIC Sessions

Systems & Applications

For the latest on IMS and Microwave Week visit ims-ieee.org


Boot Camps and IMS2023 Technical Themes
AI/ML BOOT CAMP
This course on Sunday, 11 June will present the basics of Artificial Intelligence (AI)/
machine learning (ML) for microwaves. The course is targeted to general audiences in
the microwave community who are not necessarily experts in AI/ML and want to learn the
basics of AI/ML. This includes engineers that are interested in using AI/ML for microwave
applications, marketing and sales professionals who are interested in understanding
the basics and relevance of AI/ML for microwaves, and university students who like to
acquire the basic knowledge of AI/ML. The course will provide ample opportunities for
audience interaction and Q&A. The fee includes a lunch, and an afternoon refreshment
break.
QUANTUM BOOT CAMP
This course on Sunday, 11 June will provide an introduction to the basics of Quantum
engineering, targeting microwave engineers who want to understand how they can
make an impact in this emerging field. The intended audience includes new engineers,
engineers who may be changing their career path, marketing and sales professionals
seeking a better understanding of Quantum technology, as well as current university
students looking to learn more about the practical aspects of Quantum technology.
The format of the Quantum Boot Camp is like that of a short course, with speakers
covering quantum engineering basics with a focus on the control and measurement
of Quantum systems and will conclude with a hands-on introduction to the design of
superconducting qubits using modern microwave CAD tools. The bootcamp is geared
towards making the remainder of Quantum-week more accessible to attendees. The
fee includes a morning refreshment break and a lunch.
RF BOOT CAMP
This course on Monday, 12 June will cover real-world, practical, modern design and
engineering fundamentals needed by technicians, new engineers, engineers wanting a
refresh, college students, as well as marketing and sales professionals. Experts within
industry and academia will share their knowledge of RF/Microwave systems basics,
simulation and network design, network and spectrum analysis, and microwave antenna and
radar basics. Attendees completing the course will earn two IEEE CEUs. The fee includes a
morning refreshment break, lunch, and an afternoon refreshment break.

EC H NIC AL B OOK YOUR


3T TH R: H
02 Tuesday:
E
OU
D
EM
2

Wireless Communications
IN
IMS

SIN
ES

RE M

Future Directions: Artificial


Intelligence (AI)/Machine Learning (ML)
G!

Connected Future Summit


Wednesday: IMS has secured special
Model Based Systems Engineering (MBSE) rates for attendees and exhibitors.
Space Visit ims-ieee.org/housing
Thursday: to book today.
Wireless Power Transfer Deadline: 18 May 2023
Biomedical

For the latest on IMS and Microwave Week visit ims-ieee.org


Exhibition, MicroApps, Industry
Workshops and Mobile App
INDUSTRY WORKSHOPS
The Industry Workshops are 2-hour industry-led presentations
featuring hands-on, practical solutions often including live demon-
strations and attendee participation. These Workshops are open to
all registered Microwave Week attendees for $25 per Workshop.
View the complete Industry Workshop schedule at
https://ims-ieee.org/exhibition/microapps/industry-workshops

Title Affiliation
EXHIBITION OVERVIEW .01-20 GHz Small Form Factor Multiport Network
Richardson RFPD
The IMS2023 Exhibition includes 475+ exhibitors from around the Analyzer Design Accelerators
world, showcasing their products and services. All conference pass 5G Front End Modules Principles Skyworks Solutions
holders gain free access to the exhibition, from 13-15 June. You can
also register for a FREE EXPO-only pass for the Wednesday exhibi- Rohde & Schwarz
6G: Enabling the Path Towards THz Frequencies
GmbH & Co KG
tion or attend all three days of the exhibition including presentations
in the MicroApps Theater for only $30 if you register by the Early Cadence Design
Advances In Multi-Technology Design Flow to Sign-Off
Bird Deadline of 12 May 2023. Systems, Inc.
Balancing Tradeoffs: Taming Signal Integrity Challeng-
Samtec, Inc.
SHOW HOURS es in mmWave Antenna-to-Bits Implementations
Tuesday, 13 June 2023 09:30-17:00 Building a Hybrid Beamforming Digital Twin of
Wednesday, 14 June 2023 09:30-18:00 Commercial Off the Shelf (COTS) Hardware using Keysight Technologies
Commercially Available EDA Software
Thursday, 15 June 2023 09:30-15:00
Co-design Techniques for Wideband mmWave and
MathWorks, Inc.
SatCom Phased Array Systems
SHOW FLOOR NETWORKING HIGHLIGHTS
DC-44 GHz Wireless Test System Design Accelerator
• IMS Game Zone Richardson RFPD
Blocks for Wireless Test Systems
• Coffee Breaks
Design Technology Co-Optimization (DTCO) of RF Pow-
• Sweet Treat Tuesday er Amplifier Designs with GaN Device Technology
Synopsys, Inc.
• Industry Hosted Reception
• IEEE Societies Pavilion Efficient Simulation of (Semi)Periodic Microwave
ANSYS, Inc.
Devices using ANSYS HFSS
• Systems Pavilion
• Three Networking Lounges with Charging Stations GaN-on-SiC: From Technology to System Design
Wolfspeed, A Cree
• Professional Headshots through Systematic and Accurate Modeling of Device
Company
Building Blocks
• Build a “Foxhole” Radio Receiver
• MicroApps Improved DUT Characterization by Virtue of Accurate
National Instruments
Vector Signal Generation at a Remote (DUT) Plane

MICROAPPS Linearization of Upcoming High-Efficient RF Power Am-


plifiers using Simulation and Hardware Measurements
MathWorks, Inc.
The Microwave Application seminars (MicroApps) offered Tuesday,
Low-Loss 3D-Printed Flat Cylindrical GRIN Lens for 5
13 June through Thursday, 15 June 2023, provide a unique forum for dB Gain Enhancement of a 20 dBi Horn Antenna
Fortify
the exchange of ideas and practical knowledge related to the design,
development, production, and test of products and services. Maximizing Return on Investment for On-Wafer
FormFactor Inc.
Over-Temperature Millimeter-Wave Characterization
MicroApps seminars are presented by technical experts from
IMS2023 exhibitors with a focus on providing practical information, MBSE Introduction: Systems to Silicon and Back INCOSE
design, and test techniques that practicing engineers and technicians mmWave Permittivity Standard Reference Material
can apply to solve the current issues in their projects and products. QWED Sp. z o.o
Development
View the complete MicroApps schedule at https://ims-ieee.org/
Optimizing High Performance Wireless RF Front
exhibition/microapps/microapps-seminars End Products Time-to-Market; From Engineered SOITEC
Substrates to Systems
IMS MICROWAVE WEEK MOBILE APP Phased Array System Modeling and Design using
MathWorks, Inc.
MATLAB and Analog Devices Hardware
The IMS Microwave Week app is now available in the Apple App
store and Google Play store. Install the app on your Android or iOS Production OTA and ORAN Testing for 5G mMIMO
Keysight Technologies
device to view the full schedule of Workshops, Technical Lectures, Radio
IMS and RFIC Technical Sessions, ARFTG, Panel Sessions, Social Silicon to Phased Array Design, Analysis and AiP Cadence Design
Events and Exhibition information. On-site during Microwave Week Implementation Systems, Inc.
you will be able to download IMS and RFIC papers and presentations, Validating a CATR Benchtop OTA Test System for 5G Rohde & Schwarz
access Workshop materials, locate exhibitors and explore all that San FR2 Phased Array Antenna R&D Testing GmbH & Co KG
Diego, CA, has to offer! Download today!

Follow us on:

#IMS2023
Apple App Store Google Play Store
For the latest on IMS and Microwave Week visit ims-ieee.org
Exhibitor List
2pi-Labs GmbH DiTom Microwave Inc. JFW Industries Inc. Orbel Corp. Statek Corp.
3D Glass Solutions Inc. Dongwoo Fine-Chem Co. Ltd. Jiangsu Caiqin Technology Co. Ltd. Orolia USA Stellant Systems
3G Shielding Specialties Doosan Electro Materials Jiangsu Trigiant Technology Co. Ltd. Palomar Technologies Stellar Industries Corp.
3H Communications Systems Ducommun Inc. Johanson Technology Pasquali Microwave Systems StratEdge Corp.
3Rwave ECHO Microwave JQL Technologies Corp. Passive Plus Sumitomo Electric Device Innovations
A-Alpha Waveguide Inc. Eclipse MDI Junkosha Inc. PCB Power Inc. Summit Interconnect
Accu-Tech Laser Processing Inc. Egide USA Keysight PCB Technologies USA Sunfire Technologies
ACE-Accurate Circuit Engineering Electro Ceramic Industries Knowles Precision Devices Phase Sensitive Innovations Sung Won Forming
ACEWAVETECH Electro Rent KOSTECSYS Co. Ltd. Photonics Systems USA Inc. SuperApex Corporation
Admotech Co. Ltd. Element Six Kratos Microwave Electronics Division Pickering Interfaces Susumu International (USA) Inc.
Advanced Circuitry International Elite RF KRYTAR Pico Technology SV Microwave
Advanced Test Equipment Corp. EM Labs Inc. KVG Quartz Crystal Technology GmbH Piconics Inc. Switzer
Aerospace & Defense Technology EMARGES Kyocera AVX PM Industries Inc. Sylatech Limited
Aethertek Technology Empower RF Systems Inc. Kyocera International Inc. Polarity Inc. Symphony Microwave Technologies
AFT Microwave Inc. EMWorks LadyBug Technologies LLC Polyfet RF Devices SynMatrix Technologies Inc.
AGC Multi Material America Inc. ENGIN-IC Inc. Lake Shore Cryotronics Inc. PPG Cuming Microwave Synopsys Inc.
Agile Microwave EPIQ Solutions Lanjian Electronics PPI Systems Inc. Syscom Advanced Materials
AI Technology Inc. Eravant Laser Processing Technology Inc. Presidio Components Tabor Electronics
A-INFO Inc. Erzia Technologies Leader Tech Inc. PRFI Ltd. Tactron Elektronik GmbH
AJ Tuck Co. ETL Systems Ltd. Liberty Test Equipment Inc. pSemi Corporation Tagore Technology Inc.
Akoustis Inc. ETS-Lindgren Inc. Linearizer Communications Group Q Microwave Inc. Tai-Saw Technology Co. Ltd.
Alifecom Technology Corp. European Microwave Association Linwave Technology Qorvo US Inc. Taitien Electronics
ALMT/Sumitomo Electric USA European Microwave Week LISAT QP Technologies Talent Microwave Inc.
Altum RF everything RF Logus Microwave Q-PAR Antennas/STEATITE TDK Corporation
AMCAD Engineering evissaP Inc. Low Noise Factory QRT Inc. TDK-Lambda Americas
AMCOM Communications Inc. Extreme Waves Inc. LPKF Laser & Electronics Q-Tech Corp. Tecdia Inc.
American Standard Circuits F&K Delvotec Inc. M2 Global Technology Ltd. Qualwave Inc. Techmaster Electronics
Ampleon Netherlands BV Faraday Defense Corp. MACOM Quantic Electronics Tektronix
AmpliTech Inc. Farran Technology Ltd. Malico Inc. Quantic Evans Teledyne
Analog Devices Inc. FILPAL (M) SDN BHD Marki Microwave Inc. Quantic MWD (Microwave Dynamics) Telegartner Inc.
AnaPico Inc. Filtronetics Inc. Marvin Test Solutions Quantic Ohmega Ticer Telonic Berkeley Inc.
Anoison Electronics LLC Filtronic MathWorks Quantic PMI (Planar Monolithics) TEVET
Anokiwave Inc. Fine-Line Circuits Limited Maury Microwave Quantic TRM Texas Instruments
Anritsu Finwave Semiconductor Inc. MaXentric Technologies Quantic Wenzel The Boeing Company
Ansys Flann Microwave Ltd. MCV Microwave East Inc. Quantic X-Microwave The EMC Shop
AntenneX BV Flexco Microwave Inc. MECA Electronics Inc. QuinStar Technology Inc. The Goodsystem Corp.
AR RF/Microwave Instrumentation Focus Microwaves Inc. Mega Circuit Inc. QWED Sp. z.o.o. TICRA
AR Modular RF FormFactor Inc. MegaPhase R&K Company Limited Times Microwave Systems
Arlon EMD Fortify Menlo Microsystems Inc. Rapidtek Technologies Inc. TMY Technology Inc.
Artech House Fraunhofer IIS Mercury Systems Reactel Inc. Tower Semiconductor
ASB Inc. Frontlynk Technologies Inc. Metallix Refining RelComm Technologies Inc. TPT Wire Bonder
ASI CoaxDepot Fujian MIcable Electronic Technology Group Co. Ltd Metamagnetics Inc. Reldan Transcom Inc.
Association of Old Crows Gallium Semiconductor Mician GmbH Remcom Inc. Transline Technology Inc.
Astronics Test Systems Gel-Pak Micro Harmonics Corp. Remtec Inc. Trexon / EZ Form Cable
Auden Techno General Atomics Micro Lambda Wireless Inc. Renaissance Electronics Tronser Inc.
Avalon Test Equipment General Microwave Corporation Microchip Technology Inc. Res-Net Microwave Inc. TRS-RenTelco
Axiom Test Equipment GGB Industries Inc. Micro-Mode Products Response Microwave Inc. TTM Technologies
B&Z Technologies LLC Gigalane Co. Ltd. Microsanj LLC RF Globalnet Ulbrich Specialty Wire Products
Benchmark Electronics Gigatronix Ltd. Micross Components RF Materials Co. Ltd. Ultra Electronics Defense
Berkeley Nucleonics Corp. Glenair Inc. Microwave Applications Group RF Morecom Corea United Monolithic Semiconductors
Blueshift Global Communication Semiconductors Microwave Communications Labs Inc. RF Superstore Universal Switching Corporation
Cadence Design Systems Inc. GlobalFoundries Microwave Development Labs RFHIC Corp. University of Texas at Dallas
CAES Guangdong DAPU Telecom Technology Co. Ltd. Microwave Engineering Corp. RF-Lambda USA LLC UTE Microwave Inc.
Celanese Micromax Guerrilla RF Inc. Microwave Factory Co. Ltd. RFMW Vacuum Engineering & Materials
Centerline Technologies Harbour Industries Microwave Journal Richardson Electronics Ltd. Valence Surface Technologies
Cernex / Cernexwave HASCO Microwave Product Digest Richardson RFPD Vanteon Corporation
ChongQing Ceratronics Technology Ltd. Hermetic Solutions (Qnnect) Microwave Products Group RJR Technologies Inc. Varioprint AG
Ciao Wireless Inc. Herotek Inc. Microwave Techniques LLC RLC Electronics Vaunix Technology Corp.
Cicor Group Hesse Mechatronics Inc. Microwave Town Company LLC Rogers Corp. Ventec International Group
Cinch Connectivity Solutions High Frequency Electronics Microwavefilters & TVC S.r.l. Rohde & Schwarz USA Inc. VIAS3D
CML Microcircuits UK Ltd. Hirose Electric USA Microwaves & RF Rosenberger North America Viking Tech America
Coilcraft HJ Technologies Microwaves 101 SAF North America Virginia Diodes Inc.
Colorado Microcircuits Inc. HRL Laboratories LLC MilliBox Sales & Service Inc. Virtual Industries
Communications & Power Industries Huang Liang Technologies Co. Ltd. Millimeter Wave Products Samtec Vishay Intertechnology Inc.
Comotech Corporation Hughes Circuits Inc. Mini-Circuits San Diego State University WAFIOS Machinery Corp.
Component Distributors Inc. Hybrid Sources Inc. Mini-Systems Inc. San-tron Inc. Waka Manufacturing Co. Ltd.
COMSOL Inc. Hyperlabs Inc. MISOTECH Sawnics Inc. Wakefield Thermal
Conduant Corporation iCana Ltd. Mitsubishi Electric US Inc. Schmid & Partner Engineering AG Wave Mechanics Pvt. Ltd.
Connectronics Inc. IEEE Future Directions: LEO Sats Project MJS Designs Inc. Scientific Microwave Corp. Wavepia Co. Ltd.
Continental Resources IHP GmbH mmTron Inc. Seikoh Giken USA Inc. WavePro
Copper Mountain Technologies Impulse Technologies Inc. Mnemonics Inc. Sensorview Co. Ltd. Wavice Inc.
Corning Inc. IMS Connector Systems GmbH Modelithics Inc. SGMC Microwave WayvGear
This information is accurate as of 20 February 2023

Cosmic Microwave Technology Inc. IMST GmbH Modular Components National Shanghai Hexu Microwave Weasic Microelectronics S.A.
CPS Technologies Corp. Incize Molex Shanghai XinXun Microwave Technology Co. Ltd. Weinschel Associates
Crane Aerospace & Electronics InCompliance Magazine Morion US LLC Shenzhen Superlink Technology Co. Ltd. Werlatone Inc.
Crystek Crystals Corp. Indium Corp. Mouser Electronics Siglent Technologies NA West Bond Inc.
CTT Inc. INGUN USA Inc. MPI Corp. Signal Hound Wevercomm Co. Ltd.
Cubic Nuvotronics Innertron Inc. MRSI Systems, Mycronic Group Signal Integrity Journal Wilkes University
Custom Cable Assemblies Inc. Innovative Power Products MtronPTI Signal Microwave WIN Semiconductors Corp.
Custom Microwave Components Inc. In-Phase Technologies Inc. Naprotek/SemiGen SignalCore Inc. Winchester Interconnect
CW Swift & Associates Inc. iNRCORE LLC Narda-MITEQ Signal Solutions USA LLC WIPL-D
CX Thin Films Inspower Co. Ltd. Networks International Corp. Signatone Wireless Telecom Group
Daico Industries Inc. Insulated Wire Inc. NI Skyworks Solutions Withwave Co. Ltd.
Dalian Dalicap Tech Corporation Insulectro Noble Metal Services Smiths Interconnect WL Gore & Associates Inc.
Danyang Teruilai Electronics Co. Ltd. Integra Technologies Inc. Norden Millimeter Inc. Soitec Wolfspeed Inc.
Dassault Systemes SIMULIA Corp. Intelliconnect LLC Northrop Grumman Systems SOMACIS Wupatec
dB Control International Manufacturing Services Inc. NTK Technologies Inc. Somefly Technologies Co. Ltd. XMA Corporation
DB Design Communication Technology inTEST Thermal Solutions Nullspace Inc. Sonnet Software Inc. Xpeedic Technology Inc.
Delta Circuits IROM Tech Nxbeam Inc. Southwest Microwave Inc. XYZTEC Inc.
Delta Electronics Mfg. Corp. Ironwave Technologies Oak-Mitsui Technologies LLC Space Machine & Engineering Y.TECH
Denka Corporation Ironwood Electronics Okmetic Spectrum Control YTTEK Technology Corp.
DeWeyl Tool Company Isola Onano Industrial Corp. Spinner GmbH Z-Communications Inc.
Diamond Antenna & Microwave iTest Ophir RF Inc. SRTechnology Corp.
Dino-Lite Scopes ITF Co. Ltd. Optenni Ltd. SSI Cable Corporation
Diramics IVWorks Co. Ltd. Optomec State of the Art Inc. First time exhibitors are noted in purple

For the latest on IMS and Microwave Week visit ims-ieee.org


Thank You to Our Sponsors
PLATINUM

GOLD

SILVER
®

OFFICIAL MEDIA SOURCE OF MTT-S

MEDIA PARTNERS

MEDIA SPONSORS

MEDIA AFFILIATES

For the latest on IMS and Microwave Week visit ims-ieee.org


ADVERTISER PAGE URL PHONE

Coilcraft 8,14 www.coilcraft.com

Comsol 7 comsol.com/feature/rf-innovation

Eravant 9 www.eravant.com +1 424 757 0168

Mician GmbH 13 www.mician.com +49 42116899351

Polyfet RF Devices 17 www.polyfet.com +1 805 484 4210

Remcom 5 www.remcom.com/wireless-insite +1 814 861 1299

Rosenberger GMbH & Co.KG 15 www.rosenberger.com

Sonnet Software CVR 4 www.sonnetsoftware.com +1 877 7 SONNET

WIPL-D 11 www.wipl-d.com

445 Hoes Lane, Piscataway, NJ 08854

IEEE MICROWAVE MAGAZINE REPRESENTATIVE


Aviva Rothman
Naylor Association Solutions
Phone: +1 352 333 3435
arothman@naylor.com
Digital Object Identifier 10.1109/MMM.2023.3258234

Thank you to all our


STAY UP TO DATE 2022 advertisers
Advanced Test MiCIAN GMBH
with the advancement of Microwave Equipment Rentals
Mini-Circuits
theory and its applications, including Anokiwave
MVG, Inc.- Microwave Vision
Anritsu Company Group
RF, Microwave, Millimeter-wave, and Besser Associates PIDSO
Terahertz (THz) technologies! Cadence Polyfet RF Devices
Cicor Group Remcom
Coilcraft Rosenberger GmbH & Co.KG
COMSOL Sonnet Software
dSpace Taitien
Eravant Transline Technology Inc.
IEEE focuser array symposium West-Bond Inc
International Manufacturing WiPL-D
Services Inc.
Wolfspeed
Keysight Technologies

For information about advertising, please contact:


IEEE Advertising at IEEE@naylor.com
Check out the new MTTS Resource Center - https://resourcecenter.mtt.ieee.org

Digital Object Identifier 10.1109/MMM.2023.3243478


See us at IMS MTT-s
Booth # 1126

You might also like