Professional Documents
Culture Documents
TLM TB
TLM TB
`include "uvm_macros.svh"
`uvm_object_utils_begin(simple_packet)
`uvm_field_int(src_addr, UVM_DEFAULT)
`uvm_field_int(dst_addr, UVM_DEFAULT)
`uvm_object_utils_end
endclass
uvm_blocking_put_port#(simple_packet) put_port;
`uvm_component_utils(producer)
p = new();
p.randomize();
`uvm_info("Producer", $sformatf(" src_addr = %d, dst_addr =
%d",p.src_addr,p.dst_addr), UVM_NONE);
put_port.put(p);
end
endtask
endclass
`uvm_component_utils(consumer)
endclass
`uvm_component_utils(env)
endclass
env e;
`uvm_component_utils(test)
endclass
module tb;
initial begin
run_test("test");
end
endmodule