You are on page 1of 15

Alexandria Engineering Journal (2020) 59, 3715–3729

H O S T E D BY
Alexandria University

Alexandria Engineering Journal


www.elsevier.com/locate/aej
www.sciencedirect.com

Performance comparison of 6T SRAM bit-cells


based on side-contacted FED and CMOS
Tara Ghafouri, Negin Manavizadeh *

Faculty of Electrical Engineering, K. N. Toosi University of Technology, Tehran 1631714191, Iran

Received 6 May 2020; revised 26 May 2020; accepted 5 June 2020


Available online 26 June 2020

KEYWORDS Abstract Designing a Static Random-Access Memory (SRAM) cell configuration that copes with
6T static random-access conventional complementary metal-oxide-semiconductor (CMOS) constraints on the cell area is
memory (SRAM) bit-cell; desired to satisfy high packing density in integrated digital circuits. This paper analyzes and compares
Side-contacted field effect performance parameters of 6T SRAM bit-cells based on the side-contacted field-effect diode (S-FED)
diode (S-FED); and conventional CMOS at 180 nm technology node. Steady-state responses demonstrate that in the
Static noise margin; worst-case, by applying weak logic 0/1 to the bit-lines, strong logic data is stored in the S-FED-based
Power dissipation; cell with superior write margin and lower power consumption by about one order of magnitude in
Sensitivity analyses comparison with the CMOS-based one. Comparing static noise margin reveals that S-FED-based
cells enjoy prominent stability, especially in the read and hold operations with ~3X and 29% improve-
ments, respectively, to the CMOS-based versions. In addition, enhancement of read-stability is
attained utilizing S-FED-based cell, as well as decrement of subthreshold current and static power dis-
sipation, compared with the CMOS-based one. Sensitivity analyses extracted from Monte Carlo sim-
ulations and butterfly curves indicate that S-FED-based cell successfully tolerates process and supply
voltage variations in all operation modes, superior to the CMOS-based counterpart.
Ó 2020 The Authors. Published by Elsevier B.V. on behalf of Faculty of Engineering, Alexandria
University. This is an open access article under the CC BY-NC-ND license (http://creativecommons.org/
licenses/by-nc-nd/4.0/).

1. Introduction Operation modes of an SRAM cell include write, read and


hold 0/1 operations.
SRAM arrays are arranged in several rows and columns of Applying variations in structural parameters of SRAM bit-
storage bit-cells called bit-lines (BL and BL’) and word-lines cells throws considerable impacts on cell characteristics in
(WL) to control data access and storage. The bit-cells are bi- CMOS technology. In this regard, researchers attempt to sat-
stable flip-flops which can consist of 4 to 11 transistors with isfy the device- and circuit-level considerations in different
pull-up (PU), pull-down (PD), and pass-gate (PG) networks. configurations of SRAM cells.
In the device level, currently, an 7T SRAM cell has been
designed based on dual pocket double-gate tunnel field-effect
transistor (DP-DGTFFT) for ultralow-power applications
* Corresponding author.
[1]. High ION/IOFF in TFET is attributed to its band-to-band
E-mail address: manavizadeh@kntu.ac.ir (N. Manavizadeh).
tunneling mechanism that copes with the limit of subthreshold
Peer review under responsibility of Faculty of Engineering, Alexandria
swing in metal-oxide-semiconductor field-effect transistor
University.
https://doi.org/10.1016/j.aej.2020.06.026
1110-0168 Ó 2020 The Authors. Published by Elsevier B.V. on behalf of Faculty of Engineering, Alexandria University.
This is an open access article under the CC BY-NC-ND license (http://creativecommons.org/licenses/by-nc-nd/4.0/).
3716 T. Ghafouri, N. Manavizadeh

(MOSFET) (60 mV/decade at room temperature) [2]. More- ing frequency in read and write operations are controlled
over, employing multi-gate devices such as regular and nega- depending on the intended application [24–26]. In another
tive capacitance fin field-effect transistors (FinFETs) in work, multi threshold and fingering techniques have been com-
SRAM cells has contributed to reducing leakage power [3]. bined to suggest MTCMOS SRAM cell with low leakage cur-
A stable SRAM cell architecture has also been designed utiliz- rent and improved read-stability; however, it also involves
ing independent gate FinFET in [4], in which, a few control additional transistors [27].
signals are configured to adjust drive strengths of the con- Regarding the above-mentioned techniques, packing den-
stituent devices. In [5], a CMOS-technology compatible tran- sity is an important issue in designing an SRAM bit-cell, in
sistor is introduced to suppress the charge sharing effect, addition to satisfying performance parameters. Devising an
employing a new class of field-effect transistors with multiple alternative SRAM cell configuration which can overcome to
independent gates (MIGFETs). Charge sharing can degrade a large extent to demerits of conventional SRAM bit-cells
the output voltage level in dynamic logic gates by redistribu- based on Si-technology is desired. Alternative structures have
tion of the charge loaded during the precharge phase through revealed breakthroughs in the optimization of SRAM cell
the internal junction capacitances of the network [6]. In addi- characteristics within the realm of the CMOS technology
tion to Si-based SRAM cells, the novel structures have been [12,18,28–33].
utilized in bit-cells and arrays such as carbon nanotube field- Field-effect diodes (FEDs) have been proposed to suppress
effect transistor (CNTFET) [7], graphene nanoribbon field- serious concerns derived from CMOS downscaling in the deep
effect transistor (GNRFET) [8], single electron transistor submicron and nanoscale regime, such as hot electron and
(SET) [9], and quantum-dot cellular automata (QCA) [10]. short channel effects [34,35]. This nanoscale device enjoys
Although these nanostructure-based SRAM cells provide high-speed and low-power with considerably high ION/IOFF
highly scalable architectures, these confront complexities in ratio, making FED applicable in digital integrated circuits
the fabrication and integration processes. [36]. Assembling FED-based fundamental logic gates [37,38]
Optimization techniques in the circuit level are frequently and memory cells [39–43] as well as electrostatic discharge pro-
dedicated to improving the cell write-ability, read-stability tection [44] realize high performance, packing density, and reli-
and power consumption compared with the conventional ability in the modern system-on-chips (SoCs). Modified
CMOS-based SRAM cell. In this domain, single-ended SRAM versions of FED, such as side-contacted FED (S-FED)
topologies have been presented for power-constrained applica- upgrade this capability by contributing to turning the device
tions [11]; however, these structures undergo increased read-/ properly OFF at the sub-100 nm channel length [35]. Remark-
write-access time and degraded write noise-immunity at low ably, all FEDs located on a single chip are fabricated simulta-
supply voltages. To rectify write failures, some techniques neously through CMOS-compatible processes [38].
are adopted such as transient negative bit-line voltage The proposed FED-based RAM cells in [39–42] consist of a
approach [12], strengthening PG network stemming from regular FED coupled with a silicon-on-insulator (SOI) MOS-
WL boosting [13], supply downscaling [14], asymmetrical FET in series. Although the array comprising these bit-cells
write-assist approach, [15], applying cross-point data-aware enjoys high packing density, the aforementioned challenges
write word-line structure [16], and cutting off the feedback which confine employing conventional CMOS in VLSI circuits
loop of the inverter pair [17]. Reducing the bit-cell supply volt- recur. In this paper, a novel SRAM cell architecture involving
age decreases also both static and dynamic power [18]; how- six S-FEDs is designed, analyzed and compared with the
ever, it degrades read stability and data hold operation by CMOS-based counterpart at 180 nm SOI technology node in
weakening PU network. On the other hand, reducing the bit- terms of SRAM fingerprints, including stability of the bit-cell
lines precharging voltage improves read stability [13]. More- in each operation mode, data retention capability, switching
over, the higher order cell topologies suggest better data stabil- frequency, read access time, different components of power
ity besides sustaining the power as low as possible [19]; consumption, and finally process/voltage/temperature (PVT)
however, the bit-cells occupy more area. These compromises variability in accordance with Monte-Carlo analysis in the
are inevitable in a CMOS-based SRAM cell, as downscaling SRAM cell.
confines utilizing MOSFET in very large-scale integration The rest of this paper is organized as follows. Section 2 rep-
(VLSI) circuits. A Schmitt-trigger-based single-ended 11T resents the proposed bit-cell in a coordinated device-circuit co-
SRAM cell has been designed to improve read/write static design framework and describes simulation strategy. Section 3
noise margin and consume low power at the cost of occupied evaluates the capability of S-FEDs to perform write/read oper-
area [20]. Moreover, an 8T SRAM cell with charge recycling ations. Section 4 analyzes the proposed bit-cell characteristics
read and write assist (CRRWA) technique [21] has also been and compares the results with the corresponding CMOS in all
designed, which lowers switching power consumption by operation modes. Section 5 studies sensitivity analyses extracted
reducing the voltage swing. This approach, nonetheless, leads from Monte Carlo simulations and butterfly curves under PVT
to the occupation of a large area. A robust 12T SRAM cell variations for the CMOS- and S-FED-based bit-cells in all oper-
with enlarged write margin has been presented by eliminating ation modes. Finally, Section 6 concludes the paper.
a feedback of back-to-back inverters by means of data-
dependent supply cutoff during write operation [22]. Further- 2. 6T S-FED-based SRAM bit-cell design
more, a pseudo differential 12T (PD12T) SRAM cell has been
introduced in [23] offering high static noise margin in read and 2.1. S-FED structure and simulation strategy
hold operation modes while causing an area overhead. For-
ward body-biasing and reverse body-biasing are conventional
Structurally, two main differences distinguish S-FED from the
techniques that adjust the threshold voltage of driver transis-
conventional MOSFET, resulting in the outperformance of the
tors; therefore, power dissipation in standby mode and switch-
Performance comparison of 6T SRAM bit-cells 3717

S-FED-based circuits over the CMOS-based ones. Firstly, two 1 lm, 2 lm, and 0.5 lm, respectively. As can be seen further,
separate gates (Gate-source or GS and Gate-drain or GD) although a stronger PD network than PG one contributes to
enhance the gate controllability over the channel. In addition, read stability, this ratio is confined to guarantee write-ability;
oppositely doped source and drain regions contribute to the since, a good write margin is achieved by strengthening PG
formation of desired operation modes. Devising two other network rather than PU one. These selections are unlimited
oppositely doped layers underneath the source and drain areas, for S-FED. Prominently, the possibility of utilizing identical
called reservoirs, assist the FEDs to be turned OFF properly in S-FEDs with the same geometrical parameters in each of the
the nanoscale regime, thereby, the highest ION/IOFF ratio is PG, PD and PU networks contributes to reducing cell size,
achieved and power dissipation is expected to be reduced. owing to the same width-to-length ratio in all S-FEDs. In this
Schematic of the S-FED structure is represented in Fig. 1. regard, the occupied areas by 6T S-FED- and CMOS-based
Both device- and circuit-level simulations are performed SRAM bit-cells are 1.86 lm2 and 4.34 lm2, respectively, typi-
using TCAD tools as a semiconductor drift-diffusion solver, cally in 180 nm technology node. In parallel, the constraints on
to scrutinize the characteristics of S-FEDs and their derivative the using pMOS in PU network and nMOS in PD and PG net-
3T and 6T SRAM bit-cells as well as the CMOS-based coun- works are removed in the S-FED based circuits.
terparts. Physical models involve the concentration- and
temperature-dependent mobility, parallel electric field- 2.2. S-FEDs behavioral modeling in 6T SRAM bit-cell
dependent mobility, Klaassen model, Fermi statistic depen-
dence, Shockley-Read-Hall and Auger recombination, band- The equivalent circuit of conventional bit-cell composed of six
gap narrowing, band-to-band tunneling, and Lombardi CVT MOSFETs is illustrated in Fig. 2(a). In a similar fashion, Fig. 2
model for expansion components attributed to mobility. Cali- (b) indicates that of the S-FED-based counterpart. In both
brator is set up by the simulation of nanoscale FED [37,38] configurations, two cross-coupled inverters (Q1 to Q4) are
using TCAD tools. Geometrical parameters of S-FEDs are employed to store logic ‘‘0” and logic ‘‘1” and PG network
adopted according to the high-performance (HP) logic (Q5 and Q6) provides access to the stored data for read and
180 nm SOI CMOS technology node [45]. Reference power write operations. PG network is activated whenever a WL is
supply (VDD) and back-gate voltages (VBG) are considered asserted, connecting the cell to the complementary BL and
1.2 V and 0.6 V, respectively and simulations are performed BL’ columns. Certainly, PG network is controlled through
at operating temperature 27 °C. WL, while logic data is introduced into the cell through BL
A typical strategy to reduce power consumption in the S- and BL’ external sources.
FED-based digital VLSI designs is applying half of the supply Regarding 6T S-FED-based cell, Q2 and Q4 should behave
voltage to the back-gate terminal. In this way, no symmetric like an pMOS in PU network, and Q1, Q3, and Q5, Q6 should
gate voltages are required, therefore, logic ‘‘0” and logic ‘‘1” act as an nMOS in PD and PG networks, respectively. To
are equivalent to 0 and VDD (for VBG = VDD/2), instead of achieve PD and PG n-SFEDs (VDS > 0), GD should be con-
VDD and VDD (for VBG = 0), respectively. As a result, the nected to the fixed VDD and GS is free terminal. Also, S-FEDs
only a single power supply is required to design a digital circuit with the ground-connected GD and free GS terminal act as PU
and thereby the power consumption of the circuit is reduced. p-SFED (VDS < 0). Accordingly, Q5 and Q6 which operate as
In addition, by adjusting the reservoir thickness and engineer- control switches should operate in saturation mode. Also, in
ing the gate work function of the S-FED, threshold voltage the coupling of two inverters connected back-to-back, p-
and subsequently, leakage and switching power consumption SFED of one inverter and n-SFED of the other inverter [Q2
can be optimized as well as noise-immunity [37]. and Q3 in Fig. 2(b)] are in cutoff mode; while two other S-
Taking 6T CMOS-based SRAM cells into account, transis- FEDs (Q1 and Q4) operate in linear mode. These considera-
tor widths must be carefully selected to guarantee cell stability tions come true by applying appropriate voltages to terminals
during the write, read and hold operations [46]. Therefore, the of each of the six S-FEDs, according to Table 1.
appropriate widths for nMOS in PG network, nMOS in PD To find the optimum thickness of the reservoirs or source/
network, and pMOS in PU network are conceived to be drain, the S-FEDs which are in OFF state, i.e., Q2 and Q3 are
regarded. To turn Q2 OFF, VGS and VGD are set in high and
low levels; therefore, by decreasing drain thickness or increasing
reservoir thickness, the accumulated hole concentration under-
neath GS surpasses the electron concentration in the channel
and thereby assists Q2 to raise IOFF and decline ION/IOFF, as
illustrated in Fig. 3(a). On the other hand, by applying 0 and
VDD to VGS and VGD, Q3 is turned OFF. By extending reservoir
regions of Q3, the accumulated electron (hole) concentration
underneath GD (GS) is intensified and thus, IOFF is declined
and ION/IOFF ratio rises, as shown in Fig. 3(a). In this compro-
mise, the optimal values for the source/drain and reservoir thick-
nesses are considered 25 nm and 15 nm, respectively.

2.3. Implementation of SRAM cell operation modes

In an SRAM cell, read and write operations commence with


Fig. 1 Schematic view of the S-FED structure.
activating WL and subsequently PG network. In read mode,
3718 T. Ghafouri, N. Manavizadeh

Fig. 2 The equivalent circuits of 6T SRAM bit-cell based on (a) CMOS and (b) S-FED.

Table 1 Operation modes and states of S-FEDs in 6T SRAM bit-cell topology.


Element VDS VGS VGD Structure State
+ +
Q1 ‘‘1” ‘‘1” ‘‘1” p nnn ON
Q2 ‘‘0” ‘‘1” ‘‘0” n+pnp+ OFF
Q3 ‘‘1” ‘‘0” ‘‘1” p+npn+ OFF
Q4 ‘‘0” ‘‘0” ‘‘0” n+ppp+ ON
Q5 ‘‘1” ‘‘1” ‘‘1” p+nnn+ ON
Q6 ‘‘1” ‘‘1” ‘‘1” p+nnn+ ON

the voltage at both bit-lines is precharged to high. By asserting is read from the cell. In the condition that logic ‘‘0” is pre-
WL and assuming that logic ‘‘1” is prestored on node Q, neg- stored on node Q, logic ‘‘0” is transferred to BL and read from
ligible current flows through Q6 and BL voltage level remains the cell in the same way. Data read operation is vulnerable and
almost unchanged. Therefore, the output CBL is charged threshold voltage plays a significant role in the stored data
through the fixed BL voltage. Nonetheless, Q1 and Q5 conduct destruction during the read operation. Since, the internal node
a non-zero current and thus, CBL’ is discharged slightly Q’ voltage during the current flow through Q5 may exceed the
through Q1 and Q5 (CBL and CBL’ are addressed with CO (R) threshold voltage of Q3, inflicting an undesired change of the
in Fig. 2). The voltage difference between two bit-lines will stored data by turning Q3 ON and Q4 OFF. As a result,
be detected by the sense amplifier and accordingly logic ‘‘1” VQ’Vth (Q3) should be satisfied to properly read ‘‘1”. Accord-
Performance comparison of 6T SRAM bit-cells 3719

Fig. 3 (a) ION/IOFF ratio of the OFF-state S-FEDs as a function of the reservoir thickness. (b) Transfer characteristics of S-FED and
CMOS at VDS = ±1.2 V.

ingly, VQ  Vth (Q1) provides the proper read ‘‘0” operation based bit-cell has better write-ability than the CMOS-based
and subsequently ensures read stability. Fig. 3(b) represents one. Output characteristics of Q5 in the insets of Fig. 4(a)
transfer characteristics of S-FED and CMOS at 180 nm tech- and (c) demonstrate that steady-state in the S-FED-based cell
nology. |Vth| values for n-SFED and p-SFED are obtained emerges by flowing less current through Q5 (about one order of
0.32 V and 0.65 V, respectively; whereas, both nMOS and magnitude) and thus, lower power consumption (at a constant
pMOS have almost the same |Vth|, equal to 0.36 V, implying VBL’) rather than the CMOS-based one. In the same way, by
that S-FED-based SRAM cell enjoys higher read stability than increasing input VBL from VDD/2 (weak ‘‘1”) to VDD, output
CMOS-based as well as lower leakage power dissipation owing VQ plateaus at VBL = 0.83 V for the S-FED-based cell and
to lower subthreshold current rather than conventional VBL = 1.08 V for the CMOS-based one. It implies that even
CMOS. As previously mentioned, S-FED has two more by applying weak ‘‘1” to VBL, n-SFED Q6 passes strong ‘‘1”
degrees of freedom than CMOS to adjust threshold voltage, to VQ output. Output characteristics of Q6 in the insets of
i.e., altering the reservoir thickness and engineering the gates Fig. 4(b) and (d) approve that at a constant VBL, less current
work function, in addition to body-biasing technique [18]. and thereby less power is required to attain steady-state in
In write mode, logic ‘‘1” and logic ‘‘0” are applied to BL and the S-FED-based cell compared with the CMOS-based one.
BL’, respectively. By this approach, the output CQ’ is dis- On the other hand, it is comprehended that enhancement of
charged to drop Q’ voltage level down to 0 V. In the same (W/L)PG proportional to (W/L)PU assists the current flowing
way, the output CQ is initiated to be charged and the comple- through nMOS Q6 to be constructive in discharging output
mentary storage node Q rise up to VDD (CQ and CQ’ are capacitance CQ to write ‘‘0” whenever logic ‘‘0” is applied to
addressed with CO (W) in Fig. 2); thereby, logic ‘‘1” is overwrit- BL external source. In this way, write-ability can be increased.
ten in the cell. By presetting BL and BL’ voltages in low and high However, S-FED-based cells attain to superior write-ability
levels, logic ‘‘0” is overwritten in the cell in a similar fashion. with the same (W/L)PG and (W/L)PU and smaller cell size to
In hold mode, WL is not asserted and thereby, PG network the CMOS-based versions. (W/L)PG/(W/L)PU ratio is inter-
is deactivated. In this condition, the SRAM cell sustains the preted as a write margin (WM).
stored data while the supply voltage is powered ON [47]. Read operation is analyzed based on the currents flowing
through each branch in both 3T S-FED- and CMOS-based
3. Steady-state responses bit-cells at logic ‘‘0” and logic ‘‘1”, as shown in Fig. 5. Voltage
transfer characteristics (VTCs) in Fig. 5(a) reveal that both
Prior to characterize the S-FED- and CMOS-based SRAM logic ‘‘0” and logic ‘‘1” inverters of S-FED based cell undergo
cell, the capability of S-FEDs to perform write/read operations minor degradations in the waveforms and thereby enjoy higher
is evaluated and compared with the corresponding CMOS uti- voltage gains compared with the CMOS-based version. By
lizing DC mixed-mode simulations. The symmetry of 6T increasing input VQ’ from 0 to VDD/2 and input VQ from
SRAM bit-cell configuration facilitates the analysis of write/ VDD/2 to VDD, the current polarities at corresponding nodes
read ‘‘0” by the contribution of Q1, Q2, and Q5, and simulta- are compatible to preserve symmetrically flowing behavior in
neously, write/read ‘‘1” by the contribution of Q3, Q4, and both 3T cells. Accordingly, Q2 and Q4 in PU network
Q6. Accordingly, VBL’ and VBL are swept from 0 to VDD to [Fig. 5(b)], Q1 and Q3 in PD one [Fig. 5(c)], and Q5 and Q6
first scrutinize steady-state responses ascribed to write ‘‘0” in PG one [Fig. 5(d)] sink/source the similar currents. How-
and ‘‘1” operations, as indicated in Fig. 4(a) and (b) for 3T ever, the S-FED-based cell requires fewer currents (about
S-FED-based cell and Fig. 4(c) and (d) for 3T CMOS-based one order of magnitude) to discharge/charge output capaci-
one, respectively. By increasing input VBL’ from 0 to VDD/2 tances and perform read 0/1 operations. Thus, S-FED-based
(weak ‘‘0”), the transferred voltage to output VQ’ is varied cells consume lower switching power in both read and write
from 0 to 0.262 V for the S-FED-based cell and from 0 to operations, compared with CMOS-based cells. Fig. 5(d)
0.294 V for the CMOS-based one. Certainly, n-SFED Q5 demonstrates that increasing IS (Q5) output current is stopped
passes a little bit stronger logic ‘‘0” and hence, the S-FED- properly in the S-FED-based cell, since VQ’ is disallowed to
3720 T. Ghafouri, N. Manavizadeh

Fig. 4 Steady-state responses to write logic ‘‘0” and logic ‘‘1” in (a,b) 3T S-FED-based and (c,d) 3T CMOS-based bit-cells.

surpass Vth (Q3). Regarding the contribution of Q1 and Q5 in the two VTCs derived from the hold and read 0/1 operations,
read ‘‘0” operation, to satisfy this consideration, (W/L)PD is respectively; whereas, write static noise margin (WSNM)
considered stronger than (W/L)PG in the CMOS-based bit- implies to the length of the smallest square for the write 0/1
cell and by this approach, read stability can be guaranteed. operations [48,49]. Figs. 6 and 7 illustrate the SNMs for S-
(W/L)PD/(W/L)PG ratio is interpreted as a read margin FED- and CMOS-based SRAM cells in each operation mode,
(RM). Although we consider RM the same for both S-FED- respectively. To properly hold the stored data, a balance
and CMOS-based cells, read operation is expected to be more between the current carrying capabilities of PD and PU net-
stable for the S-FED-based cell with due attention to its shar- works is required. In CMOS-based circuits, this fact realizes
per VTCs. by enhancing (W/L)PU due to higher electron mobility than
hole mobility. By this approach, HSNM can be enhanced, as
4. 6T SRAM bit-cell characteristics indicated in Fig. 7(a). However, the surplus increase in pMOS
strength disturbs symmetrical behavior of VTCs besides write
In this section, transient and AC mixed-mode simulations are margin. Considering WPD = 2 lm and WPU = 0.5 lm,
added to DC ones to analyze and compare SRAM fingerprints HSNM value is obtained 312 mV (26% VDD). Regarding the
derived from 6T bit-cells based on S-FED and CMOS in hold, write-ability and read stability mentioned in the previous sec-
write, and read operations. tion, the bit-cell must be adequately stable to preserve the
Stability is one of the most important criteria in the design stored data despite the voltage drop inflicted by the bit-lines
of an SRAM bit-cell. Of the most reliable measures to evaluate voltage; however, the bit-cell must also be weak enough to
and quantify noise-immunity in an SRAM cell is static noise ensure the write operation. Consequently, RM and WM
margin (SNM), defined as the minimum level of DC noise volt- benchmarks must be set as a trade-off. As expected and illus-
age that might flip the cell data to an undesired state. Graph- trated in Fig. 7(b) and (c), increase in (W/L)PG leads to
ically, the SNM is obtained from the confluence of the VTC of WSNM enhancement and RSNM decrement in CMOS-
one internal inverter and inverse VTC of the second inverter based bit-cells. Considering WPG = 1 lm, WSNM and RSNM
for each operation mode. Hold static noise margin (HSNM) quantities are obtained 356 mV (30% VDD) and 55 mV (5%
and read static noise margin (RSNM) are attributed to the VDD), respectively. By replacing nMOS and pMOS by
length of the largest square that can be embedded between S-FEDs in 6T SRAM cell topology, HSNM, WSNM, and
Performance comparison of 6T SRAM bit-cells 3721

Fig. 5 (a) VTC of inverter 1 to read logic ‘‘0”. (b-d) I-V characteristics of each device used in 3T bit-cell, where solid and dashed lines
show the characteristics of S-FED- and CMOS-based 3T bit-cells, respectively. The insets represent those of corresponding devices in
inverter 2 to read logic ‘‘1”.

Fig. 6 VTC curves for (a) hold, (b) write, and (c) read operations in 6T S-FED-based SRAM bit-cell independent of the width of pull-
down, pull-up, and pass-gate networks (WPD = WPU = WPG).

RSNM quantities are obtained 403 mV (33.5% VDD), 271 mV to rise further with respect to the consideration of VQ’Vth (Q3)
(22.5% VDD), and 182 mV (15.2% VDD), respectively, as in the read operation.
revealed in Fig. 6(a)–(c). HSNM improvement is owing to In retention mode, the stored data can be preserved indef-
superior balance in current driving capability between PD n- initely, as long as the power supply is ON. The leakage power
SFEDs and PU p-SFEDs despite the same strength. The rea- dissipated in this mode depends directly on the power supply
son RSNM is increased considerably in the S-FED-based cell and thus, voltage scalability contributes to reducing the leak-
is that a higher threshold voltage of S-FED than that of age power. As a result, VDD scaling must be stopped at a min-
CMOS contributes to the read stability so that VQ’ is allowed imum voltage called data retention voltage (DRV). DC
3722 T. Ghafouri, N. Manavizadeh

Fig. 7 VTC curves for (a) hold, (b) write, and (c) read operations in 6T CMOS-based SRAM bit-cell as a function of the width of pull-
down, pull-up, and pass-gate networks (reference WPD = 2 lm).

Fig. 8 VTC curves for hold operation as a function of the supply voltage in 6T S-FED-based SRAM bit-cells (DRV = 100 mV).

Fig. 9 VTC curves for hold operation as a function of the supply voltage in 6T CMOS-based SRAM bit-cells (DRV = 51 mV).

analysis is used for DRV estimation as well as for SNM deriva- simulations are performed by applying a square voltage with
tion. The DRV is extracted from the HSNM butterfly curve an amplitude of 0-VDD and frequency of 12.5 MHz to the
for different supply voltages by finding the minimum voltage, word-line. Figs. 10 and 11 illustrate time sequence responses
for which the area within the curve is non-zero [50]. In Figs. 8 ascribed to write 0/1 operations for both 6T S-FED- and
and 9, HSNM curves are illustrated as a function of the supply CMOS-based cells, respectively. Once being turned ON, PG
voltage for the S-FED- and CMOS-based SRAM cells, respec- network will conduct a non-zero current and the output volt-
tively. Accordingly, the amounts of DRV are obtained 100 mV ages (VQ and VQ’) follow the input bit-lines voltages (VBL
for the S-FED-based cell and 51 mV for the CMOS-based ver- and VBL’) with the rise time and fall time equal to 0.75 ns
sion. Regarding the higher threshold voltage of S-FED than and 0.32 ns, respectively, for the S-FED-based cell
that of CMOS, S-FED-based cell requires distinctly higher [Fig. 10(a) and (b) (insets)] and the same rise time and fall time
VDD (min) to retain the stored data without any deterioration equal to 0.12 ns for the CMOS-based one [Fig. 11(a) and (b)
compared with the CMOS-based one. (insets)]. The required time to flip the bit-cell data to the oppo-
To estimate the speed of read and write operations of both site state (overwrite in the cell) is equal to the time elapsed to
bit-cells based on CMOS and S-FED, transient mixed-mode charge/discharge the output capacitance, i.e., (trise + tfall)/2.
Performance comparison of 6T SRAM bit-cells 3723

Fig. 10 Time sequence responses for (a, b) hold operation followed by the write operation. (c, d) Read operation in 6T S-FED-based
SRAM bit-cell.

The pertinent frequency is called switching frequency and uted to a higher threshold voltage of S-FED than that of the
calculated as fswitching = 1/[(trise + tfall)/2]. Accordingly, the corresponding CMOS. However, the S-FED-based cell experi-
maximum data write speeds are obtained 1.869 GHz and ences a little bit longer read access time compared with the
8.333 GHz for S-FED- and CMOS-based SRAM cells, respec- CMOS-based version. Regarding the timing diagrams for the
tively. Hence, the power consumption of the CMOS-based cell read operation, the rise time for both S-FED- and CMOS-
during the write operation is expected to be higher than that of based cells is approximately 40 ns; whereas, the fall time is
the S-FED-based one. In addition, significant overshoots by approximately 23 ps for the S-FED-based cell and 13 ps for
about 40 mV, 500 mV [Fig. 11(a)] and 120 mV [Fig. 11(b)] the CMOS-based one. According to switching frequency calcu-
in output timing diagrams of the CMOS-based cell have lation, the maximum data read speeds for S-FED- and CMOS-
been mitigated to about 10 mV in those of the S-FED-based based bit-cells are obtained approximately 49.971 MHz and
cell [Fig. 10(a) (inset)]. This reduction stems from less 49.984 MHz, respectively.
input-to-output coupling capacitance in the S-FED-based The power consumption in SRAM bit-cells is mainly
SRAM bit-cell, compared with the CMOS-based one. divided into dynamic (switching) power consumption in the
The hold operation is controlled by the voltage applied to write and read operations and static (leakage) power dissipa-
the word-line, so that once falling edge occurs, the hold phase tion in the hold mode. Charging and discharging the output
is initiated. As shown in Fig. 10(a,b) and 11(a,b), output volt- capacitors (Cload) in the circuit with respect to the read or write
age degradations at the beginning of hold ‘‘0” and ‘‘1” opera- operations inflict dynamic power consumption (Pdynamic). The
tions are about 860 mV and 689 mV, respectively, for the load capacitors involved in the read operation are source-to-
CMOS-based cell. These amounts have been reduced to about bulk capacitors of Q5 and Q6; whereas, the load capacitors
270 mV and 50 mV, respectively, for the S-FED-based version. involved in the write operation are drain-to-source capacitors
Therefore, standby leakage power dissipation in the S-FED- of Q1 to Q6 and source-to-GS capacitors of Q1 to Q4. Pdynamic
based cell is conceived to be less than that of the CMOS- is computed by AC mixed-mode simulations, according to [13]:
based cell.
Time sequence responses in Figs. 10(c,d) and 11 (c,d) Pdynamic ¼ fswitching  Cload  VDD 2 ; in units of Watt ð1Þ
demonstrate that read operation is vulnerable, especially for or:
the CMOS-based SRAM bit-cells. As expected, the outperfor-
mance of the S-FED-based cell in the read operation is attrib- Pdynamic ¼ Cload  VDD 2 ; in units of Watt:Hz1 ð2Þ
3724 T. Ghafouri, N. Manavizadeh

Fig. 11 Time sequence responses for (a, b) hold operation followed by the write operation. (c, d) Read operation in 6T CMOS-based
SRAM bit-cell.

The second component of power dissipation in SRAM cir- CMOS-based counterpart. Regarding the higher threshold
cuits is static power (Pstatic). Although both inverters are in voltage of S-FED than that of CMOS, S-FED-based SRAM
stable logic states in retention mode, small amounts of leakage cells are immune to subthreshold current, as well as noise in
current (Ileak) are induced due to reverse-biased diode leakage, the hold operation. Accordingly, these cells sustain lower static
gate induced drain leakage, gate oxide tunneling, and sub- power dissipation in each supply voltage, by about one order
threshold leakage. Pstatic is calculated using DC mixed-mode of magnitude in comparison with the CMOS-based ones. As
simulations, according to [13]: illustrated in Fig. 12(a) and (b), voltage scalability throws its
impact on both switching and leakage power dissipation of
Pstatic ¼ VDD  Ileak ¼ VDD  ðISðQ2 Þ þ ISðQ4 Þ Þ; ð3Þ
the SRAM cells. One of the popular approaches to reduce
Fig. 12(a) and (b) indicate different components of power both components of power consumption is VDD scaling; how-
consumption as a function of power supply scaling, for the ever, scaling is allowed to decrease to DRV amount. In addi-
S-FED- and CMOS-based SRAM cells, respectively. Appar- tion, by downscaling VDD extremely, sub-threshold currents
ently, the power consumption for the read operation is lower may appear, which increase the static power. Taking these
than that of the write operation, at a constant VDD, in accor- compromises into consideration contributes to design low-
dance with the higher data write speed than read speed, for power and noise-immune SRAM bit-cells.
both bit-cells. As mentioned in Section 3, the currents flowing
all S-FEDs in both read and write operations were declined by 5. Stability analysis under PVT variations
about one order of magnitude compared with their corre-
sponding MOSFETs. On the other hand, regarding the superi-
As previously mentioned, SNM is one of the figures of merits
ority of the CMOS-based cell in terms of data write speed, it
evaluating bit-cell stability whenever a device mismatch occurs
was expected that dynamic power consumption during the
in a part of the circuit. The impact of the process, supply volt-
write operation for the S-FED-based cell is lower than that
age and temperature (PVT) variability is destructive, especially
of the CMOS-based one. Although there is 8 times as data
on the hold and read operations. Figs. 13 and 14 represent
write speed for the CMOS-based cell as for S-FED- based
HSNM and RSNM butterfly curves under PVT variations
one, the power consumption (write) for the S-FED-based cell
for the S-FED-based SRAM bit-cell, respectively. Likewise,
is degraded by about 30 times. Moreover, although data read
Figs. 15 and 16 illustrate those of the CMOS-based counter-
speed is obtained almost the same for both bit-cells, the S-
part. The probable failures related to technology scaling are
FED-based cell enjoys lower power consumption during the
derived from the fabrication process such as W/L deviations,
read operation, in each supply voltage, compared with the
random dopant fluctuations, flat-band condition, interface
Performance comparison of 6T SRAM bit-cells 3725

Fig. 12 Dynamic (switching) and static (leakage) power dissipation components in 6T SRAM bit-cells based on (a) S-FED and (b)
CMOS under supply voltage variations.

roughness, and gate-oxide thickness. These effects inflict an [243 mV, 403 mV] and [143 mV, 182 mV] for the S-FED-
increase in process variability and subsequently large deviation based cell, respectively; while, these variation ranges are
in threshold voltage, speed, stability, and power consumption [180 mV, 312 mV] and [44 mV, 55 mV] for HSNM and RSNM
of traditional SRAM designs [51]. Figs. 13(a) and 14(a) indi- of the CMOS-based counterpart, respectively [see Figs. 15(b)
cate that for ±15% variation in channel length (Lch), i.e., and 16(b)]. Explicitly, HSNM and RSNM variations in the
[153 nm, 207 nm], HSNM and RSNM are varied in the ranges S-FED-based cell have decreased by about 2.6% and 1.6%,
of [376 mV, 430 mV] and [168 mV, 190 mV] for the S-FED- respectively, in comparison with the CMOS-based cell (at a
based cell, respectively; while, Fig. 15(a) and 16(a) render the constant Lch = 180 nm and T = 27 °C). It implies that despite
ranges of [294 mV, 359 mV] and [43 mV, 107 mV] for HSNM the SNM values decrease as VDD decreases to DRV, the
and RSNM of the CMOS-based counterpart, respectively. S-FED-based bit-cell has tolerated supply voltage drop to
Explicitly, HSNM and RSNM variations in the S-FED- VDD/2, in both hold and read operations, with slightly superior
based cell have decreased by about 5.5% and 49.8%, respec- stability to the CMOS-based counterpart. This superiority is
tively, compared with the CMOS-based cell (at a constant achieved by satisfying SNM benchmarks equal to unity in all
VDD = 1.2 V and T = 27 °C). It implies that the S-FED- operation modes of the S-FED-based SRAM bit-cell.
based cell is Lch-tolerant with higher stability compared with SRAM bit-cells are systematically susceptible to tempera-
the CMOS-based one, especially in the vulnerable read ture variation. Although a rise in operational frequency of
operation. SRAM cells emerges at high junction temperatures, fluctua-
A low value of supply voltage (DRV) is always preferable tions probability of carriers increases as a result of excessive
in retention mode to reduce the power dissipation; however, a increase in temperature. In this condition, PG network
stable bit-cell is achieved while maintaining full VDD during becomes unable to pass the strong logical data, or PD and
the read cycle and hold state. Since data retention highly PU networks fail to properly hold the data for a long time.
depends on supply voltage, the HSNM is expected to be Consequently, the SNM butterfly curves shrink in all opera-
decreased by downscaling VDD. Moreover, reduced cell supply tion modes and DRV rises. Fig. 13(c) and 15(c) demonstrate
voltage inflicts a weak PU network. Subsequently, WM bench- DRV variations extracted from HSNM butterfly curves as a
mark rendering the WSNM enhances and RM benchmark ren- function of temperature in a wide range of operating tempera-
dering the RSNM reduces. As can be seen in Figs. 13(b) and 14 tures, i.e., [45 °C, 125 °C], for the S-FED- and CMOS-based
(b), considering 50% variation in supply voltage, i.e., [0.6 V, cells, respectively. By increasing temperature during the hold
1.2 V], HSNM and RSNM are varied in the ranges of state, DRV amounts are varied in the ranges of [75.9 mV,

Fig. 13 HSNM butterfly curves under (a) process and (b) supply voltage variations. (c) Representation of data retention voltage in terms
of operating temperature for the S-FED-based SRAM bit-cell.
3726 T. Ghafouri, N. Manavizadeh

Fig. 14 RSNM butterfly curves under (a) process, (b) supply voltage, and (c) temperature variations for the S-FED-based SRAM bit-
cell.

Fig. 15 HSNM butterfly curves under (a) process and (b) supply voltage variations. (c) Representation of data retention voltage in terms
of operating temperature for the CMOS-based SRAM bit-cell.

Fig. 16 RSNM butterfly curves under (a) process, (b) supply voltage, and (c) temperature variations for the CMOS-based SRAM bit-
cell.

148.2 mV] for the S-FED-based cell and [45.6 mV, 84 mV] for However, the S-FED-based cell has tolerated temperature
the CMOS-based counterpart. Also, Figs. 14(c) and 16(c) variations, in both hold and read operations, with slightly infe-
show that by increasing temperature during the read mode, rior data retention capability to the CMOS-based counterpart.
RSNM values are varied in the ranges of [97 mV, 242 mV] Another representation to evaluate the reliability of the
for the S-FED-based cell and [20 mV, 82 mV] for the SRAM bit-cells under process variations is Gaussian distribu-
CMOS-based counterpart. Explicitly, the S-FED-based cell tion with a mean value lp and a standard deviation rp
has experienced an increase of 11% in DRV variations and extracted from Monte Carlo (MC) simulations. Figs. 17
36% in RSNM variations compared with the CMOS-based and 18 show the results of M-C simulations with random
cell (at a constant Lch = 180 nm and VDD = 1.2 V). It is orig- Lch mismatch in all S-FEDs and MOSFETs in 6T SRAM
inated from that carriers in the channel of S-FED encounter bit-cells, respectively. All simulations are performed at 541
with an extra p-n junction, raising the probability of switching points, considering lp = 180 nm and rp = ±5% to analyze
type of carriers in the channel in high temperatures. This variations in an interval of 3rp. The histograms approximated
increases S-FEDs failure probability to operate in desired to Gaussian probability density function (PDF) indicate the
modes, according to Table 1 and thereby, SNM is reduced. number of occurrences per SNM. As can be seen in Fig. 17,
Performance comparison of 6T SRAM bit-cells 3727

Fig. 17 Monte Carlo simulation results with the estimated Gaussian distributions on the device channel length (rp = ±5%) for the S-
FED based SRAM bit-cell. Modeling of the (a) HSNM, (b) WSNM, and (c) RSNM distributions.

Fig. 18 Monte Carlo simulation results with the estimated Gaussian distributions on the device channel length (rp = ±5%) for the
CMOS-based SRAM bit-cell. Modeling of the (a) HSNM, (b) WSNM, and (c) RSNM distributions.

HSNM, WSNM and RSNM in the S-FED-based cells are dis- pared with the CMOS-based one. Employing S-FED with
tributed normally. Also, their corresponding Gaussian PDFs higher threshold voltage than that of CMOS in 6T SRAM cell
(lSNM, rSNM) have smaller rSNM, in accordance with their configuration contributes to the prominent enhancement of
narrow-edge SNM butterfly curves [Figs. 13(a) and 14(a)], RSNM and HSNM as well as decrement of parasitic capaci-
compared with the CMOS-based counterparts [Figs. 15(a) tance, static and dynamic power consumption in the S-FED-
and 16(a)]. It implies that S-FED-based SRAM cells enjoy based cell. As a compromise, WSNM, data write speed and
superior robustness under technology scaling in all operation read access time obtained for the CMOS-based cell are equally
modes, compared with the CMOS-based versions. Although or a bit more desirable compared with the S-FED-based ver-
WSNM is larger for the CMOS-based bit-cell, process varia- sions. However, the superior outcomes of the S-FED-based
tions throw adversely more impact on the write-ability, com- SRAM bit-cell are more outstanding. Sensitivity analysis
pared with the S-FED based one. As a result, employing the extracted from butterfly curves and Monte Carlo simulations
S-FED in VLSI digital circuits and systems is expected to guar- demonstrated that S-FED-based cell is Lch- and VDD-
antee their mismatch-robustness as well as noise-immunity. tolerant with higher stability in comparison with the CMOS-
based one, especially in the vulnerable read operation. More-
6. Conclusion over, the S-FED-based cell enjoys desirable stability even in
high temperatures. S-FED has two more degrees of freedom
This paper analyzed and compared performance parameters of than CMOS in controllability of the characteristics, through
6T SRAM bit-cells based on the conventional CMOS and the adjusting the reservoir thickness and engineering the gates
previously proposed S-FED in 180 nm SOI technology, utiliz- work function. This merit assisted with superior stability of
ing DC, AC, and transient mixed-mode simulations. Optimum the S-FED-based cell under technology scaling demonstrates
reservoir thickness was achieved so that high ION/IOFF ratio in that S-FEDs with CMOS-compatible fabrication process
the S-FED can be preserved to design low-power S-FED- empirically facilitate the massive utilization of these devices
based SRAM cells. Identical S-FEDs with the same geometry as the building blocks of the VLSI digital circuits in the future.
can play the role of both nMOS and pMOS in 6T SRAM cell
topology with smaller cell size. Steady-state responses revealed Declaration of Competing Interest
that in the worst-case, by applying weak logic 0/1 to the bit-
lines, strong logic data is stored in the S-FED-based cell with We wish to confirm that there are no known conflicts of
superior WM benchmark and lower power consumption com- interest associated with this publication.
3728 T. Ghafouri, N. Manavizadeh

Acknowledgement CMOS technology for mobile multimedia applications, Int. J.


Adv. Comput. Sci. Apps. (IJACSA) 2 (5) (2011) 43–49.
[19] D. Chaudhuri, K. Roy, A. Nag, Comparison of different SRAM
This work has been supported by the Iran National Science
cell topologies using 180 nm technology, Adv. Comput.,
Foundation (INSF) under Grant No. 94021178.
Commun. Control 41 (2019) 391–400.
[20] S. Ahmad, M.K. Gupta, N. Alam, M. Hasan, Single-ended
References Schmitt-trigger-based robust low-power SRAM cell, IEEE
Trans. Very Large Scale Integr. (VLSI) Syst. 24 (8) (2016)
[1] S. Ahmad, S.A. Ahmad, M. Muqeem, N. Alam, M. Hasan, 2634–2642.
TFET-based robust 7T SRAM cell for low power application, [21] D. Nayak, D.P. Acharya, P.K. Rout, U. Nanda, A novel charge
IEEE Trans. Electron Devices 66 (9) (2019) 3834–3840. recycle read write assist technique for energy efficient and fast
[2] L. Knoll, Q.T. Zhao, A. Nichau, S. Trellenkamp, S. Richter, A. 20nm 8T-SRAM array, Solid State Electron. 148 (2018) 43–50.
Schäfer, D. Esseni, L. Selmi, K.K. Bourdelle, S. Mantl, Inverters [22] J. Kim, P. Mazumder, A robust 12T SRAM cell with improved
with strained Si nanowire complementary tunnel field-effect write margin for ultra-low power applications in 40 nm CMOS,
transistors, IEEE Electron Device Lett. 34 (6) (2013) 813–815. Integr. VLSI J. 57 (2017) 1–10.
[3] T. Dutta, G. Pahwa, A.R. Trivedi, S. Sinha, A. Agarwal, Y.S. [23] S. Ahmad, N. Alam, M. Hasan, Pseudo differential multi-cell
Chauhan, Performance evaluation of 7 nm node negative upset immune robust SRAM cell for ultra-low power
capacitance FinFET based SRAM, IEEE Electron Device applications, AEU Int. J. Electron. Commun. 83 (2018) 366–
Lett. 38 (8) (2017) 1161–1164. 375.
[4] S.O. Jung, M.G. Kang, H. Park, S.C. Song, M. Abu-Rahma, B. [24] R. Lorenzo, S. Chaudhuri, A novel SRAM cell design with a
M. Han, L. Ge, Z. Wang, Stable SRAM bitcell design utilizing body-bias controller circuit for low leakage, high speed and
independent gate FinFET, U.S. patent, 2018, US9865330 B2. improved stability, Wireless Pers. Commun. 94 (2017) 3513–
[5] J. Trommer, M. Simon, S. Slesazeck, W.M. Weber, T. 3529.
Mikolajick, Inherent charge-sharing-free dynamic logic gates [25] A.P. Shah, N. Yadav, A. Beohar, S.K. Vishvakarma, On-chip
employing transistors with multiple independent inputs, IEEE, adaptive body bias for reducing the impact of NBTI on 6T
J. Electron Devices Soc. (2020) 1–7. SRAM cells, IEEE Trans. Semicond. Manuf. 31 (2) (2018) 242–
[6] S.R. Ghimiray, P. Meher, P.K. Dutta, An improved charge- 249.
sharing elimination pseudo-domino logic, Int. J. Circ. Theor. [26] J. Lee, Y. Zhang, Q. Dong, W. Lim, M. Saligane, Y. Kim, S.
Appl. (2020) 1–17. Jeong, J. Lim, M. Yasuda, S. Miyoshi, M. Kawaminami, D.
[7] G.S. Kumar, A. Singh, B. Raj, Design and analysis of a gate-all- Blaauw, D. Sylvester, A self-tuning IoT processor using leakage-
around CNTFET-based SRAM cell, J. Comput. Electron. 17 ratio measurement for energy-optimal operation, IEEE J. Solid-
(2018) 138–145. State Circuits 55 (1) (2020) 87–97.
[8] P.K. Patel, M.M. Malik, T.K. Gupta, A novel high-density dual [27] T. Tripathi, D.S. Chauhan, S.K. Singh, A novel approach to
threshold GNRFET SRAM design with improved stability, design SRAM cells for low leakage and improved stability, J.
Microprocessors Microsyst. 73 (2020) 102956. Low Power Electron. Appl. 8 (41) (2018) 1–13.
[9] R. Parekh, Design and simulation of single electron transistor [28] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y.
based SRAM and its memory controller at room temperature, Nakazawa, T. Ishii, H. Kobatake, A read-static-noise-margin-
Int. J. Integr. Eng. 11 (6) (2019) 186–195. free SRAM cell for low-VDD and high-speed applications,
[10] S. Azimi, S. Angizi, M.H. Moaiyeri, Efficient and robust SRAM IEEE J. Solid-State Circuits 41 (1) (2006) 113–121.
cell design based on quantum-dot cellular automata, ECS J. [29] X. Wang, Y. Zhang, C. Lu, Z. Mao, Power efficient SRAM
Solid State Sci. Tech. 7 (3) (2018) 38–45. design with integrated bit line charge pump, AEU Int. J.
[11] L. McLaury, SRAM cell with single-ended and differential read/ Electron. Commun. 70 (10) (2016) 1395–1402.
write ports, U.S. patent, 2004, US6738306 B2. [30] G. Pasandi, S.M. Fakhraie, An 8T low-voltage and low-leakage
[12] S. Mukhopadhyay, R.-M. Rao, J.-J. Kim, C.-T. Chuang, half-selection disturb-free SRAM using bulk-CMOS and
SRAM write-ability improvement with transient negative bit- FinFETs, IEEE Trans. Electron Devices 61 (7) (2014) 2357–
line voltage, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2363.
19 (1) (2011) 24–32. [31] B. Wang, J. Zhou, T.T.H. Kim, SRAM devices and circuits
[13] L. Hamouche, Design of SRAM for CMOS 32nm, Ph.D. optimization toward energy efficiency in multi-Vth CMOS,
dissertation, Dept. Elect. Eng., Rouen Univ., Mont-Saint- Micro-electron. J. 46 (3) (2015) 265–272.
Aignan, France, 2012. [32] C.S. Pang, N. Thakuria, S.K. Gupta, Z. Chen, First
[14] E. Grossar, M. Stucchi, K. Maex, W. Dehaene, Read stability demonstration of WSe2 based CMOS-SRAM, in Proc. IEEE
and write-ability analysis of SRAM cells for nanometer Int. Electron Devices Meeting (IEDM), 2018 pp. 22.2.1–4.
technologies, IEEE J. Solid-State Circuits 41 (11) (2006) 2577– [33] T. Endoh, H. Koike, S. Ikeda, T. Hanyu, H. Ohno, An overview
2588. of nonvolatile emerging memories— spintronics for working
[15] M.-H. Tu, J.-Y. Lin, M.-C. Tsai, S.-J. Jou, C.-T. Chuang, memories, IEEE, J. Emerg. Sel. Top. Circuits Syst. 6 (2) (2016)
Single-ended subthreshold SRAM with asymmetrical write/ 109–119.
read-assist, IEEE Trans. Circuits Syst. I, Reg. Pap. 57 (12) [34] F. Raissi, A brief analysis of the field effect diode and
(2010) 3039–3047. breakdown transistor, IEEE Trans. Electron Devices 43 (2)
[16] M.-H. Tu, J.-Y. Lin, M.-C. Tsai, C.-Y. Lu, Y.-J. Lin, M.-H. (1996) 362–365.
Wang, H.-S. Huang, K.-D. Lee, W.-C. Shih, S.-J. Jou, C.-T. [35] N. Manavizadeh, F. Raissi, E.A. Soleimani, M. Pourfath, S.
Chuang, A single-ended disturb-free 9T subthreshold SRAM Selberherr, Performance assessment of nanoscale field-effect
with cross-point data-aware write word-line structure, negative diodes, IEEE Trans. Electron Devices 58 (8) (2011) 2378–
bit-line, and adaptive read operation timing tracing, IEEE J. 2383.
Solid-State Circuits 47 (6) (2012) 1469–1482. [36] I. Sheikhian, F. Raissi, High-speed digital family using field
[17] L. Wen, Z. Li, Y. Li, Single-ended, robust 8T SRAM cell for effect diode, Electron. Lett. 39 (4) (2003) 345–347.
low-voltage operation, Microelectron. J. 44 (8) (2013) 718–728. [37] B.J. Touchaee, N. Manavizadeh, An inverter gate design based
[18] N.Kr. Shukla, R.K. Singh, M. Pattanaik, Design and analysis of on nanoscale S-FED as a function of reservoir thickness, IEEE
a novel low-power SRAM bit-cell structure at deep-sub-micron Trans. Electron Devices 62 (10) (2015) 3147–3152.
Performance comparison of 6T SRAM bit-cells 3729

[38] B.J. Touchaee, N. Manavizadeh, Design and simulation of low- [45] Table PIDS2a High-Performance (HP) Logic Technology
power logic gates based on nanoscale side-contacted FED, IEEE Recruitment, Process Integration, Devices, and Structures
Trans. Electron Devices 64 (1) (2017) 306–311. (PIDS). Available: http://www.itrs.net/ (accessed 2018).
[39] A.Z. Badwan, Z. Chbili, Q. Li, D.E. Ioannou, SOI FED-SRAM [46] G. Torrens, B. Alorda, C. Carmona, D. Malagón-Periánez, J.
cell: Structure and operation, IEEE Trans. Electron Devices 62 Segura, S.A. Bota, A 65-nm reliable 6T CMOS SRAM cell with
(9) (2015) 2865–2870. minimum size transistors, IEEE Trans. Emerg. Top. Comput. 7
[40] A.Z. Badwan, Z. Chbili, Y. Yang, A.A. Salman, Q. Li, D.E. (3) (2017) 447–455.
Ioannou, SOI field-effect diode DRAM cell: Design and [47] Ak. Goyal, A. Tomar, Am. Goyal, Effect of W/L ratio on
operation, IEEE Electron Device Lett. 34 (8) (2013) 1002–1004. SRAM cell SNM for high-speed application, Int. Res. J. Eng.
[41] T. Imamoto, T. Endoh, Excellent scalability including self- and Tech. (IRJET) 4 (4) (2017) 2326–2332.
heating phenomena of vertical-channel field-effect-diode type [48] G. Arora, Poonam, A. Singh, SNM analysis of SRAM cells at
capacitor-less one transistor dynamic random access memory 45nm, 32nm and 22nm technology, Int. J. Eng. Res. General Sci.
cell, Jpn. J. Appl. Phys., 53 (4s) (2014) 04ED05. (IJERGS) 2 (4) (2014) 785–791.
[42] A.Z. Badwan, Q. Li, D.E. Ioannou, On the T-RAM and FED- [49] Y. Li, H.W. Cheng, M.H. Han, Statistical simulation of static
RAM memory mechanism, in: EEE SOI-3D-Subthreshold- noise margin variability in static random access memory, IEEE
Microelectron. Tech. Unified Conf. (S3S), Rohnert Park, CA, Trans. Semicond. Manuf. 23 (4) (2010) 509–516.
USA, 2015. [50] S. Ruchi, Dasgupta, 6T SRAM cell analysis for DRV and read
[43] M. Amirmazlaghani, F. Raissi, Memory cell using modified field stability, J. Semicond. 38 (2) (2017) 025001.
effect diode, IEICE Electron. Exp. 6 (22) (2009) 1582–1586. [51] S. Lin, Y.B. Kim, F. Lombardi, Design and analysis of a 32 nm
[44] A.A. Salman, S.G. Beebe, M. Emam, M.M. Pelella, D.E. PVT tolerant CMOS SRAM cell for low leakage and high
Ioannou, Field effect diode (FED): A novel device for ESD stability, Integr. VLSI J. 43 (2) (2010) 176–187.
protection in deep sub-micron SOI technologies, in: Proc. 6th
Int. Conf. Electron Devices Meeting (IEDM), 2006, pp. 1–4.

You might also like