You are on page 1of 7

Materia: Dispositivos Digitales

Nombre de la Práctica:
Multiplexor 2 a 1 de vector

Nombre del estudiante:


Oscar adrian medina

Nombre del Profesor:


Dr. José Roberto Reyes Barón

Fecha: 10/Oct/2023
Introducción.

Esta práctica consistió en realizar otro estilo de selector, sólo que la diferencia del anterior, este tiene
más entradas. La estructuras siguen igual en esta práctica, son 2 tipos de entradas, la función del
selector es que él pone condiciones hacia ambas entradas, el iba a arrojar los datos dependiendo de
la selección que tiene funcionando el selector, es decir, en la salida se arrojará los números de la
condición ejecutada.

Marco Teórico
Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y están
dotados de entradas de control capaces de seleccionar una de las entradas de datos para permitir
su transmisión desde la entrada seleccionada a la salida que es única.

La entrada seleccionada viene determinada por la combinación de ceros (0) y unos (1) lógicos en las
entradas de control. La cantidad de entradas de control que necesitaremos para seleccionar, será el
resultado de elevar el 2 a una potencia. Así, por ejemplo, a un multiplexor de 8 entradas le
corresponderán 3 de control.

Podemos decir que la función de un multiplexor consiste en seleccionar una entrada de entre un
número de líneas de entrada y transmitir el dato de un canal de información único. Por lo tanto, es
equivalente a un conmutador de varias entradas y una salida.

En las telecomunicaciones, el multiplexor se utiliza como dispositivo que puede recibir varias
entradas de datos y transmitirlas por una única salida. Para ello lo que hace es dividir el medio de
transmisión en múltiples canales, para que varias ramas puedan comunicarse al mismo tiempo.

El vector 2-1 se refiere a un vector con una magnitud de 2 en una dirección específica en un plano
bidimensional. Se utiliza para representar una cantidad que tiene una longitud y una dirección.

Este vector se usa con frecuencia en campos como la física, las matemáticas y la ingeniería para
describir el movimiento, la fuerza, la velocidad y la aceleración de los objetos. Puede representar el
desplazamiento de un objeto desde un punto inicial al punto final, así como la dirección y la
velocidad de ese desplazamiento.

La importancia del vector 2-1 radica en su capacidad para describir de manera concisa y precisa la
relación entre la magnitud y la dirección de una cantidad en un plano bidimensional. Esto es esencial
para comprender y predecir el movimiento de los objetos y para realizar cálculos precisos en una
variedad de campos científicos y tecnológicos.

Además, el vector 2-1 es una herramienta fundamental en el estudio de los sistemas de coordenadas
y la geometría vectorial, lo que permite la representación y el análisis de diferentes cantidades físicas
y matemáticas en un plano bidimensional. También es esencial en el cálculo vectorial y en la
resolución de problemas de física y matemáticas.

Material

• Protoboard
1
• Jumpers
• 2 dipswitches
• 14 leds
• 14 resistencias de 330Ω

Código del diseño.


LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY mux_2to1_vector IS
PORT(
A : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
B : IN STD_LOGIC_VECTOR (3 DOWNTO 0); SEL : IN STD_LOGIC;
X : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END mux_2to1_vector;

ARCHITECTURE Behavioral OF mux_2to1_vector IS


BEGIN

X <= A WHEN (SEL = '0') ELSE B;

END Behavioral;
-- Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, the Altera Quartus Prime License Agreement,
-- the Altera MegaCore Function License Agreement, or other
-- applicable license agreement, including, without limitation,
-- that your use is for the sole purpose of programming logic
-- devices manufactured by Altera and sold by Altera or its
-- authorized distributors. Please refer to the applicable --
agreement for further details.

--
*************************************************************************
**
-- This file contains a Vhdl test bench template that is freely editable
to
-- suit user's needs .Comments are provided in each section to help the
user
-- fill out necessary details.
--
*************************************************************************
**
-- Generated on "01/03/2024 06:56:15"

2
-- Vhdl Test Bench template for design : mux_2to1_vector
--
-- Simulation tool : ModelSim-Altera (VHDL) --

LIBRARY ieee; USE


ieee.std_logic_1164.all;

ENTITY mux_2to1_vector_vhd_tst IS
END mux_2to1_vector_vhd_tst;
ARCHITECTURE mux_2to1_vector_arch OF mux_2to1_vector_vhd_tst IS
-- constants
-- signals
SIGNAL A : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL B : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL
SEL : STD_LOGIC;
SIGNAL X : STD_LOGIC_VECTOR(3 DOWNTO 0);
COMPONENT mux_2to1_vector
PORT (
A : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
SEL : IN STD_LOGIC;
X : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT; BEGIN i1
: mux_2to1_vector
PORT MAP (
-- list connections between master ports and signals
A => A,
B => B,
SEL => SEL,
X => X ); init :
PROCESS
-- variable
declarations
BEGIN
-- code that executes only once
SEL <= '0';
A <= "1111";
B <= "0000";
WAIT FOR 10 ns;
SEL <= '1';
A <= "0000";
B <= "1111";
WAIT FOR 10 ns;
SEL <= '0';
A <= "1001";
B <= "0110";
WAIT FOR 10 ns;
SEL <= '1';
A <= "0110";
B <= "1001";
WAIT FOR 10 ns;
SEL <= '0';
A <= "1010";
B <= "0101";
WAIT FOR 10 ns;
SEL <= '1';
3
A <= "0101";
B <= "1010";
WAIT FOR 10 ns;
SEL <= '0';
A <= "0011";
B <= "1100";
WAIT FOR 10 ns;
SEL <= '1';
A <= "1100";
B <= "0011";
WAIT FOR 10 ns;
WAIT; END
PROCESS init; always :
PROCESS -- optional
sensitivity list
-- ( )
-- variable declarations
BEGIN
-- code executes for every event on sensitivity list WAIT;
END PROCESS always; END
mux_2to1_vector_arch;

Imágenes de la simulación y del diseño físico.

4
Conclusiones.

Al parecer, hubo muchas fallas a la hora de realizar este código, la parte se complicó en el multiplexor.
En este caso, la función tuvo fallas al momento de plasmar los números del dipswitch.

Referencias.
• Electrónica FP. (2020, 26 marzo). MULTIPLEXORES [Vídeo]. YouTube.
https://www.youtube.com/watch?v=V09LfIUTQ04

• Les Ingenieurs. (2016, 1 marzo). ✅MULTIPLEXOR 4x1 | CÓMO FUNCIONA|

5
ELECTRÓNICA DIGITAL [Vídeo]. YouTube.

https://www.youtube.com/watch?v=gTcU-FrRioM

• Felipe Machado. (2020c, marzo 21). Multiplexor de 2 entradas - circuitos

combinacionales [Vídeo]. YouTube.

https://www.youtube.com/watch?v=LElFYHnz1Ls

• Multiplexor. (s. f.). ingeniatic.

https://www.etsist.upm.es/estaticos/ingeniatic/index.php/tecnologias/item/529multip

lexor.html#:~:text=Los%20multiplexores%20son%20circuitos%20combinaci

onales,la%20salida%20que%20es%20%C3%BAnica .

• colaboradores de Wikipedia. (2023c). Multiplexor. Wikipedia, la enciclopedia libre.

https://es.wikipedia.org/wiki/Multiplexor

You might also like